Skip to content

Instantly share code, notes, and snippets.

@samcv
Created February 15, 2017 11:49
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save samcv/7bbb850655bcc3fa27e16846a911b4a6 to your computer and use it in GitHub Desktop.
Save samcv/7bbb850655bcc3fa27e16846a911b4a6 to your computer and use it in GitHub Desktop.
#my $proc = Proc::Async.new('./build/bitfield', :w, :r);
my $proc = Proc::Async.new('echo', :w, :r);
$proc.stdout.tap( -> $str {
say $str;
});
my $prom = $proc.start;
my $promp = $proc.print("20 s8\n");
sleep 1;
say $promp.status;
await $prom;
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment