Skip to content

Instantly share code, notes, and snippets.

@hagabaka
Created August 15, 2019 16:44
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save hagabaka/84d7316b14cd13d4daffb4695530dea1 to your computer and use it in GitHub Desktop.
Save hagabaka/84d7316b14cd13d4daffb4695530dea1 to your computer and use it in GitHub Desktop.
stdin
This file has been truncated, but you can view the full file.
____________________________________________
Start of NVIDIA bug report log file. Please include this file, along
with a detailed description of your problem, when reporting a graphics
driver bug via the NVIDIA Linux forum (see devtalk.nvidia.com)
or by sending email to 'linux-bugs@nvidia.com'.
nvidia-bug-report.sh Version: 26915743
Date: Thu 15 Aug 2019 12:43:45 PM EDT
uname: Linux alchemyst 5.2.8-arch1-1-ARCH #1 SMP PREEMPT Fri Aug 9 21:36:07 UTC 2019 x86_64 GNU/Linux
command line flags:
____________________________________________
*** /etc/issue
*** ls: -rw-r--r-- 1 root root 20 2019-05-23 10:18:07.000000000 -0400 /etc/issue
Arch Linux \r (\l)
____________________________________________
*** /var/log/nvidia-installer.log does not exist
____________________________________________
*** /var/lib/dkms/nvidia/435.17/5.2.8-arch1-1-ARCH/x86_64/log/make.log
*** ls: -rw-r--r-- 1 root root 18633 2019-08-15 00:32:19.157313992 -0400 /var/lib/dkms/nvidia/435.17/5.2.8-arch1-1-ARCH/x86_64/log/make.log
DKMS make.log for nvidia-435.17 for kernel 5.2.8-arch1-1-ARCH (x86_64)
Thu 15 Aug 2019 12:31:07 AM EDT
make[1]: Entering directory '/usr/lib/modules/5.2.8-arch1-1-ARCH/build'
SYMLINK /var/lib/dkms/nvidia/435.17/build/nvidia/nv-kernel.o
SYMLINK /var/lib/dkms/nvidia/435.17/build/nvidia-modeset/nv-modeset-kernel.o
CONFTEST: hash__remap_4k_pfn
CONFTEST: set_pages_uc
CONFTEST: list_is_first
CONFTEST: set_memory_uc
CONFTEST: set_memory_array_uc
CONFTEST: acquire_console_sem
CONFTEST: console_lock
CONFTEST: ioremap_cache
CONFTEST: ioremap_wc
CONFTEST: acpi_walk_namespace
CONFTEST: sg_alloc_table
CONFTEST: pci_get_domain_bus_and_slot
CONFTEST: get_num_physpages
CONFTEST: efi_enabled
CONFTEST: pde_data
CONFTEST: proc_remove
CONFTEST: pm_vt_switch_required
CONFTEST: xen_ioemu_inject_msi
CONFTEST: phys_to_dma
CONFTEST: get_dma_ops
CONFTEST: write_cr4
CONFTEST: of_get_property
CONFTEST: of_find_node_by_phandle
CONFTEST: of_node_to_nid
CONFTEST: pnv_pci_get_npu_dev
CONFTEST: of_get_ibm_chip_id
CONFTEST: node_end_pfn
CONFTEST: pci_bus_address
CONFTEST: pci_stop_and_remove_bus_device
CONFTEST: pci_remove_bus_device
CONFTEST: register_cpu_notifier
CONFTEST: cpuhp_setup_state
CONFTEST: dma_map_resource
CONFTEST: backlight_device_register
CONFTEST: timer_setup
CONFTEST: pci_enable_msix_range
CONFTEST: do_gettimeofday
CONFTEST: kernel_read
CONFTEST: kernel_write
CONFTEST: kthread_create_on_node
CONFTEST: of_find_matching_node
CONFTEST: dev_is_pci
CONFTEST: dma_direct_map_resource
CONFTEST: tegra_get_platform
CONFTEST: address_space_init_once
CONFTEST: kbasename
CONFTEST: vzalloc
CONFTEST: wait_on_bit_lock_argument_count
CONFTEST: bitmap_clear
CONFTEST: usleep_range
CONFTEST: radix_tree_empty
CONFTEST: radix_tree_replace_slot
CONFTEST: pnv_npu2_init_context
CONFTEST: vmf_insert_pfn
CONFTEST: cpumask_of_node
CONFTEST: drm_dev_unref
CONFTEST: drm_reinit_primary_mode_group
CONFTEST: get_user_pages_remote
CONFTEST: get_user_pages
CONFTEST: drm_gem_object_lookup
CONFTEST: drm_atomic_state_ref_counting
CONFTEST: drm_driver_has_gem_prime_res_obj
CONFTEST: drm_atomic_helper_connector_dpms
CONFTEST: drm_connector_funcs_have_mode_in_name
CONFTEST: drm_framebuffer_get
CONFTEST: drm_gem_object_get
CONFTEST: drm_dev_put
CONFTEST: is_export_symbol_gpl_of_node_to_nid
CONFTEST: is_export_symbol_present_swiotlb_map_sg_attrs
CONFTEST: is_export_symbol_present_swiotlb_dma_ops
CONFTEST: is_export_symbol_present_kthread_create_on_node
CONFTEST: acpi_op_remove
CONFTEST: outer_flush_all
CONFTEST: file_operations
CONFTEST: file_inode
CONFTEST: kuid_t
CONFTEST: dma_ops
CONFTEST: swiotlb_dma_ops
CONFTEST: noncoherent_swiotlb_dma_ops
CONFTEST: vm_fault_has_address
CONFTEST: backlight_properties_type
CONFTEST: vm_insert_pfn_prot
CONFTEST: vmf_insert_pfn_prot
CONFTEST: vm_ops_fault_removed_vma_arg
CONFTEST: vmbus_channel_has_ringbuffer_page
CONFTEST: device_driver_of_match_table
CONFTEST: device_of_node
CONFTEST: node_states_n_memory
CONFTEST: address_space
CONFTEST: backing_dev_info
CONFTEST: mm_context_t
CONFTEST: drm_bus_present
CONFTEST: drm_bus_has_bus_type
CONFTEST: drm_bus_has_get_irq
CONFTEST: drm_bus_has_get_name
CONFTEST: drm_driver_has_legacy_dev_list
CONFTEST: drm_driver_has_set_busid
CONFTEST: drm_crtc_state_has_connectors_changed
CONFTEST: drm_init_function_args
CONFTEST: drm_helper_mode_fill_fb_struct
CONFTEST: drm_master_drop_has_from_release_arg
CONFTEST: drm_driver_unload_has_int_return_type
CONFTEST: drm_atomic_helper_crtc_destroy_state_has_crtc_arg
CONFTEST: drm_crtc_helper_funcs_has_atomic_enable
CONFTEST: drm_mode_object_find_has_file_priv_arg
CONFTEST: dma_buf_owner
CONFTEST: drm_connector_list_iter
CONFTEST: drm_atomic_helper_swap_state_has_stall_arg
CONFTEST: dom0_kernel_present
CONFTEST: nvidia_vgpu_hyperv_available
CONFTEST: nvidia_vgpu_kvm_build
CONFTEST: nvidia_grid_build
CONFTEST: pm_runtime_available
CONFTEST: drm_available
CONFTEST: drm_atomic_available
CONFTEST: is_export_symbol_gpl_refcount_inc
CONFTEST: is_export_symbol_gpl_refcount_dec_and_test
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-frontend.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-pci.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-acpi.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-cray.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-dma.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-gvi.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-i2c.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-mempool.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-mmap.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-p2p.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-pat.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-procfs.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-usermap.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-vm.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-vtophys.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/os-interface.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/os-mlock.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/os-pci.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/os-registry.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/os-usermap.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-modeset-interface.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-pci-table.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-kthread-q.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-memdbg.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-ibmnpu.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-report-err.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-rsync.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv-msi.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nv_uvm_interface.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/nvlink_linux.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia/linux_nvswitch.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm_utils.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm_common.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm_linux.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/nvstatus.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/nvCpuUuid.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/nv-kthread-q.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/nv-kthread-q-selftest.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_tools.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_global.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_gpu.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_gpu_isr.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_procfs.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_va_space.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_va_space_mm.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_gpu_semaphore.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_mem.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_rm_mem.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_channel.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_lock.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_hal.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_range_tree.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_range_allocator.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_va_range.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_va_block.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_range_group.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_gpu_replayable_faults.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_gpu_non_replayable_faults.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_gpu_access_counters.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_perf_events.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_perf_module.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_mmu.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_pte_batch.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_tlb_batch.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_push.o
/var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_mmu.c: In function ‘uvm_page_tree_put_ptes_async’:
/var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_mmu.c:693:5: warning: ‘invalidate_depth’ may be used uninitialized in this function [-Wmaybe-uninitialized]
693 | tree->gpu->host_hal->tlb_invalidate_all(&push,
| ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
694 | uvm_page_tree_pdb(tree)->addr,
| ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
695 | invalidate_depth,
| ~~~~~~~~~~~~~~~~~
696 | membar_after_invalidate);
| ~~~~~~~~~~~~~~~~~~~~~~~~
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_pushbuffer.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_thread_context.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_tracker.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_kepler.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_kepler_ce.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_kepler_host.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_kepler_mmu.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_maxwell.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_maxwell_host.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_pascal.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_pascal_ce.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_pascal_host.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_pascal_mmu.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_pascal_fault_buffer.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_volta_host.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_volta_mmu.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_volta.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_volta_fault_buffer.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_volta_access_counter_buffer.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_turing.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_turing_mmu.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_turing_host.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_policy.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_perf_utils.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_kvmalloc.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_pmm_sysmem.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_pmm_gpu.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_migrate.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_populate_pageable.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_migrate_pageable.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_map_external.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_user_channel.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_hmm.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_perf_heuristics.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_perf_thrashing.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_perf_prefetch.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_ats_ibm.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_ats_faults.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_test_rng.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_range_tree_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_range_allocator_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_gpu_semaphore_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_mem_test.o
/var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_test.c: In function ‘uvm8_test_ioctl’:
/var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_test.c:293:1: warning: the frame size of 2128 bytes is larger than 2048 bytes [-Wframe-larger-than=]
293 | }
| ^
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_rm_mem_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_page_tree_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_tracker_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_push_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_channel_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_ce_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_lock_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_perf_utils_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_kvmalloc_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_pmm_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_pmm_sysmem_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_perf_events_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_perf_module_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_get_rm_ptes_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_fault_buffer_flush_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_mmu_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_peer_identity_mappings_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_va_block_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_range_group_tree_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm/uvm8_thread_context_test.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-modeset/nvidia-modeset-linux.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-modeset/nv-kthread-q.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm-drv.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm-utils.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm-crtc.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm-encoder.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm-connector.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm-gem.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm-fb.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm-modeset.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm-prime-fence.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm-linux.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm-helper.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nv-pci-table.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm-gem-nvkms-memory.o
CC [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm/nvidia-drm-gem-user-memory.o
ld -r -o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-interface.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-frontend.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-pci.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-acpi.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-cray.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-dma.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-gvi.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-i2c.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-mempool.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-mmap.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-p2p.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-pat.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-procfs.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-usermap.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-vm.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-vtophys.o /var/lib/dkms/nvidia/435.17/build/nvidia/os-interface.o /var/lib/dkms/nvidia/435.17/build/nvidia/os-mlock.o /var/lib/dkms/nvidia/435.17/build/nvidia/os-pci.o /var/lib/dkms/nvidia/435.17/build/nvidia/os-registry.o /var/lib/dkms/nvidia/435.17/build/nvidia/os-usermap.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-modeset-interface.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-pci-table.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-kthread-q.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-memdbg.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-ibmnpu.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-report-err.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-rsync.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv-msi.o /var/lib/dkms/nvidia/435.17/build/nvidia/nv_uvm_interface.o /var/lib/dkms/nvidia/435.17/build/nvidia/nvlink_linux.o /var/lib/dkms/nvidia/435.17/build/nvidia/linux_nvswitch.o
ld -r -o /var/lib/dkms/nvidia/435.17/build/nvidia-modeset/nv-modeset-interface.o /var/lib/dkms/nvidia/435.17/build/nvidia-modeset/nvidia-modeset-linux.o /var/lib/dkms/nvidia/435.17/build/nvidia-modeset/nv-kthread-q.o
LD [M] /var/lib/dkms/nvidia/435.17/build/nvidia.o
LD [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm.o
LD [M] /var/lib/dkms/nvidia/435.17/build/nvidia-modeset.o
LD [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm.o
Building modules, stage 2.
MODPOST 4 modules
CC /var/lib/dkms/nvidia/435.17/build/nvidia-drm.mod.o
CC /var/lib/dkms/nvidia/435.17/build/nvidia-modeset.mod.o
CC /var/lib/dkms/nvidia/435.17/build/nvidia-uvm.mod.o
CC /var/lib/dkms/nvidia/435.17/build/nvidia.mod.o
LD [M] /var/lib/dkms/nvidia/435.17/build/nvidia-drm.ko
LD [M] /var/lib/dkms/nvidia/435.17/build/nvidia-modeset.ko
LD [M] /var/lib/dkms/nvidia/435.17/build/nvidia-uvm.ko
LD [M] /var/lib/dkms/nvidia/435.17/build/nvidia.ko
make[1]: Leaving directory '/usr/lib/modules/5.2.8-arch1-1-ARCH/build'
____________________________________________
journalctl -b -0 _COMM=Xorg
-- Logs begin at Sun 2019-04-14 19:51:26 EDT, end at Thu 2019-08-15 12:43:45 EDT. --
-- No entries --
____________________________________________
journalctl -b -1 _COMM=Xorg
-- Logs begin at Sun 2019-04-14 19:51:26 EDT, end at Thu 2019-08-15 12:43:45 EDT. --
-- No entries --
____________________________________________
journalctl -b -2 _COMM=Xorg
-- Logs begin at Sun 2019-04-14 19:51:26 EDT, end at Thu 2019-08-15 12:43:45 EDT. --
-- No entries --
____________________________________________
journalctl -b -0 _COMM=Xorg.bin
-- Logs begin at Sun 2019-04-14 19:51:26 EDT, end at Thu 2019-08-15 12:43:45 EDT. --
-- No entries --
____________________________________________
journalctl -b -1 _COMM=Xorg.bin
-- Logs begin at Sun 2019-04-14 19:51:26 EDT, end at Thu 2019-08-15 12:43:45 EDT. --
-- No entries --
____________________________________________
journalctl -b -2 _COMM=Xorg.bin
-- Logs begin at Sun 2019-04-14 19:51:26 EDT, end at Thu 2019-08-15 12:43:45 EDT. --
-- No entries --
____________________________________________
journalctl -b -0 _COMM=X
-- Logs begin at Sun 2019-04-14 19:51:26 EDT, end at Thu 2019-08-15 12:43:45 EDT. --
-- No entries --
____________________________________________
journalctl -b -1 _COMM=X
-- Logs begin at Sun 2019-04-14 19:51:26 EDT, end at Thu 2019-08-15 12:43:45 EDT. --
-- No entries --
____________________________________________
journalctl -b -2 _COMM=X
-- Logs begin at Sun 2019-04-14 19:51:26 EDT, end at Thu 2019-08-15 12:43:45 EDT. --
-- No entries --
____________________________________________
journalctl -b -0 _COMM=gdm-x-session
-- Logs begin at Sun 2019-04-14 19:51:26 EDT, end at Thu 2019-08-15 12:43:45 EDT. --
-- No entries --
____________________________________________
journalctl -b -1 _COMM=gdm-x-session
-- Logs begin at Sun 2019-04-14 19:51:26 EDT, end at Thu 2019-08-15 12:43:45 EDT. --
-- No entries --
____________________________________________
journalctl -b -2 _COMM=gdm-x-session
-- Logs begin at Sun 2019-04-14 19:51:26 EDT, end at Thu 2019-08-15 12:43:45 EDT. --
-- No entries --
____________________________________________
*** /var/log/Xorg.0.log
*** ls: -rw-r--r-- 1 root root 64447 2019-08-15 12:43:31.468724055 -0400 /var/log/Xorg.0.log
[ 4.350] (--) Log file renamed from "/var/log/Xorg.pid-544.log" to "/var/log/Xorg.0.log"
[ 4.351]
X.Org X Server 1.20.5
X Protocol Version 11, Revision 0
[ 4.351] Build Operating System: Linux
[ 4.351] Current Operating System: Linux alchemyst 5.2.8-arch1-1-ARCH #1 SMP PREEMPT Fri Aug 9 21:36:07 UTC 2019 x86_64
[ 4.351] Kernel command line: BOOT_IMAGE=/boot/vmlinuz-linux root=UUID=a677b105-7a09-4e2f-8478-bdc145d3b7c1 rw quiet
[ 4.352] Build Date: 15 August 2019 08:42:20AM
[ 4.352]
[ 4.352] Current version of pixman: 0.38.4
[ 4.352] Before reporting problems, check http://wiki.x.org
to make sure that you have the latest version.
[ 4.352] Markers: (--) probed, (**) from config file, (==) default setting,
(++) from command line, (!!) notice, (II) informational,
(WW) warning, (EE) error, (NI) not implemented, (??) unknown.
[ 4.352] (==) Log file: "/var/log/Xorg.0.log", Time: Thu Aug 15 12:42:50 2019
[ 4.355] (==) Using config directory: "/etc/X11/xorg.conf.d"
[ 4.355] (==) Using system config directory "/usr/share/X11/xorg.conf.d"
[ 4.355] (==) ServerLayout "layout"
[ 4.355] (**) |-->Screen "iGPU" (0)
[ 4.355] (**) | |-->Monitor "<default monitor>"
[ 4.356] (**) | |-->Device "iGPU"
[ 4.356] (**) | |-->GPUDevice "nvidia"
[ 4.356] (==) No monitor specified for screen "iGPU".
Using a default monitor configuration.
[ 4.356] (**) Option "IgnoreABI" "true"
[ 4.356] (**) Ignoring ABI Version
[ 4.356] (==) Automatically adding devices
[ 4.356] (==) Automatically enabling devices
[ 4.356] (==) Automatically adding GPU devices
[ 4.356] (==) Max clients allowed: 256, resource mask: 0x1fffff
[ 4.358] (WW) The directory "/usr/share/fonts/misc" does not exist.
[ 4.358] Entry deleted from font path.
[ 4.359] (WW) The directory "/usr/share/fonts/Type1" does not exist.
[ 4.359] Entry deleted from font path.
[ 4.359] (WW) The directory "/usr/share/fonts/100dpi" does not exist.
[ 4.359] Entry deleted from font path.
[ 4.359] (WW) The directory "/usr/share/fonts/75dpi" does not exist.
[ 4.359] Entry deleted from font path.
[ 4.359] (==) FontPath set to:
/usr/share/fonts/TTF,
/usr/share/fonts/OTF
[ 4.359] (**) ModulePath set to "/usr/lib/nvidia/xorg,/usr/lib/xorg/modules"
[ 4.360] (II) The server relies on udev to provide the list of input devices.
If no devices become available, reconfigure udev or disable AutoAddDevices.
[ 4.360] (II) Module ABI versions:
[ 4.360] X.Org ANSI C Emulation: 0.4
[ 4.360] X.Org Video Driver: 24.0
[ 4.360] X.Org XInput driver : 24.1
[ 4.360] X.Org Server Extension : 10.0
[ 4.360] (++) using VT number 1
[ 4.360] (II) systemd-logind: logind integration requires -keeptty and -keeptty was not provided, disabling logind integration
[ 4.361] (II) xfree86: Adding drm device (/dev/dri/card0)
[ 4.377] (--) PCI:*(0@0:2:0) 8086:1916:144d:c142 rev 7, Mem @ 0xf5000000/16777216, 0xd0000000/268435456, I/O @ 0x0000f000/64, BIOS @ 0x????????/131072
[ 4.377] (--) PCI: (1@0:0:0) 10de:134d:144d:c142 rev 162, Mem @ 0xf6000000/16777216, 0xe0000000/268435456, 0xf0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/524288
[ 4.377] (II) Open ACPI successful (/var/run/acpid.socket)
[ 4.377] (II) LoadModule: "glx"
[ 4.382] (II) Loading /usr/lib/xorg/modules/extensions/libglx.so
[ 4.399] (II) Module glx: vendor="X.Org Foundation"
[ 4.399] compiled for 1.20.5, module version = 1.0.0
[ 4.399] ABI class: X.Org Server Extension, version 10.0
[ 4.399] (II) LoadModule: "modesetting"
[ 4.399] (II) Loading /usr/lib/xorg/modules/drivers/modesetting_drv.so
[ 4.405] (II) Module modesetting: vendor="X.Org Foundation"
[ 4.405] compiled for 1.20.5, module version = 1.20.5
[ 4.405] Module class: X.Org Video Driver
[ 4.405] ABI class: X.Org Video Driver, version 24.0
[ 4.405] (II) LoadModule: "nvidia"
[ 4.405] (II) Loading /usr/lib/xorg/modules/drivers/nvidia_drv.so
[ 4.411] (II) Module nvidia: vendor="NVIDIA Corporation"
[ 4.411] compiled for 1.6.99.901, module version = 1.0.0
[ 4.411] Module class: X.Org Video Driver
[ 4.412] (II) modesetting: Driver for Modesetting Kernel Drivers: kms
[ 4.412] (II) NVIDIA dlloader X Driver 435.17 Tue Aug 6 22:56:56 CDT 2019
[ 4.412] (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs
[ 4.424] (II) modeset(0): using drv /dev/dri/card0
[ 4.425] (II) Loading sub module "fb"
[ 4.425] (II) LoadModule: "fb"
[ 4.425] (II) Loading /usr/lib/xorg/modules/libfb.so
[ 4.426] (II) Module fb: vendor="X.Org Foundation"
[ 4.426] compiled for 1.20.5, module version = 1.0.0
[ 4.426] ABI class: X.Org ANSI C Emulation, version 0.4
[ 4.426] (II) Loading sub module "wfb"
[ 4.426] (II) LoadModule: "wfb"
[ 4.426] (II) Loading /usr/lib/xorg/modules/libwfb.so
[ 4.427] (II) Module wfb: vendor="X.Org Foundation"
[ 4.427] compiled for 1.20.5, module version = 1.0.0
[ 4.427] ABI class: X.Org ANSI C Emulation, version 0.4
[ 4.427] (II) Loading sub module "ramdac"
[ 4.427] (II) LoadModule: "ramdac"
[ 4.427] (II) Module "ramdac" already built-in
[ 5.430] (EE) Screen 1 deleted because of no matching config section.
[ 5.430] (II) UnloadModule: "nvidia"
[ 5.430] (II) UnloadSubModule: "wfb"
[ 5.430] (II) UnloadSubModule: "fb"
[ 5.430] (II) modeset(0): Creating default Display subsection in Screen section
"iGPU" for depth/fbbpp 24/32
[ 5.430] (==) modeset(0): Depth 24, (==) framebuffer bpp 32
[ 5.430] (==) modeset(0): RGB weight 888
[ 5.430] (==) modeset(0): Default visual is TrueColor
[ 5.430] (II) Loading sub module "glamoregl"
[ 5.430] (II) LoadModule: "glamoregl"
[ 5.430] (II) Loading /usr/lib/xorg/modules/libglamoregl.so
[ 5.444] (II) Module glamoregl: vendor="X.Org Foundation"
[ 5.444] compiled for 1.20.5, module version = 1.0.1
[ 5.444] ABI class: X.Org ANSI C Emulation, version 0.4
[ 5.601] (II) modeset(0): glamor X acceleration enabled on Mesa DRI Intel(R) HD Graphics 520 (Skylake GT2)
[ 5.601] (II) modeset(0): glamor initialized
[ 5.603] (II) modeset(0): Output eDP-1 has no monitor section
[ 5.629] (II) modeset(0): Output HDMI-1 has no monitor section
[ 5.629] (II) modeset(0): Output DP-1 has no monitor section
[ 5.632] (II) modeset(0): EDID for output eDP-1
[ 5.632] (II) modeset(0): Manufacturer: BOE Model: 6b9 Serial#: 0
[ 5.632] (II) modeset(0): Year: 2016 Week: 1
[ 5.632] (II) modeset(0): EDID Version: 1.4
[ 5.632] (II) modeset(0): Digital Display Input
[ 5.632] (II) modeset(0): 6 bits per channel
[ 5.632] (II) modeset(0): Digital interface is DisplayPort
[ 5.632] (II) modeset(0): Max Image Size [cm]: horiz.: 34 vert.: 19
[ 5.632] (II) modeset(0): Gamma: 2.20
[ 5.632] (II) modeset(0): No DPMS capabilities specified
[ 5.632] (II) modeset(0): Supported color encodings: RGB 4:4:4
[ 5.632] (II) modeset(0): First detailed timing is preferred mode
[ 5.632] (II) modeset(0): Preferred mode is native pixel format and refresh rate
[ 5.632] (II) modeset(0): redX: 0.598 redY: 0.358 greenX: 0.331 greenY: 0.567
[ 5.632] (II) modeset(0): blueX: 0.153 blueY: 0.136 whiteX: 0.307 whiteY: 0.324
[ 5.632] (II) modeset(0): Manufacturer's mask: 0
[ 5.632] (II) modeset(0): Supported detailed timing:
[ 5.632] (II) modeset(0): clock: 141.4 MHz Image Size: 344 x 194 mm
[ 5.632] (II) modeset(0): h_active: 1920 h_sync: 1968 h_sync_end 2000 h_blank_end 2142 h_border: 0
[ 5.632] (II) modeset(0): v_active: 1080 v_sync: 1083 v_sync_end 1089 v_blanking: 1100 v_border: 0
[ 5.632] (II) modeset(0): BOE HF
[ 5.632] (II) modeset(0): NV156FHM-N46
[ 5.632] (II) modeset(0): EDID (in hex):
[ 5.632] (II) modeset(0): 00ffffffffffff0009e5b90600000000
[ 5.632] (II) modeset(0): 011a010495221378023d78995b549127
[ 5.632] (II) modeset(0): 224e5300000001010101010101010101
[ 5.632] (II) modeset(0): 0101010101013c3780de703814403020
[ 5.632] (II) modeset(0): 360058c21000001a0000000000000000
[ 5.632] (II) modeset(0): 00000000000000000000000000fe0042
[ 5.632] (II) modeset(0): 4f452048460a202020202020000000fe
[ 5.632] (II) modeset(0): 004e5631353646484d2d4e34360a0082
[ 5.632] (II) modeset(0): Printing probed modes for output eDP-1
[ 5.632] (II) modeset(0): Modeline "1920x1080"x60.0 141.40 1920 1968 2000 2142 1080 1083 1089 1100 +hsync -vsync (66.0 kHz eP)
[ 5.632] (II) modeset(0): Modeline "1920x1080"x120.0 356.38 1920 2080 2288 2656 1080 1081 1084 1118 doublescan -hsync +vsync (134.2 kHz d)
[ 5.632] (II) modeset(0): Modeline "1920x1080"x119.9 266.50 1920 1944 1960 2000 1080 1081 1084 1111 doublescan +hsync -vsync (133.2 kHz d)
[ 5.632] (II) modeset(0): Modeline "1920x1080"x60.0 173.00 1920 2048 2248 2576 1080 1083 1088 1120 -hsync +vsync (67.2 kHz d)
[ 5.632] (II) modeset(0): Modeline "1920x1080"x59.9 138.50 1920 1968 2000 2080 1080 1083 1088 1111 +hsync -vsync (66.6 kHz d)
[ 5.632] (II) modeset(0): Modeline "1680x1050"x60.0 146.25 1680 1784 1960 2240 1050 1053 1059 1089 -hsync +vsync (65.3 kHz d)
[ 5.632] (II) modeset(0): Modeline "1680x1050"x59.9 119.00 1680 1728 1760 1840 1050 1053 1059 1080 +hsync -vsync (64.7 kHz d)
[ 5.632] (II) modeset(0): Modeline "1400x1050"x60.0 122.00 1400 1488 1640 1880 1050 1052 1064 1082 +hsync +vsync (64.9 kHz d)
[ 5.632] (II) modeset(0): Modeline "1600x900"x120.0 246.00 1600 1728 1900 2200 900 901 904 932 doublescan -hsync +vsync (111.8 kHz d)
[ 5.632] (II) modeset(0): Modeline "1600x900"x119.9 186.50 1600 1624 1640 1680 900 901 904 926 doublescan +hsync -vsync (111.0 kHz d)
[ 5.632] (II) modeset(0): Modeline "1600x900"x59.9 118.25 1600 1696 1856 2112 900 903 908 934 -hsync +vsync (56.0 kHz d)
[ 5.632] (II) modeset(0): Modeline "1600x900"x59.8 97.50 1600 1648 1680 1760 900 903 908 926 +hsync -vsync (55.4 kHz d)
[ 5.632] (II) modeset(0): Modeline "1280x1024"x60.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz d)
[ 5.632] (II) modeset(0): Modeline "1400x900"x60.0 103.50 1400 1480 1624 1848 900 903 913 934 -hsync +vsync (56.0 kHz d)
[ 5.632] (II) modeset(0): Modeline "1400x900"x59.9 86.50 1400 1448 1480 1560 900 903 913 926 +hsync -vsync (55.4 kHz d)
[ 5.632] (II) modeset(0): Modeline "1280x960"x60.0 108.00 1280 1376 1488 1800 960 961 964 1000 +hsync +vsync (60.0 kHz d)
[ 5.632] (II) modeset(0): Modeline "1440x810"x120.0 198.12 1440 1548 1704 1968 810 811 814 839 doublescan -hsync +vsync (100.7 kHz d)
[ 5.632] (II) modeset(0): Modeline "1440x810"x119.9 151.88 1440 1464 1480 1520 810 811 814 833 doublescan +hsync -vsync (99.9 kHz d)
[ 5.632] (II) modeset(0): Modeline "1368x768"x59.9 85.25 1368 1440 1576 1784 768 771 781 798 -hsync +vsync (47.8 kHz d)
[ 5.632] (II) modeset(0): Modeline "1368x768"x59.9 72.25 1368 1416 1448 1528 768 771 781 790 +hsync -vsync (47.3 kHz d)
[ 5.632] (II) modeset(0): Modeline "1280x800"x120.0 174.25 1280 1380 1516 1752 800 801 804 829 doublescan -hsync +vsync (99.5 kHz d)
[ 5.632] (II) modeset(0): Modeline "1280x800"x119.9 134.25 1280 1304 1320 1360 800 801 804 823 doublescan +hsync -vsync (98.7 kHz d)
[ 5.632] (II) modeset(0): Modeline "1280x800"x59.8 83.50 1280 1352 1480 1680 800 803 809 831 -hsync +vsync (49.7 kHz d)
[ 5.632] (II) modeset(0): Modeline "1280x800"x59.9 71.00 1280 1328 1360 1440 800 803 809 823 +hsync -vsync (49.3 kHz d)
[ 5.632] (II) modeset(0): Modeline "1280x720"x120.0 156.12 1280 1376 1512 1744 720 721 724 746 doublescan -hsync +vsync (89.5 kHz d)
[ 5.632] (II) modeset(0): Modeline "1280x720"x120.0 120.75 1280 1304 1320 1360 720 721 724 740 doublescan +hsync -vsync (88.8 kHz d)
[ 5.633] (II) modeset(0): Modeline "1280x720"x59.9 74.50 1280 1344 1472 1664 720 723 728 748 -hsync +vsync (44.8 kHz d)
[ 5.633] (II) modeset(0): Modeline "1280x720"x59.7 63.75 1280 1328 1360 1440 720 723 728 741 +hsync -vsync (44.3 kHz d)
[ 5.633] (II) modeset(0): Modeline "1024x768"x120.1 133.47 1024 1100 1212 1400 768 768 770 794 doublescan -hsync +vsync (95.3 kHz d)
[ 5.633] (II) modeset(0): Modeline "1024x768"x60.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz d)
[ 5.633] (II) modeset(0): Modeline "960x720"x120.0 117.00 960 1024 1128 1300 720 720 722 750 doublescan -hsync +vsync (90.0 kHz d)
[ 5.633] (II) modeset(0): Modeline "928x696"x120.1 109.15 928 976 1088 1264 696 696 698 719 doublescan -hsync +vsync (86.4 kHz d)
[ 5.633] (II) modeset(0): Modeline "896x672"x120.0 102.40 896 960 1060 1224 672 672 674 697 doublescan -hsync +vsync (83.7 kHz d)
[ 5.633] (II) modeset(0): Modeline "1024x576"x119.9 98.50 1024 1092 1200 1376 576 577 580 597 doublescan -hsync +vsync (71.6 kHz d)
[ 5.633] (II) modeset(0): Modeline "1024x576"x119.9 78.38 1024 1048 1064 1104 576 577 580 592 doublescan +hsync -vsync (71.0 kHz d)
[ 5.633] (II) modeset(0): Modeline "1024x576"x59.9 46.50 1024 1064 1160 1296 576 579 584 599 -hsync +vsync (35.9 kHz d)
[ 5.633] (II) modeset(0): Modeline "1024x576"x59.8 42.00 1024 1072 1104 1184 576 579 584 593 +hsync -vsync (35.5 kHz d)
[ 5.633] (II) modeset(0): Modeline "960x600"x119.9 96.62 960 1028 1128 1296 600 601 604 622 doublescan -hsync +vsync (74.6 kHz d)
[ 5.633] (II) modeset(0): Modeline "960x600"x120.0 77.00 960 984 1000 1040 600 601 604 617 doublescan +hsync -vsync (74.0 kHz d)
[ 5.633] (II) modeset(0): Modeline "960x540"x119.9 86.50 960 1024 1124 1288 540 541 544 560 doublescan -hsync +vsync (67.2 kHz d)
[ 5.633] (II) modeset(0): Modeline "960x540"x120.0 69.25 960 984 1000 1040 540 541 544 555 doublescan +hsync -vsync (66.6 kHz d)
[ 5.633] (II) modeset(0): Modeline "960x540"x59.6 40.75 960 992 1088 1216 540 543 548 562 -hsync +vsync (33.5 kHz d)
[ 5.633] (II) modeset(0): Modeline "960x540"x59.8 37.25 960 1008 1040 1120 540 543 548 556 +hsync -vsync (33.3 kHz d)
[ 5.633] (II) modeset(0): Modeline "800x600"x120.0 81.00 800 832 928 1080 600 600 602 625 doublescan +hsync +vsync (75.0 kHz d)
[ 5.633] (II) modeset(0): Modeline "800x600"x60.3 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz d)
[ 5.633] (II) modeset(0): Modeline "800x600"x56.2 36.00 800 824 896 1024 600 601 603 625 +hsync +vsync (35.2 kHz d)
[ 5.633] (II) modeset(0): Modeline "840x525"x120.0 73.12 840 892 980 1120 525 526 529 544 doublescan -hsync +vsync (65.3 kHz d)
[ 5.633] (II) modeset(0): Modeline "840x525"x119.8 59.50 840 864 880 920 525 526 529 540 doublescan +hsync -vsync (64.7 kHz d)
[ 5.633] (II) modeset(0): Modeline "864x486"x59.9 32.50 864 888 968 1072 486 489 494 506 -hsync +vsync (30.3 kHz d)
[ 5.633] (II) modeset(0): Modeline "864x486"x59.6 30.50 864 912 944 1024 486 489 494 500 +hsync -vsync (29.8 kHz d)
[ 5.633] (II) modeset(0): Modeline "700x525"x120.0 61.00 700 744 820 940 525 526 532 541 doublescan +hsync +vsync (64.9 kHz d)
[ 5.633] (II) modeset(0): Modeline "800x450"x119.9 59.12 800 848 928 1056 450 451 454 467 doublescan -hsync +vsync (56.0 kHz d)
[ 5.633] (II) modeset(0): Modeline "800x450"x119.6 48.75 800 824 840 880 450 451 454 463 doublescan +hsync -vsync (55.4 kHz d)
[ 5.633] (II) modeset(0): Modeline "640x512"x120.0 54.00 640 664 720 844 512 512 514 533 doublescan +hsync +vsync (64.0 kHz d)
[ 5.633] (II) modeset(0): Modeline "700x450"x119.9 51.75 700 740 812 924 450 451 456 467 doublescan -hsync +vsync (56.0 kHz d)
[ 5.633] (II) modeset(0): Modeline "700x450"x119.8 43.25 700 724 740 780 450 451 456 463 doublescan +hsync -vsync (55.4 kHz d)
[ 5.633] (II) modeset(0): Modeline "640x480"x120.0 54.00 640 688 744 900 480 480 482 500 doublescan +hsync +vsync (60.0 kHz d)
[ 5.633] (II) modeset(0): Modeline "640x480"x59.9 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz d)
[ 5.633] (II) modeset(0): Modeline "720x405"x59.5 22.50 720 744 808 896 405 408 413 422 -hsync +vsync (25.1 kHz d)
[ 5.633] (II) modeset(0): Modeline "720x405"x59.0 21.75 720 768 800 880 405 408 413 419 +hsync -vsync (24.7 kHz d)
[ 5.633] (II) modeset(0): Modeline "684x384"x119.8 42.62 684 720 788 892 384 385 390 399 doublescan -hsync +vsync (47.8 kHz d)
[ 5.633] (II) modeset(0): Modeline "684x384"x119.7 36.12 684 708 724 764 384 385 390 395 doublescan +hsync -vsync (47.3 kHz d)
[ 5.633] (II) modeset(0): Modeline "640x400"x119.8 41.75 640 676 740 840 400 401 404 415 doublescan -hsync +vsync (49.7 kHz d)
[ 5.633] (II) modeset(0): Modeline "640x400"x120.0 35.50 640 664 680 720 400 401 404 411 doublescan +hsync -vsync (49.3 kHz d)
[ 5.633] (II) modeset(0): Modeline "640x360"x119.7 37.25 640 672 736 832 360 361 364 374 doublescan -hsync +vsync (44.8 kHz d)
[ 5.633] (II) modeset(0): Modeline "640x360"x119.7 31.88 640 664 680 720 360 361 364 370 doublescan +hsync -vsync (44.3 kHz d)
[ 5.633] (II) modeset(0): Modeline "640x360"x59.8 18.00 640 664 720 800 360 363 368 376 -hsync +vsync (22.5 kHz d)
[ 5.633] (II) modeset(0): Modeline "640x360"x59.3 17.75 640 688 720 800 360 363 368 374 +hsync -vsync (22.2 kHz d)
[ 5.633] (II) modeset(0): Modeline "512x384"x120.0 32.50 512 524 592 672 384 385 388 403 doublescan -hsync -vsync (48.4 kHz d)
[ 5.633] (II) modeset(0): Modeline "512x288"x120.0 23.25 512 532 580 648 288 289 292 299 doublescan -hsync +vsync (35.9 kHz d)
[ 5.633] (II) modeset(0): Modeline "512x288"x119.8 21.00 512 536 552 592 288 289 292 296 doublescan +hsync -vsync (35.5 kHz d)
[ 5.633] (II) modeset(0): Modeline "480x270"x119.3 20.38 480 496 544 608 270 271 274 281 doublescan -hsync +vsync (33.5 kHz d)
[ 5.633] (II) modeset(0): Modeline "480x270"x119.6 18.62 480 504 520 560 270 271 274 278 doublescan +hsync -vsync (33.3 kHz d)
[ 5.633] (II) modeset(0): Modeline "400x300"x120.6 20.00 400 420 484 528 300 300 302 314 doublescan +hsync +vsync (37.9 kHz d)
[ 5.633] (II) modeset(0): Modeline "400x300"x112.7 18.00 400 412 448 512 300 300 301 312 doublescan +hsync +vsync (35.2 kHz d)
[ 5.633] (II) modeset(0): Modeline "432x243"x119.8 16.25 432 444 484 536 243 244 247 253 doublescan -hsync +vsync (30.3 kHz d)
[ 5.633] (II) modeset(0): Modeline "432x243"x119.1 15.25 432 456 472 512 243 244 247 250 doublescan +hsync -vsync (29.8 kHz d)
[ 5.633] (II) modeset(0): Modeline "320x240"x120.1 12.59 320 328 376 400 240 245 246 262 doublescan -hsync -vsync (31.5 kHz d)
[ 5.633] (II) modeset(0): Modeline "360x202"x119.0 11.25 360 372 404 448 202 204 206 211 doublescan -hsync +vsync (25.1 kHz d)
[ 5.633] (II) modeset(0): Modeline "360x202"x118.3 10.88 360 384 400 440 202 204 206 209 doublescan +hsync -vsync (24.7 kHz d)
[ 5.633] (II) modeset(0): Modeline "320x180"x119.7 9.00 320 332 360 400 180 181 184 188 doublescan -hsync +vsync (22.5 kHz d)
[ 5.633] (II) modeset(0): Modeline "320x180"x118.6 8.88 320 344 360 400 180 181 184 187 doublescan +hsync -vsync (22.2 kHz d)
[ 5.659] (II) modeset(0): EDID for output HDMI-1
[ 5.659] (II) modeset(0): Manufacturer: DEL Model: 200b Serial#: 16843009
[ 5.659] (II) modeset(0): Year: 2018 Week: 38
[ 5.659] (II) modeset(0): EDID Version: 1.3
[ 5.659] (II) modeset(0): Digital Display Input
[ 5.659] (II) modeset(0): Max Image Size [cm]: horiz.: 70 vert.: 39
[ 5.659] (II) modeset(0): Gamma: 2.20
[ 5.659] (II) modeset(0): DPMS capabilities: Off
[ 5.659] (II) modeset(0): Supported color encodings: RGB 4:4:4 YCrCb 4:4:4
[ 5.659] (II) modeset(0): First detailed timing is preferred mode
[ 5.660] (II) modeset(0): redX: 0.628 redY: 0.348 greenX: 0.308 greenY: 0.630
[ 5.660] (II) modeset(0): blueX: 0.147 blueY: 0.057 whiteX: 0.313 whiteY: 0.329
[ 5.660] (II) modeset(0): Supported established timings:
[ 5.660] (II) modeset(0): 720x400@70Hz
[ 5.660] (II) modeset(0): 640x480@60Hz
[ 5.660] (II) modeset(0): 640x480@75Hz
[ 5.660] (II) modeset(0): 800x600@60Hz
[ 5.660] (II) modeset(0): 800x600@75Hz
[ 5.660] (II) modeset(0): 1024x768@60Hz
[ 5.660] (II) modeset(0): 1024x768@75Hz
[ 5.660] (II) modeset(0): 1280x1024@75Hz
[ 5.660] (II) modeset(0): Manufacturer's mask: 0
[ 5.660] (II) modeset(0): Supported standard timings:
[ 5.660] (II) modeset(0): #0: hsize: 1152 vsize 864 refresh: 75 vid: 20337
[ 5.660] (II) modeset(0): #1: hsize: 1280 vsize 1024 refresh: 60 vid: 32897
[ 5.660] (II) modeset(0): #2: hsize: 1600 vsize 900 refresh: 60 vid: 49321
[ 5.660] (II) modeset(0): #3: hsize: 1920 vsize 1080 refresh: 60 vid: 49361
[ 5.660] (II) modeset(0): Supported detailed timing:
[ 5.660] (II) modeset(0): clock: 148.5 MHz Image Size: 698 x 393 mm
[ 5.660] (II) modeset(0): h_active: 1920 h_sync: 2008 h_sync_end 2052 h_blank_end 2200 h_border: 0
[ 5.660] (II) modeset(0): v_active: 1080 v_sync: 1084 v_sync_end 1089 v_blanking: 1125 v_border: 0
[ 5.660] (II) modeset(0): Serial No: X9R5K89I1F4E
[ 5.660] (II) modeset(0): Monitor name: D3218HN
[ 5.660] (II) modeset(0): Ranges: V min: 56 V max: 76 Hz, H min: 30 H max: 83 kHz, PixClock max 175 MHz
[ 5.660] (II) modeset(0): Supported detailed timing:
[ 5.660] (II) modeset(0): clock: 148.5 MHz Image Size: 698 x 393 mm
[ 5.660] (II) modeset(0): h_active: 1920 h_sync: 2008 h_sync_end 2052 h_blank_end 2200 h_border: 0
[ 5.660] (II) modeset(0): v_active: 1080 v_sync: 1084 v_sync_end 1089 v_blanking: 1125 v_border: 0
[ 5.660] (II) modeset(0): Supported detailed timing:
[ 5.660] (II) modeset(0): clock: 74.2 MHz Image Size: 698 x 393 mm
[ 5.660] (II) modeset(0): h_active: 1920 h_sync: 2008 h_sync_end 2052 h_blank_end 2200 h_border: 0
[ 5.660] (II) modeset(0): v_active: 540 v_sync: 542 v_sync_end 547 v_blanking: 562 v_border: 0
[ 5.660] (II) modeset(0): Supported detailed timing:
[ 5.660] (II) modeset(0): clock: 74.2 MHz Image Size: 698 x 393 mm
[ 5.660] (II) modeset(0): h_active: 1280 h_sync: 1390 h_sync_end 1430 h_blank_end 1650 h_border: 0
[ 5.660] (II) modeset(0): v_active: 720 v_sync: 725 v_sync_end 730 v_blanking: 750 v_border: 0
[ 5.660] (II) modeset(0): Supported detailed timing:
[ 5.660] (II) modeset(0): clock: 148.5 MHz Image Size: 698 x 393 mm
[ 5.660] (II) modeset(0): h_active: 1920 h_sync: 2448 h_sync_end 2492 h_blank_end 2640 h_border: 0
[ 5.660] (II) modeset(0): v_active: 1080 v_sync: 1084 v_sync_end 1089 v_blanking: 1125 v_border: 0
[ 5.660] (II) modeset(0): Number of EDID sections to follow: 1
[ 5.660] (II) modeset(0): EDID (in hex):
[ 5.660] (II) modeset(0): 00ffffffffffff0010ac0b2001010101
[ 5.660] (II) modeset(0): 261c0103804627782acde5a0594ea125
[ 5.660] (II) modeset(0): 0e5054a54b00714f8180a9c0d1c00101
[ 5.660] (II) modeset(0): 010101010101023a801871382d40582c
[ 5.660] (II) modeset(0): 4500ba892100001e000000ff00583952
[ 5.660] (II) modeset(0): 354b383949314634450a000000fc0044
[ 5.660] (II) modeset(0): 33323138484e0a2020202020000000fd
[ 5.660] (II) modeset(0): 00384c1e5311000a20202020202001bb
[ 5.660] (II) modeset(0): 02031ab14f900504030207061f141312
[ 5.660] (II) modeset(0): 1116150165030c001000023a80187138
[ 5.660] (II) modeset(0): 2d40582c4500ba892100001e011d8018
[ 5.660] (II) modeset(0): 711c1620582c2500ba892100009e011d
[ 5.660] (II) modeset(0): 007251d01e206e285500ba892100001e
[ 5.660] (II) modeset(0): 023a80d072382d40102c4580ba892100
[ 5.660] (II) modeset(0): 001e0000000000000000000000000000
[ 5.660] (II) modeset(0): 00000000000000000000000000000042
[ 5.660] (II) modeset(0): Printing probed modes for output HDMI-1
[ 5.660] (II) modeset(0): Modeline "1920x1080"x60.0 148.50 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.5 kHz eP)
[ 5.660] (II) modeset(0): Modeline "1920x1080"x60.0 148.50 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.5 kHz e)
[ 5.660] (II) modeset(0): Modeline "1920x1080"x50.0 148.50 1920 2448 2492 2640 1080 1084 1089 1125 +hsync +vsync (56.2 kHz e)
[ 5.660] (II) modeset(0): Modeline "1920x1080"x50.0 148.50 1920 2448 2492 2640 1080 1084 1089 1125 +hsync +vsync (56.2 kHz e)
[ 5.660] (II) modeset(0): Modeline "1920x1080"x59.9 148.35 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.4 kHz e)
[ 5.660] (II) modeset(0): Modeline "1920x1080i"x60.0 74.25 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.8 kHz e)
[ 5.660] (II) modeset(0): Modeline "1920x1080i"x60.0 74.25 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.8 kHz e)
[ 5.660] (II) modeset(0): Modeline "1920x1080i"x50.0 74.25 1920 2448 2492 2640 1080 1084 1094 1125 interlace +hsync +vsync (28.1 kHz e)
[ 5.660] (II) modeset(0): Modeline "1920x1080i"x59.9 74.18 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.7 kHz e)
[ 5.660] (II) modeset(0): Modeline "1600x900"x60.0 108.00 1600 1624 1704 1800 900 901 904 1000 +hsync +vsync (60.0 kHz e)
[ 5.660] (II) modeset(0): Modeline "1280x1024"x75.0 135.00 1280 1296 1440 1688 1024 1025 1028 1066 +hsync +vsync (80.0 kHz e)
[ 5.660] (II) modeset(0): Modeline "1280x1024"x60.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz e)
[ 5.660] (II) modeset(0): Modeline "1152x864"x75.0 108.00 1152 1216 1344 1600 864 865 868 900 +hsync +vsync (67.5 kHz e)
[ 5.660] (II) modeset(0): Modeline "1280x720"x60.0 74.25 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 5.660] (II) modeset(0): Modeline "1280x720"x60.0 74.25 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 5.660] (II) modeset(0): Modeline "1280x720"x50.0 74.25 1280 1720 1760 1980 720 725 730 750 +hsync +vsync (37.5 kHz e)
[ 5.660] (II) modeset(0): Modeline "1280x720"x59.9 74.18 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 5.660] (II) modeset(0): Modeline "1024x768"x75.0 78.75 1024 1040 1136 1312 768 769 772 800 +hsync +vsync (60.0 kHz e)
[ 5.660] (II) modeset(0): Modeline "1024x768"x60.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz e)
[ 5.660] (II) modeset(0): Modeline "800x600"x75.0 49.50 800 816 896 1056 600 601 604 625 +hsync +vsync (46.9 kHz e)
[ 5.660] (II) modeset(0): Modeline "800x600"x60.3 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz e)
[ 5.660] (II) modeset(0): Modeline "720x576"x50.0 27.00 720 732 796 864 576 581 586 625 -hsync -vsync (31.2 kHz e)
[ 5.660] (II) modeset(0): Modeline "720x576"x50.0 27.00 720 732 796 864 576 581 586 625 -hsync -vsync (31.2 kHz e)
[ 5.660] (II) modeset(0): Modeline "720x576i"x50.0 13.50 720 732 795 864 576 580 586 625 interlace -hsync -vsync (15.6 kHz e)
[ 5.660] (II) modeset(0): Modeline "720x576i"x50.0 13.50 720 732 795 864 576 580 586 625 interlace -hsync -vsync (15.6 kHz e)
[ 5.660] (II) modeset(0): Modeline "720x480"x60.0 27.03 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 5.660] (II) modeset(0): Modeline "720x480"x60.0 27.03 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 5.660] (II) modeset(0): Modeline "720x480"x59.9 27.00 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 5.660] (II) modeset(0): Modeline "720x480"x59.9 27.00 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 5.660] (II) modeset(0): Modeline "720x480i"x60.0 13.51 720 739 801 858 480 488 494 525 interlace -hsync -vsync (15.8 kHz e)
[ 5.660] (II) modeset(0): Modeline "720x480i"x60.0 13.51 720 739 801 858 480 488 494 525 interlace -hsync -vsync (15.8 kHz e)
[ 5.660] (II) modeset(0): Modeline "720x480i"x59.9 13.50 720 739 801 858 480 488 494 525 interlace -hsync -vsync (15.7 kHz e)
[ 5.661] (II) modeset(0): Modeline "720x480i"x59.9 13.50 720 739 801 858 480 488 494 525 interlace -hsync -vsync (15.7 kHz e)
[ 5.661] (II) modeset(0): Modeline "640x480"x75.0 31.50 640 656 720 840 480 481 484 500 -hsync -vsync (37.5 kHz e)
[ 5.661] (II) modeset(0): Modeline "640x480"x60.0 25.20 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 5.661] (II) modeset(0): Modeline "640x480"x59.9 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 5.661] (II) modeset(0): Modeline "640x480"x59.9 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 5.661] (II) modeset(0): Modeline "720x400"x70.1 28.32 720 738 846 900 400 412 414 449 -hsync +vsync (31.5 kHz e)
[ 5.661] (II) modeset(0): EDID for output DP-1
[ 5.661] (II) modeset(0): Output eDP-1 connected
[ 5.661] (II) modeset(0): Output HDMI-1 connected
[ 5.661] (II) modeset(0): Output DP-1 disconnected
[ 5.661] (II) modeset(0): Using spanning desktop for initial modes
[ 5.661] (II) modeset(0): Output eDP-1 using initial mode 1920x1080 +0+0
[ 5.661] (II) modeset(0): Output HDMI-1 using initial mode 1920x1080 +1920+0
[ 5.661] (==) modeset(0): Using gamma correction (1.0, 1.0, 1.0)
[ 5.661] (==) modeset(0): DPI set to (96, 96)
[ 5.661] (II) Loading sub module "fb"
[ 5.661] (II) LoadModule: "fb"
[ 5.661] (II) Loading /usr/lib/xorg/modules/libfb.so
[ 5.661] (II) Module fb: vendor="X.Org Foundation"
[ 5.661] compiled for 1.20.5, module version = 1.0.0
[ 5.661] ABI class: X.Org ANSI C Emulation, version 0.4
[ 5.677] (==) modeset(0): Backing store enabled
[ 5.677] (==) modeset(0): Silken mouse disabled
[ 5.858] (II) modeset(0): Initializing kms color map for depth 24, 8 bpc.
[ 5.858] (==) modeset(0): DPMS enabled
[ 5.859] (II) modeset(0): [DRI2] Setup complete
[ 5.859] (II) modeset(0): [DRI2] DRI driver: i965
[ 5.859] (II) modeset(0): [DRI2] VDPAU driver: i965
[ 5.859] (II) Initializing extension Generic Event Extension
[ 5.859] (II) Initializing extension SHAPE
[ 5.859] (II) Initializing extension MIT-SHM
[ 5.860] (II) Initializing extension XInputExtension
[ 5.861] (II) Initializing extension XTEST
[ 5.861] (II) Initializing extension BIG-REQUESTS
[ 5.861] (II) Initializing extension SYNC
[ 5.861] (II) Initializing extension XKEYBOARD
[ 5.861] (II) Initializing extension XC-MISC
[ 5.862] (II) Initializing extension SECURITY
[ 5.862] (II) Initializing extension XFIXES
[ 5.862] (II) Initializing extension RENDER
[ 5.862] (II) Initializing extension RANDR
[ 5.862] (II) Initializing extension COMPOSITE
[ 5.862] (II) Initializing extension DAMAGE
[ 5.862] (II) Initializing extension MIT-SCREEN-SAVER
[ 5.863] (II) Initializing extension DOUBLE-BUFFER
[ 5.863] (II) Initializing extension RECORD
[ 5.863] (II) Initializing extension DPMS
[ 5.863] (II) Initializing extension Present
[ 5.863] (II) Initializing extension DRI3
[ 5.863] (II) Initializing extension X-Resource
[ 5.863] (II) Initializing extension XVideo
[ 5.864] (II) Initializing extension XVideo-MotionCompensation
[ 5.864] (II) Initializing extension GLX
[ 5.870] (II) AIGLX: Loaded and initialized i965
[ 5.870] (II) GLX: Initialized DRI2 GL provider for screen 0
[ 5.870] (II) Initializing extension XFree86-VidModeExtension
[ 5.871] (II) Initializing extension XFree86-DGA
[ 5.871] (II) Initializing extension XFree86-DRI
[ 5.871] (II) Initializing extension DRI2
[ 5.893] (II) modeset(0): Damage tracking initialized
[ 5.893] (II) modeset(0): Setting screen physical size to 1016 x 285
[ 5.978] (II) config/udev: Adding input device Power Button (/dev/input/event2)
[ 5.978] (**) Power Button: Applying InputClass "libinput keyboard catchall"
[ 5.978] (II) LoadModule: "libinput"
[ 5.978] (II) Loading /usr/lib/xorg/modules/input/libinput_drv.so
[ 5.985] (II) Module libinput: vendor="X.Org Foundation"
[ 5.985] compiled for 1.20.5, module version = 0.29.0
[ 5.985] Module class: X.Org XInput Driver
[ 5.985] ABI class: X.Org XInput driver, version 24.1
[ 5.985] (II) Using input driver 'libinput' for 'Power Button'
[ 5.985] (**) Power Button: always reports core events
[ 5.985] (**) Option "Device" "/dev/input/event2"
[ 5.986] (**) Option "_source" "server/udev"
[ 5.999] (II) event2 - Power Button: is tagged by udev as: Keyboard
[ 5.999] (II) event2 - Power Button: device is a keyboard
[ 5.999] (II) event2 - Power Button: device removed
[ 6.010] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXPWRBN:00/input/input2/event2"
[ 6.010] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 6)
[ 6.011] (II) event2 - Power Button: is tagged by udev as: Keyboard
[ 6.011] (II) event2 - Power Button: device is a keyboard
[ 6.012] (II) config/udev: Adding input device Video Bus (/dev/input/event14)
[ 6.012] (**) Video Bus: Applying InputClass "libinput keyboard catchall"
[ 6.012] (II) Using input driver 'libinput' for 'Video Bus'
[ 6.012] (**) Video Bus: always reports core events
[ 6.012] (**) Option "Device" "/dev/input/event14"
[ 6.012] (**) Option "_source" "server/udev"
[ 6.013] (II) event14 - Video Bus: is tagged by udev as: Keyboard
[ 6.013] (II) event14 - Video Bus: device is a keyboard
[ 6.013] (II) event14 - Video Bus: device removed
[ 6.043] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/LNXVIDEO:00/input/input15/event14"
[ 6.043] (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 7)
[ 6.044] (II) event14 - Video Bus: is tagged by udev as: Keyboard
[ 6.044] (II) event14 - Video Bus: device is a keyboard
[ 6.045] (II) config/udev: Adding input device Video Bus (/dev/input/event15)
[ 6.045] (**) Video Bus: Applying InputClass "libinput keyboard catchall"
[ 6.045] (II) Using input driver 'libinput' for 'Video Bus'
[ 6.045] (**) Video Bus: always reports core events
[ 6.045] (**) Option "Device" "/dev/input/event15"
[ 6.045] (**) Option "_source" "server/udev"
[ 6.046] (II) event15 - Video Bus: is tagged by udev as: Keyboard
[ 6.046] (II) event15 - Video Bus: device is a keyboard
[ 6.046] (II) event15 - Video Bus: device removed
[ 6.063] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/device:23/LNXVIDEO:01/input/input16/event15"
[ 6.063] (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 8)
[ 6.065] (II) event15 - Video Bus: is tagged by udev as: Keyboard
[ 6.065] (II) event15 - Video Bus: device is a keyboard
[ 6.066] (II) config/udev: Adding input device Power Button (/dev/input/event1)
[ 6.066] (**) Power Button: Applying InputClass "libinput keyboard catchall"
[ 6.066] (II) Using input driver 'libinput' for 'Power Button'
[ 6.066] (**) Power Button: always reports core events
[ 6.066] (**) Option "Device" "/dev/input/event1"
[ 6.066] (**) Option "_source" "server/udev"
[ 6.067] (II) event1 - Power Button: is tagged by udev as: Keyboard
[ 6.067] (II) event1 - Power Button: device is a keyboard
[ 6.067] (II) event1 - Power Button: device removed
[ 6.100] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input1/event1"
[ 6.100] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 9)
[ 6.101] (II) event1 - Power Button: is tagged by udev as: Keyboard
[ 6.101] (II) event1 - Power Button: device is a keyboard
[ 6.101] (II) config/udev: Adding input device Lid Switch (/dev/input/event0)
[ 6.101] (II) No input driver specified, ignoring this device.
[ 6.101] (II) This device may have been added with another device file.
[ 6.102] (II) config/udev: Adding input device USB Keyboard (/dev/input/event7)
[ 6.102] (**) USB Keyboard: Applying InputClass "libinput keyboard catchall"
[ 6.102] (II) Using input driver 'libinput' for ' USB Keyboard'
[ 6.102] (**) USB Keyboard: always reports core events
[ 6.102] (**) Option "Device" "/dev/input/event7"
[ 6.102] (**) Option "_source" "server/udev"
[ 6.103] (II) event7 - USB Keyboard: is tagged by udev as: Keyboard
[ 6.104] (II) event7 - USB Keyboard: device is a keyboard
[ 6.104] (II) event7 - USB Keyboard: device removed
[ 6.136] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-1/1-1:1.0/0003:04D9:1702.0001/input/input7/event7"
[ 6.136] (II) XINPUT: Adding extended input device " USB Keyboard" (type: KEYBOARD, id 10)
[ 6.138] (II) event7 - USB Keyboard: is tagged by udev as: Keyboard
[ 6.139] (II) event7 - USB Keyboard: device is a keyboard
[ 6.140] (II) config/udev: Adding input device USB Keyboard System Control (/dev/input/event8)
[ 6.140] (**) USB Keyboard System Control: Applying InputClass "libinput keyboard catchall"
[ 6.140] (II) Using input driver 'libinput' for ' USB Keyboard System Control'
[ 6.140] (**) USB Keyboard System Control: always reports core events
[ 6.140] (**) Option "Device" "/dev/input/event8"
[ 6.140] (**) Option "_source" "server/udev"
[ 6.142] (II) event8 - USB Keyboard System Control: is tagged by udev as: Keyboard
[ 6.142] (II) event8 - USB Keyboard System Control: device is a keyboard
[ 6.142] (II) event8 - USB Keyboard System Control: device removed
[ 6.170] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-1/1-1:1.1/0003:04D9:1702.0002/input/input8/event8"
[ 6.170] (II) XINPUT: Adding extended input device " USB Keyboard System Control" (type: KEYBOARD, id 11)
[ 6.171] (II) event8 - USB Keyboard System Control: is tagged by udev as: Keyboard
[ 6.171] (II) event8 - USB Keyboard System Control: device is a keyboard
[ 6.172] (II) config/udev: Adding input device USB Keyboard Consumer Control (/dev/input/event9)
[ 6.172] (**) USB Keyboard Consumer Control: Applying InputClass "libinput keyboard catchall"
[ 6.172] (II) Using input driver 'libinput' for ' USB Keyboard Consumer Control'
[ 6.172] (**) USB Keyboard Consumer Control: always reports core events
[ 6.172] (**) Option "Device" "/dev/input/event9"
[ 6.172] (**) Option "_source" "server/udev"
[ 6.173] (II) event9 - USB Keyboard Consumer Control: is tagged by udev as: Keyboard
[ 6.173] (II) event9 - USB Keyboard Consumer Control: device is a keyboard
[ 6.173] (II) event9 - USB Keyboard Consumer Control: device removed
[ 6.210] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-1/1-1:1.1/0003:04D9:1702.0002/input/input9/event9"
[ 6.210] (II) XINPUT: Adding extended input device " USB Keyboard Consumer Control" (type: KEYBOARD, id 12)
[ 6.212] (II) event9 - USB Keyboard Consumer Control: is tagged by udev as: Keyboard
[ 6.212] (II) event9 - USB Keyboard Consumer Control: device is a keyboard
[ 6.213] (II) config/udev: Adding input device Logitech M325 (/dev/input/event22)
[ 6.213] (**) Logitech M325: Applying InputClass "libinput pointer catchall"
[ 6.213] (II) Using input driver 'libinput' for 'Logitech M325'
[ 6.213] (**) Logitech M325: always reports core events
[ 6.213] (**) Option "Device" "/dev/input/event22"
[ 6.213] (**) Option "_source" "server/udev"
[ 6.215] (II) event22 - Logitech M325: is tagged by udev as: Mouse
[ 6.215] (II) event22 - Logitech M325: device set to 600 DPI
[ 6.215] (II) event22 - Logitech M325: device is a pointer
[ 6.215] (II) event22 - Logitech M325: device removed
[ 6.283] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.2/0003:046D:C52B.0005/0003:046D:400A.000B/input/input39/event22"
[ 6.283] (II) XINPUT: Adding extended input device "Logitech M325" (type: MOUSE, id 13)
[ 6.283] (**) Option "AccelerationScheme" "none"
[ 6.283] (**) Logitech M325: (accel) selected scheme none/0
[ 6.283] (**) Logitech M325: (accel) acceleration factor: 2.000
[ 6.283] (**) Logitech M325: (accel) acceleration threshold: 4
[ 6.285] (II) event22 - Logitech M325: is tagged by udev as: Mouse
[ 6.285] (II) event22 - Logitech M325: device set to 600 DPI
[ 6.285] (II) event22 - Logitech M325: device is a pointer
[ 6.286] (II) config/udev: Adding input device Logitech M325 (/dev/input/mouse2)
[ 6.286] (II) No input driver specified, ignoring this device.
[ 6.286] (II) This device may have been added with another device file.
[ 6.287] (II) config/udev: Adding input device WEB CAMERA: WEB CAMERA (/dev/input/event11)
[ 6.287] (**) WEB CAMERA: WEB CAMERA: Applying InputClass "libinput keyboard catchall"
[ 6.287] (II) Using input driver 'libinput' for 'WEB CAMERA: WEB CAMERA'
[ 6.287] (**) WEB CAMERA: WEB CAMERA: always reports core events
[ 6.287] (**) Option "Device" "/dev/input/event11"
[ 6.287] (**) Option "_source" "server/udev"
[ 6.289] (II) event11 - WEB CAMERA: WEB CAMERA: is tagged by udev as: Keyboard
[ 6.289] (II) event11 - WEB CAMERA: WEB CAMERA: device is a keyboard
[ 6.289] (II) event11 - WEB CAMERA: WEB CAMERA: device removed
[ 6.317] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-6/1-6:1.0/input/input38/event11"
[ 6.317] (II) XINPUT: Adding extended input device "WEB CAMERA: WEB CAMERA" (type: KEYBOARD, id 14)
[ 6.319] (II) event11 - WEB CAMERA: WEB CAMERA: is tagged by udev as: Keyboard
[ 6.319] (II) event11 - WEB CAMERA: WEB CAMERA: device is a keyboard
[ 6.320] (II) config/udev: Adding input device Atmel Atmel maXTouch Digitizer (/dev/input/event16)
[ 6.320] (**) Atmel Atmel maXTouch Digitizer: Applying InputClass "libinput touchscreen catchall"
[ 6.320] (II) Using input driver 'libinput' for 'Atmel Atmel maXTouch Digitizer'
[ 6.320] (**) Atmel Atmel maXTouch Digitizer: always reports core events
[ 6.320] (**) Option "Device" "/dev/input/event16"
[ 6.320] (**) Option "_source" "server/udev"
[ 6.378] (II) event16 - Atmel Atmel maXTouch Digitizer: is tagged by udev as: Touchscreen
[ 6.378] (II) event16 - Atmel Atmel maXTouch Digitizer: device is a touch device
[ 6.378] (II) event16 - Atmel Atmel maXTouch Digitizer: device removed
[ 6.410] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-7/1-7:1.1/0003:03EB:8AB4.0007/input/input22/event16"
[ 6.410] (II) XINPUT: Adding extended input device "Atmel Atmel maXTouch Digitizer" (type: TOUCHSCREEN, id 15)
[ 6.410] (**) Option "AccelerationScheme" "none"
[ 6.410] (**) Atmel Atmel maXTouch Digitizer: (accel) selected scheme none/0
[ 6.410] (**) Atmel Atmel maXTouch Digitizer: (accel) acceleration factor: 2.000
[ 6.410] (**) Atmel Atmel maXTouch Digitizer: (accel) acceleration threshold: 4
[ 6.468] (II) event16 - Atmel Atmel maXTouch Digitizer: is tagged by udev as: Touchscreen
[ 6.469] (II) event16 - Atmel Atmel maXTouch Digitizer: device is a touch device
[ 6.469] (II) config/udev: Adding input device Atmel Atmel maXTouch Digitizer (/dev/input/mouse0)
[ 6.469] (II) No input driver specified, ignoring this device.
[ 6.469] (II) This device may have been added with another device file.
[ 6.470] (II) config/udev: Adding input device SYN2602:00 06CB:78DD Touchpad (/dev/input/event10)
[ 6.470] (**) SYN2602:00 06CB:78DD Touchpad: Applying InputClass "libinput touchpad catchall"
[ 6.470] (II) Using input driver 'libinput' for 'SYN2602:00 06CB:78DD Touchpad'
[ 6.470] (**) SYN2602:00 06CB:78DD Touchpad: always reports core events
[ 6.470] (**) Option "Device" "/dev/input/event10"
[ 6.470] (**) Option "_source" "server/udev"
[ 6.472] (II) event10 - SYN2602:00 06CB:78DD Touchpad: is tagged by udev as: Touchpad
[ 6.474] (II) event10 - SYN2602:00 06CB:78DD Touchpad: device is a touchpad
[ 6.474] (II) event10 - SYN2602:00 06CB:78DD Touchpad: device removed
[ 6.507] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.0/i2c_designware.0/i2c-1/i2c-SYN2602:00/0018:06CB:78DD.0008/input/input25/event10"
[ 6.507] (II) XINPUT: Adding extended input device "SYN2602:00 06CB:78DD Touchpad" (type: TOUCHPAD, id 16)
[ 6.508] (**) Option "AccelerationScheme" "none"
[ 6.508] (**) SYN2602:00 06CB:78DD Touchpad: (accel) selected scheme none/0
[ 6.509] (**) SYN2602:00 06CB:78DD Touchpad: (accel) acceleration factor: 2.000
[ 6.509] (**) SYN2602:00 06CB:78DD Touchpad: (accel) acceleration threshold: 4
[ 6.511] (II) event10 - SYN2602:00 06CB:78DD Touchpad: is tagged by udev as: Touchpad
[ 6.512] (II) event10 - SYN2602:00 06CB:78DD Touchpad: device is a touchpad
[ 6.514] (II) config/udev: Adding input device SYN2602:00 06CB:78DD Touchpad (/dev/input/mouse1)
[ 6.514] (II) No input driver specified, ignoring this device.
[ 6.514] (II) This device may have been added with another device file.
[ 6.514] (II) config/udev: Adding drm device (/dev/dri/card1)
[ 6.514] (II) xfree86: Adding drm device (/dev/dri/card1)
[ 6.514] (II) LoadModule: "modesetting"
[ 6.514] (II) Loading /usr/lib/xorg/modules/drivers/modesetting_drv.so
[ 6.514] (II) Module modesetting: vendor="X.Org Foundation"
[ 6.514] compiled for 1.20.5, module version = 1.20.5
[ 6.514] Module class: X.Org Video Driver
[ 6.514] ABI class: X.Org Video Driver, version 24.0
[ 6.514] (II) UnloadModule: "modesetting"
[ 6.514] (II) Unloading modesetting
[ 6.514] (II) Failed to load module "modesetting" (already loaded, 0)
[ 6.514] xf86: found device 1
[ 6.515] (II) config/udev: Adding input device Intel Virtual Button driver (/dev/input/event5)
[ 6.515] (**) Intel Virtual Button driver: Applying InputClass "libinput keyboard catchall"
[ 6.515] (II) Using input driver 'libinput' for 'Intel Virtual Button driver'
[ 6.515] (**) Intel Virtual Button driver: always reports core events
[ 6.515] (**) Option "Device" "/dev/input/event5"
[ 6.515] (**) Option "_source" "server/udev"
[ 6.516] (II) event5 - Intel Virtual Button driver: is tagged by udev as: Keyboard Switch
[ 6.516] (II) event5 - Intel Virtual Button driver: device is a keyboard
[ 6.516] (II) event5 - Intel Virtual Button driver: device is a switch device
[ 6.516] (II) event5 - Intel Virtual Button driver: device removed
[ 6.543] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:1f.0/PNP0C09:00/INT33D6:00/input/input5/event5"
[ 6.543] (II) XINPUT: Adding extended input device "Intel Virtual Button driver" (type: KEYBOARD, id 17)
[ 6.544] (II) event5 - Intel Virtual Button driver: is tagged by udev as: Keyboard Switch
[ 6.545] (II) event5 - Intel Virtual Button driver: device is a keyboard
[ 6.545] (II) event5 - Intel Virtual Button driver: device is a switch device
[ 6.545] (II) config/udev: Adding input device HDA Intel PCH Mic (/dev/input/event12)
[ 6.545] (II) No input driver specified, ignoring this device.
[ 6.545] (II) This device may have been added with another device file.
[ 6.545] (II) config/udev: Adding input device HDA Intel PCH Headphone (/dev/input/event13)
[ 6.545] (II) No input driver specified, ignoring this device.
[ 6.545] (II) This device may have been added with another device file.
[ 6.546] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=3 (/dev/input/event17)
[ 6.546] (II) No input driver specified, ignoring this device.
[ 6.546] (II) This device may have been added with another device file.
[ 6.546] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=7 (/dev/input/event18)
[ 6.546] (II) No input driver specified, ignoring this device.
[ 6.546] (II) This device may have been added with another device file.
[ 6.546] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=8 (/dev/input/event19)
[ 6.546] (II) No input driver specified, ignoring this device.
[ 6.546] (II) This device may have been added with another device file.
[ 6.547] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=9 (/dev/input/event20)
[ 6.547] (II) No input driver specified, ignoring this device.
[ 6.547] (II) This device may have been added with another device file.
[ 6.547] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=10 (/dev/input/event21)
[ 6.547] (II) No input driver specified, ignoring this device.
[ 6.547] (II) This device may have been added with another device file.
[ 6.547] (II) config/udev: Adding input device Intel HID events (/dev/input/event4)
[ 6.547] (**) Intel HID events: Applying InputClass "libinput keyboard catchall"
[ 6.547] (II) Using input driver 'libinput' for 'Intel HID events'
[ 6.547] (**) Intel HID events: always reports core events
[ 6.547] (**) Option "Device" "/dev/input/event4"
[ 6.547] (**) Option "_source" "server/udev"
[ 6.548] (II) event4 - Intel HID events: is tagged by udev as: Keyboard
[ 6.548] (II) event4 - Intel HID events: device is a keyboard
[ 6.548] (II) event4 - Intel HID events: device removed
[ 6.593] (**) Option "config_info" "udev:/sys/devices/platform/INT33D5:00/input/input4/event4"
[ 6.593] (II) XINPUT: Adding extended input device "Intel HID events" (type: KEYBOARD, id 18)
[ 6.594] (II) event4 - Intel HID events: is tagged by udev as: Keyboard
[ 6.594] (II) event4 - Intel HID events: device is a keyboard
[ 6.595] (II) config/udev: Adding input device AT Translated Set 2 keyboard (/dev/input/event3)
[ 6.595] (**) AT Translated Set 2 keyboard: Applying InputClass "libinput keyboard catchall"
[ 6.595] (II) Using input driver 'libinput' for 'AT Translated Set 2 keyboard'
[ 6.595] (**) AT Translated Set 2 keyboard: always reports core events
[ 6.595] (**) Option "Device" "/dev/input/event3"
[ 6.595] (**) Option "_source" "server/udev"
[ 6.596] (II) event3 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard
[ 6.597] (II) event3 - AT Translated Set 2 keyboard: device is a keyboard
[ 6.598] (II) event3 - AT Translated Set 2 keyboard: device removed
[ 6.626] (**) Option "config_info" "udev:/sys/devices/platform/i8042/serio0/input/input3/event3"
[ 6.626] (II) XINPUT: Adding extended input device "AT Translated Set 2 keyboard" (type: KEYBOARD, id 19)
[ 6.628] (II) event3 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard
[ 6.628] (II) event3 - AT Translated Set 2 keyboard: device is a keyboard
[ 6.629] (II) config/udev: Adding input device PC Speaker (/dev/input/event6)
[ 6.629] (II) No input driver specified, ignoring this device.
[ 6.629] (II) This device may have been added with another device file.
[ 6.674] (II) config/udev: removing GPU device /sys/devices/pci0000:00/0000:00:1c.0/0000:01:00.0/drm/card1 /dev/dri/card1
[ 6.674] (II) config/udev: Adding drm device (/dev/dri/card1)
[ 6.674] (II) xfree86: Adding drm device (/dev/dri/card1)
[ 6.674] (II) LoadModule: "modesetting"
[ 6.674] (II) Loading /usr/lib/xorg/modules/drivers/modesetting_drv.so
[ 6.674] (II) Module modesetting: vendor="X.Org Foundation"
[ 6.674] compiled for 1.20.5, module version = 1.20.5
[ 6.674] Module class: X.Org Video Driver
[ 6.674] ABI class: X.Org Video Driver, version 24.0
[ 6.675] (II) UnloadModule: "modesetting"
[ 6.675] (II) Unloading modesetting
[ 6.675] (II) Failed to load module "modesetting" (already loaded, 0)
[ 6.675] xf86: found device 1
[ 6.675] (EE) Failed to open authorization file "/var/run/sddm/{b145854b-05fc-492c-b5b4-077ceca00dbb}": No such file or directory
[ 17.068] (II) modeset(0): EDID vendor "DEL", prod id 8203
[ 17.068] (II) modeset(0): Using EDID range info for horizontal sync
[ 17.068] (II) modeset(0): Using EDID range info for vertical refresh
[ 17.068] (II) modeset(0): Printing DDC gathered Modelines:
[ 17.068] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.5 kHz eP)
[ 17.068] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.8 kHz e)
[ 17.068] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 17.068] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2448 2492 2640 1080 1084 1089 1125 +hsync +vsync (56.2 kHz e)
[ 17.068] (II) modeset(0): Modeline "720x480"x0.0 27.00 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 17.068] (II) modeset(0): Modeline "1440x480i"x0.0 27.00 1440 1478 1602 1716 480 488 494 525 interlace -hsync -vsync (15.7 kHz e)
[ 17.068] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2448 2492 2640 1080 1084 1094 1125 interlace +hsync +vsync (28.1 kHz e)
[ 17.068] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1720 1760 1980 720 725 730 750 +hsync +vsync (37.5 kHz e)
[ 17.069] (II) modeset(0): Modeline "720x576"x0.0 27.00 720 732 796 864 576 581 586 625 -hsync -vsync (31.2 kHz e)
[ 17.069] (II) modeset(0): Modeline "1440x576i"x0.0 27.00 1440 1464 1590 1728 576 580 586 625 interlace -hsync -vsync (15.6 kHz e)
[ 17.069] (II) modeset(0): Modeline "640x480"x0.0 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 17.069] (II) modeset(0): Modeline "800x600"x0.0 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz e)
[ 17.069] (II) modeset(0): Modeline "640x480"x0.0 31.50 640 656 720 840 480 481 484 500 -hsync -vsync (37.5 kHz e)
[ 17.069] (II) modeset(0): Modeline "720x400"x0.0 28.32 720 738 846 900 400 412 414 449 -hsync +vsync (31.5 kHz e)
[ 17.069] (II) modeset(0): Modeline "1280x1024"x0.0 135.00 1280 1296 1440 1688 1024 1025 1028 1066 +hsync +vsync (80.0 kHz e)
[ 17.069] (II) modeset(0): Modeline "1024x768"x0.0 78.75 1024 1040 1136 1312 768 769 772 800 +hsync +vsync (60.0 kHz e)
[ 17.069] (II) modeset(0): Modeline "1024x768"x0.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz e)
[ 17.069] (II) modeset(0): Modeline "800x600"x0.0 49.50 800 816 896 1056 600 601 604 625 +hsync +vsync (46.9 kHz e)
[ 17.069] (II) modeset(0): Modeline "1152x864"x0.0 108.00 1152 1216 1344 1600 864 865 868 900 +hsync +vsync (67.5 kHz e)
[ 17.069] (II) modeset(0): Modeline "1280x1024"x0.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz e)
[ 17.069] (II) modeset(0): Modeline "1600x900"x60.0 119.00 1600 1696 1864 2128 900 901 904 932 -hsync +vsync (55.9 kHz e)
[ 17.493] (II) modeset(0): Allocate new frame buffer 1920x1080 stride
[ 18.302] (II) modeset(0): EDID vendor "DEL", prod id 8203
[ 18.302] (II) modeset(0): Using hsync ranges from config file
[ 18.302] (II) modeset(0): Using vrefresh ranges from config file
[ 18.302] (II) modeset(0): Printing DDC gathered Modelines:
[ 18.302] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.5 kHz eP)
[ 18.302] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.8 kHz e)
[ 18.302] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 18.302] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2448 2492 2640 1080 1084 1089 1125 +hsync +vsync (56.2 kHz e)
[ 18.302] (II) modeset(0): Modeline "720x480"x0.0 27.00 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 18.302] (II) modeset(0): Modeline "1440x480i"x0.0 27.00 1440 1478 1602 1716 480 488 494 525 interlace -hsync -vsync (15.7 kHz e)
[ 18.302] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2448 2492 2640 1080 1084 1094 1125 interlace +hsync +vsync (28.1 kHz e)
[ 18.302] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1720 1760 1980 720 725 730 750 +hsync +vsync (37.5 kHz e)
[ 18.302] (II) modeset(0): Modeline "720x576"x0.0 27.00 720 732 796 864 576 581 586 625 -hsync -vsync (31.2 kHz e)
[ 18.302] (II) modeset(0): Modeline "1440x576i"x0.0 27.00 1440 1464 1590 1728 576 580 586 625 interlace -hsync -vsync (15.6 kHz e)
[ 18.302] (II) modeset(0): Modeline "640x480"x0.0 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 18.302] (II) modeset(0): Modeline "800x600"x0.0 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz e)
[ 18.302] (II) modeset(0): Modeline "640x480"x0.0 31.50 640 656 720 840 480 481 484 500 -hsync -vsync (37.5 kHz e)
[ 18.302] (II) modeset(0): Modeline "720x400"x0.0 28.32 720 738 846 900 400 412 414 449 -hsync +vsync (31.5 kHz e)
[ 18.302] (II) modeset(0): Modeline "1280x1024"x0.0 135.00 1280 1296 1440 1688 1024 1025 1028 1066 +hsync +vsync (80.0 kHz e)
[ 18.302] (II) modeset(0): Modeline "1024x768"x0.0 78.75 1024 1040 1136 1312 768 769 772 800 +hsync +vsync (60.0 kHz e)
[ 18.302] (II) modeset(0): Modeline "1024x768"x0.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz e)
[ 18.302] (II) modeset(0): Modeline "800x600"x0.0 49.50 800 816 896 1056 600 601 604 625 +hsync +vsync (46.9 kHz e)
[ 18.302] (II) modeset(0): Modeline "1152x864"x0.0 108.00 1152 1216 1344 1600 864 865 868 900 +hsync +vsync (67.5 kHz e)
[ 18.302] (II) modeset(0): Modeline "1280x1024"x0.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz e)
[ 18.302] (II) modeset(0): Modeline "1600x900"x60.0 119.00 1600 1696 1864 2128 900 901 904 932 -hsync +vsync (55.9 kHz e)
[ 44.292] (II) modeset(0): EDID vendor "DEL", prod id 8203
[ 44.292] (II) modeset(0): Using hsync ranges from config file
[ 44.292] (II) modeset(0): Using vrefresh ranges from config file
[ 44.292] (II) modeset(0): Printing DDC gathered Modelines:
[ 44.292] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.5 kHz eP)
[ 44.292] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.8 kHz e)
[ 44.292] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 44.292] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2448 2492 2640 1080 1084 1089 1125 +hsync +vsync (56.2 kHz e)
[ 44.292] (II) modeset(0): Modeline "720x480"x0.0 27.00 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 44.292] (II) modeset(0): Modeline "1440x480i"x0.0 27.00 1440 1478 1602 1716 480 488 494 525 interlace -hsync -vsync (15.7 kHz e)
[ 44.292] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2448 2492 2640 1080 1084 1094 1125 interlace +hsync +vsync (28.1 kHz e)
[ 44.292] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1720 1760 1980 720 725 730 750 +hsync +vsync (37.5 kHz e)
[ 44.292] (II) modeset(0): Modeline "720x576"x0.0 27.00 720 732 796 864 576 581 586 625 -hsync -vsync (31.2 kHz e)
[ 44.292] (II) modeset(0): Modeline "1440x576i"x0.0 27.00 1440 1464 1590 1728 576 580 586 625 interlace -hsync -vsync (15.6 kHz e)
[ 44.292] (II) modeset(0): Modeline "640x480"x0.0 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 44.292] (II) modeset(0): Modeline "800x600"x0.0 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz e)
[ 44.292] (II) modeset(0): Modeline "640x480"x0.0 31.50 640 656 720 840 480 481 484 500 -hsync -vsync (37.5 kHz e)
[ 44.292] (II) modeset(0): Modeline "720x400"x0.0 28.32 720 738 846 900 400 412 414 449 -hsync +vsync (31.5 kHz e)
[ 44.292] (II) modeset(0): Modeline "1280x1024"x0.0 135.00 1280 1296 1440 1688 1024 1025 1028 1066 +hsync +vsync (80.0 kHz e)
[ 44.292] (II) modeset(0): Modeline "1024x768"x0.0 78.75 1024 1040 1136 1312 768 769 772 800 +hsync +vsync (60.0 kHz e)
[ 44.292] (II) modeset(0): Modeline "1024x768"x0.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz e)
[ 44.292] (II) modeset(0): Modeline "800x600"x0.0 49.50 800 816 896 1056 600 601 604 625 +hsync +vsync (46.9 kHz e)
[ 44.292] (II) modeset(0): Modeline "1152x864"x0.0 108.00 1152 1216 1344 1600 864 865 868 900 +hsync +vsync (67.5 kHz e)
[ 44.292] (II) modeset(0): Modeline "1280x1024"x0.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz e)
[ 44.292] (II) modeset(0): Modeline "1600x900"x60.0 119.00 1600 1696 1864 2128 900 901 904 932 -hsync +vsync (55.9 kHz e)
[ 44.333] (II) modeset(0): EDID vendor "DEL", prod id 8203
[ 44.333] (II) modeset(0): Using hsync ranges from config file
[ 44.333] (II) modeset(0): Using vrefresh ranges from config file
[ 44.333] (II) modeset(0): Printing DDC gathered Modelines:
[ 44.333] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.5 kHz eP)
[ 44.333] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.8 kHz e)
[ 44.333] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 44.333] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2448 2492 2640 1080 1084 1089 1125 +hsync +vsync (56.2 kHz e)
[ 44.333] (II) modeset(0): Modeline "720x480"x0.0 27.00 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 44.333] (II) modeset(0): Modeline "1440x480i"x0.0 27.00 1440 1478 1602 1716 480 488 494 525 interlace -hsync -vsync (15.7 kHz e)
[ 44.333] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2448 2492 2640 1080 1084 1094 1125 interlace +hsync +vsync (28.1 kHz e)
[ 44.333] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1720 1760 1980 720 725 730 750 +hsync +vsync (37.5 kHz e)
[ 44.333] (II) modeset(0): Modeline "720x576"x0.0 27.00 720 732 796 864 576 581 586 625 -hsync -vsync (31.2 kHz e)
[ 44.333] (II) modeset(0): Modeline "1440x576i"x0.0 27.00 1440 1464 1590 1728 576 580 586 625 interlace -hsync -vsync (15.6 kHz e)
[ 44.333] (II) modeset(0): Modeline "640x480"x0.0 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 44.333] (II) modeset(0): Modeline "800x600"x0.0 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz e)
[ 44.333] (II) modeset(0): Modeline "640x480"x0.0 31.50 640 656 720 840 480 481 484 500 -hsync -vsync (37.5 kHz e)
[ 44.333] (II) modeset(0): Modeline "720x400"x0.0 28.32 720 738 846 900 400 412 414 449 -hsync +vsync (31.5 kHz e)
[ 44.333] (II) modeset(0): Modeline "1280x1024"x0.0 135.00 1280 1296 1440 1688 1024 1025 1028 1066 +hsync +vsync (80.0 kHz e)
[ 44.333] (II) modeset(0): Modeline "1024x768"x0.0 78.75 1024 1040 1136 1312 768 769 772 800 +hsync +vsync (60.0 kHz e)
[ 44.333] (II) modeset(0): Modeline "1024x768"x0.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz e)
[ 44.333] (II) modeset(0): Modeline "800x600"x0.0 49.50 800 816 896 1056 600 601 604 625 +hsync +vsync (46.9 kHz e)
[ 44.333] (II) modeset(0): Modeline "1152x864"x0.0 108.00 1152 1216 1344 1600 864 865 868 900 +hsync +vsync (67.5 kHz e)
[ 44.333] (II) modeset(0): Modeline "1280x1024"x0.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz e)
[ 44.333] (II) modeset(0): Modeline "1600x900"x60.0 119.00 1600 1696 1864 2128 900 901 904 932 -hsync +vsync (55.9 kHz e)
____________________________________________
*** /etc/X11/xorg.conf.d/10-nvidia-prime-render-offload.conf
*** ls: -rw-r--r-- 1 root root 1471 2019-08-15 06:05:46.279562475 -0400 /etc/X11/xorg.conf.d/10-nvidia-prime-render-offload.conf
Section "ServerFlags"
Option "IgnoreABI" "true"
EndSection
Section "ServerLayout"
Identifier "layout"
Screen 0 "iGPU"
Option "AllowNVIDIAGPUScreens"
EndSection
Section "Device"
Identifier "iGPU"
Driver "modesetting"
BusID "PCI:0:2:0"
EndSection
Section "Screen"
Identifier "iGPU"
Device "iGPU"
EndSection
Section "Screen"
Identifier "NVIDIA"
Device "nvidia"
EndSection
Section "Files"
ModulePath "/usr/lib/nvidia/xorg"
ModulePath "/usr/lib/xorg/modules"
EndSection
Section "Device"
Identifier "nvidia"
Driver "nvidia"
BusID "PCI:1:0:0"
Option "AllowEmptyInitialConfiguration"
EndSection
# Section "ServerLayout"
# Identifier "layout"
# Screen 0 "intel"
# EndSection
#
# Section "Device"
# Identifier "intel"
# Driver "modesetting"
# EndSection
#
# Section "Screen"
# Identifier "intel"
# Device "intel"
# EndSection
#
# Section "ServerLayout"
# Identifier "layout"
# Option "AllowNVIDIAGPUScreens"
# EndSection
#
# Section "Device"
# Identifier "nvidia"
# Driver "nvidia"
# BusID "PCI:1:0:0"
# EndSection
#
# Section "OutputClass"
# Identifier "intel"
# MatchDriver "i915"
# Driver "modesetting"
# EndSection
#
#
# Section "OutputClass"
# Identifier "nvidia"
# MatchDriver "nvidia"
# Option "AllowEmptyInitialConfiguration"
# Option "PrimaryGPU" "yes"
# ModulePath "/usr/lib/nvidia/xorg"
# ModulePath "/usr/lib/xorg/modules"
# EndSection
____________________________________________
*** /usr/share/X11/xorg.conf.d/10-quirks.conf
*** ls: -rw-r--r-- 1 root root 1350 2019-08-15 04:52:54.000000000 -0400 /usr/share/X11/xorg.conf.d/10-quirks.conf
# Collection of quirks and blacklist/whitelists for specific devices.
# Accelerometer device, posts data through ABS_X/ABS_Y, making X unusable
# http://bugs.freedesktop.org/show_bug.cgi?id=22442
Section "InputClass"
Identifier "ThinkPad HDAPS accelerometer blacklist"
MatchProduct "ThinkPad HDAPS accelerometer data"
Option "Ignore" "on"
EndSection
# https://bugzilla.redhat.com/show_bug.cgi?id=523914
# Mouse does not move in PV Xen guest
# Explicitly tell evdev to not ignore the absolute axes.
Section "InputClass"
Identifier "Xen Virtual Pointer axis blacklist"
MatchProduct "Xen Virtual Pointer"
Option "IgnoreAbsoluteAxes" "off"
Option "IgnoreRelativeAxes" "off"
EndSection
# https://bugs.freedesktop.org/show_bug.cgi?id=55867
# Bug 55867 - Doesn't know how to tag XI_TRACKBALL
Section "InputClass"
Identifier "Tag trackballs as XI_TRACKBALL"
MatchProduct "trackball"
MatchDriver "evdev"
Option "TypeName" "TRACKBALL"
EndSection
# https://bugs.freedesktop.org/show_bug.cgi?id=62831
# Bug 62831 - Mionix Naos 5000 mouse detected incorrectly
Section "InputClass"
Identifier "Tag Mionix Naos 5000 mouse XI_MOUSE"
MatchProduct "La-VIEW Technology Naos 5000 Mouse"
MatchDriver "evdev"
Option "TypeName" "MOUSE"
EndSection
____________________________________________
*** /usr/share/X11/xorg.conf.d/40-libinput.conf
*** ls: -rw-r--r-- 1 root root 1429 2019-08-12 14:26:57.000000000 -0400 /usr/share/X11/xorg.conf.d/40-libinput.conf
# Match on all types of devices but joysticks
#
# If you want to configure your devices, do not copy this file.
# Instead, use a config snippet that contains something like this:
#
# Section "InputClass"
# Identifier "something or other"
# MatchDriver "libinput"
#
# MatchIsTouchpad "on"
# ... other Match directives ...
# Option "someoption" "value"
# EndSection
#
# This applies the option any libinput device also matched by the other
# directives. See the xorg.conf(5) man page for more info on
# matching devices.
Section "InputClass"
Identifier "libinput pointer catchall"
MatchIsPointer "on"
MatchDevicePath "/dev/input/event*"
Driver "libinput"
EndSection
Section "InputClass"
Identifier "libinput keyboard catchall"
MatchIsKeyboard "on"
MatchDevicePath "/dev/input/event*"
Driver "libinput"
EndSection
Section "InputClass"
Identifier "libinput touchpad catchall"
MatchIsTouchpad "on"
MatchDevicePath "/dev/input/event*"
Driver "libinput"
EndSection
Section "InputClass"
Identifier "libinput touchscreen catchall"
MatchIsTouchscreen "on"
MatchDevicePath "/dev/input/event*"
Driver "libinput"
EndSection
Section "InputClass"
Identifier "libinput tablet catchall"
MatchIsTablet "on"
MatchDevicePath "/dev/input/event*"
Driver "libinput"
EndSection
____________________________________________
*** /var/log/Xorg.0.log.old
*** ls: -rw-r--r-- 1 root root 66795 2019-08-15 12:42:30.407187563 -0400 /var/log/Xorg.0.log.old
[ 4.819] (--) Log file renamed from "/var/log/Xorg.pid-552.log" to "/var/log/Xorg.0.log"
[ 4.821]
X.Org X Server 1.20.5
X Protocol Version 11, Revision 0
[ 4.821] Build Operating System: Linux
[ 4.821] Current Operating System: Linux alchemyst 5.2.8-arch1-1-ARCH #1 SMP PREEMPT Fri Aug 9 21:36:07 UTC 2019 x86_64
[ 4.821] Kernel command line: BOOT_IMAGE=/boot/vmlinuz-linux root=UUID=a677b105-7a09-4e2f-8478-bdc145d3b7c1 rw quiet
[ 4.821] Build Date: 15 August 2019 08:42:20AM
[ 4.821]
[ 4.821] Current version of pixman: 0.38.4
[ 4.821] Before reporting problems, check http://wiki.x.org
to make sure that you have the latest version.
[ 4.821] Markers: (--) probed, (**) from config file, (==) default setting,
(++) from command line, (!!) notice, (II) informational,
(WW) warning, (EE) error, (NI) not implemented, (??) unknown.
[ 4.821] (==) Log file: "/var/log/Xorg.0.log", Time: Thu Aug 15 12:39:50 2019
[ 4.826] (==) Using config directory: "/etc/X11/xorg.conf.d"
[ 4.826] (==) Using system config directory "/usr/share/X11/xorg.conf.d"
[ 4.827] (==) ServerLayout "layout"
[ 4.827] (**) |-->Screen "iGPU" (0)
[ 4.827] (**) | |-->Monitor "<default monitor>"
[ 4.827] (**) | |-->Device "iGPU"
[ 4.827] (**) | |-->GPUDevice "nvidia"
[ 4.827] (==) No monitor specified for screen "iGPU".
Using a default monitor configuration.
[ 4.827] (**) Option "IgnoreABI" "true"
[ 4.827] (**) Ignoring ABI Version
[ 4.827] (==) Automatically adding devices
[ 4.827] (==) Automatically enabling devices
[ 4.827] (==) Automatically adding GPU devices
[ 4.827] (==) Max clients allowed: 256, resource mask: 0x1fffff
[ 4.830] (WW) The directory "/usr/share/fonts/misc" does not exist.
[ 4.830] Entry deleted from font path.
[ 4.832] (WW) The directory "/usr/share/fonts/Type1" does not exist.
[ 4.832] Entry deleted from font path.
[ 4.832] (WW) The directory "/usr/share/fonts/100dpi" does not exist.
[ 4.832] Entry deleted from font path.
[ 4.832] (WW) The directory "/usr/share/fonts/75dpi" does not exist.
[ 4.832] Entry deleted from font path.
[ 4.832] (==) FontPath set to:
/usr/share/fonts/TTF,
/usr/share/fonts/OTF
[ 4.832] (**) ModulePath set to "/usr/lib/nvidia/xorg,/usr/lib/xorg/modules"
[ 4.832] (II) The server relies on udev to provide the list of input devices.
If no devices become available, reconfigure udev or disable AutoAddDevices.
[ 4.832] (II) Module ABI versions:
[ 4.832] X.Org ANSI C Emulation: 0.4
[ 4.832] X.Org Video Driver: 24.0
[ 4.832] X.Org XInput driver : 24.1
[ 4.832] X.Org Server Extension : 10.0
[ 4.833] (++) using VT number 1
[ 4.833] (II) systemd-logind: logind integration requires -keeptty and -keeptty was not provided, disabling logind integration
[ 4.833] (II) xfree86: Adding drm device (/dev/dri/card0)
[ 4.836] (II) xfree86: Adding drm device (/dev/dri/card1)
[ 4.838] (--) PCI:*(0@0:2:0) 8086:1916:144d:c142 rev 7, Mem @ 0xf5000000/16777216, 0xd0000000/268435456, I/O @ 0x0000f000/64, BIOS @ 0x????????/131072
[ 4.838] (--) PCI: (1@0:0:0) 10de:134d:144d:c142 rev 162, Mem @ 0xf6000000/16777216, 0xe0000000/268435456, 0xf0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/524288
[ 4.838] (II) Open ACPI successful (/var/run/acpid.socket)
[ 4.838] (II) LoadModule: "glx"
[ 4.844] (II) Loading /usr/lib/xorg/modules/extensions/libglx.so
[ 4.870] (II) Module glx: vendor="X.Org Foundation"
[ 4.870] compiled for 1.20.5, module version = 1.0.0
[ 4.870] ABI class: X.Org Server Extension, version 10.0
[ 4.870] (II) LoadModule: "modesetting"
[ 4.870] (II) Loading /usr/lib/xorg/modules/drivers/modesetting_drv.so
[ 4.878] (II) Module modesetting: vendor="X.Org Foundation"
[ 4.878] compiled for 1.20.5, module version = 1.20.5
[ 4.878] Module class: X.Org Video Driver
[ 4.878] ABI class: X.Org Video Driver, version 24.0
[ 4.878] (II) LoadModule: "nvidia"
[ 4.878] (II) Loading /usr/lib/xorg/modules/drivers/nvidia_drv.so
[ 4.886] (II) Module nvidia: vendor="NVIDIA Corporation"
[ 4.886] compiled for 1.6.99.901, module version = 1.0.0
[ 4.886] Module class: X.Org Video Driver
[ 4.887] (II) modesetting: Driver for Modesetting Kernel Drivers: kms
[ 4.888] (II) NVIDIA dlloader X Driver 435.17 Tue Aug 6 22:56:56 CDT 2019
[ 4.888] (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs
[ 4.902] (II) modeset(0): using drv /dev/dri/card0
[ 4.903] (II) Loading sub module "fb"
[ 4.903] (II) LoadModule: "fb"
[ 4.904] (II) Loading /usr/lib/xorg/modules/libfb.so
[ 4.905] (II) Module fb: vendor="X.Org Foundation"
[ 4.905] compiled for 1.20.5, module version = 1.0.0
[ 4.905] ABI class: X.Org ANSI C Emulation, version 0.4
[ 4.905] (II) Loading sub module "wfb"
[ 4.905] (II) LoadModule: "wfb"
[ 4.905] (II) Loading /usr/lib/xorg/modules/libwfb.so
[ 4.907] (II) Module wfb: vendor="X.Org Foundation"
[ 4.907] compiled for 1.20.5, module version = 1.0.0
[ 4.907] ABI class: X.Org ANSI C Emulation, version 0.4
[ 4.907] (II) Loading sub module "ramdac"
[ 4.907] (II) LoadModule: "ramdac"
[ 4.907] (II) Module "ramdac" already built-in
[ 4.909] (II) modeset(0): Creating default Display subsection in Screen section
"iGPU" for depth/fbbpp 24/32
[ 4.909] (==) modeset(0): Depth 24, (==) framebuffer bpp 32
[ 4.909] (==) modeset(0): RGB weight 888
[ 4.909] (==) modeset(0): Default visual is TrueColor
[ 4.909] (II) Loading sub module "glamoregl"
[ 4.909] (II) LoadModule: "glamoregl"
[ 4.909] (II) Loading /usr/lib/xorg/modules/libglamoregl.so
[ 4.925] (II) Module glamoregl: vendor="X.Org Foundation"
[ 4.925] compiled for 1.20.5, module version = 1.0.1
[ 4.925] ABI class: X.Org ANSI C Emulation, version 0.4
[ 5.098] (II) modeset(0): glamor X acceleration enabled on Mesa DRI Intel(R) HD Graphics 520 (Skylake GT2)
[ 5.098] (II) modeset(0): glamor initialized
[ 5.100] (II) modeset(0): Output eDP-1 has no monitor section
[ 5.126] (II) modeset(0): Output HDMI-1 has no monitor section
[ 5.126] (II) modeset(0): Output DP-1 has no monitor section
[ 5.129] (II) modeset(0): EDID for output eDP-1
[ 5.129] (II) modeset(0): Manufacturer: BOE Model: 6b9 Serial#: 0
[ 5.129] (II) modeset(0): Year: 2016 Week: 1
[ 5.129] (II) modeset(0): EDID Version: 1.4
[ 5.129] (II) modeset(0): Digital Display Input
[ 5.129] (II) modeset(0): 6 bits per channel
[ 5.129] (II) modeset(0): Digital interface is DisplayPort
[ 5.129] (II) modeset(0): Max Image Size [cm]: horiz.: 34 vert.: 19
[ 5.129] (II) modeset(0): Gamma: 2.20
[ 5.129] (II) modeset(0): No DPMS capabilities specified
[ 5.129] (II) modeset(0): Supported color encodings: RGB 4:4:4
[ 5.129] (II) modeset(0): First detailed timing is preferred mode
[ 5.129] (II) modeset(0): Preferred mode is native pixel format and refresh rate
[ 5.129] (II) modeset(0): redX: 0.598 redY: 0.358 greenX: 0.331 greenY: 0.567
[ 5.129] (II) modeset(0): blueX: 0.153 blueY: 0.136 whiteX: 0.307 whiteY: 0.324
[ 5.129] (II) modeset(0): Manufacturer's mask: 0
[ 5.129] (II) modeset(0): Supported detailed timing:
[ 5.129] (II) modeset(0): clock: 141.4 MHz Image Size: 344 x 194 mm
[ 5.129] (II) modeset(0): h_active: 1920 h_sync: 1968 h_sync_end 2000 h_blank_end 2142 h_border: 0
[ 5.129] (II) modeset(0): v_active: 1080 v_sync: 1083 v_sync_end 1089 v_blanking: 1100 v_border: 0
[ 5.129] (II) modeset(0): BOE HF
[ 5.129] (II) modeset(0): NV156FHM-N46
[ 5.129] (II) modeset(0): EDID (in hex):
[ 5.129] (II) modeset(0): 00ffffffffffff0009e5b90600000000
[ 5.129] (II) modeset(0): 011a010495221378023d78995b549127
[ 5.129] (II) modeset(0): 224e5300000001010101010101010101
[ 5.129] (II) modeset(0): 0101010101013c3780de703814403020
[ 5.129] (II) modeset(0): 360058c21000001a0000000000000000
[ 5.129] (II) modeset(0): 00000000000000000000000000fe0042
[ 5.129] (II) modeset(0): 4f452048460a202020202020000000fe
[ 5.129] (II) modeset(0): 004e5631353646484d2d4e34360a0082
[ 5.129] (II) modeset(0): Printing probed modes for output eDP-1
[ 5.129] (II) modeset(0): Modeline "1920x1080"x60.0 141.40 1920 1968 2000 2142 1080 1083 1089 1100 +hsync -vsync (66.0 kHz eP)
[ 5.129] (II) modeset(0): Modeline "1920x1080"x120.0 356.38 1920 2080 2288 2656 1080 1081 1084 1118 doublescan -hsync +vsync (134.2 kHz d)
[ 5.129] (II) modeset(0): Modeline "1920x1080"x119.9 266.50 1920 1944 1960 2000 1080 1081 1084 1111 doublescan +hsync -vsync (133.2 kHz d)
[ 5.129] (II) modeset(0): Modeline "1920x1080"x60.0 173.00 1920 2048 2248 2576 1080 1083 1088 1120 -hsync +vsync (67.2 kHz d)
[ 5.129] (II) modeset(0): Modeline "1920x1080"x59.9 138.50 1920 1968 2000 2080 1080 1083 1088 1111 +hsync -vsync (66.6 kHz d)
[ 5.129] (II) modeset(0): Modeline "1680x1050"x60.0 146.25 1680 1784 1960 2240 1050 1053 1059 1089 -hsync +vsync (65.3 kHz d)
[ 5.129] (II) modeset(0): Modeline "1680x1050"x59.9 119.00 1680 1728 1760 1840 1050 1053 1059 1080 +hsync -vsync (64.7 kHz d)
[ 5.129] (II) modeset(0): Modeline "1400x1050"x60.0 122.00 1400 1488 1640 1880 1050 1052 1064 1082 +hsync +vsync (64.9 kHz d)
[ 5.129] (II) modeset(0): Modeline "1600x900"x120.0 246.00 1600 1728 1900 2200 900 901 904 932 doublescan -hsync +vsync (111.8 kHz d)
[ 5.129] (II) modeset(0): Modeline "1600x900"x119.9 186.50 1600 1624 1640 1680 900 901 904 926 doublescan +hsync -vsync (111.0 kHz d)
[ 5.129] (II) modeset(0): Modeline "1600x900"x59.9 118.25 1600 1696 1856 2112 900 903 908 934 -hsync +vsync (56.0 kHz d)
[ 5.129] (II) modeset(0): Modeline "1600x900"x59.8 97.50 1600 1648 1680 1760 900 903 908 926 +hsync -vsync (55.4 kHz d)
[ 5.129] (II) modeset(0): Modeline "1280x1024"x60.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz d)
[ 5.129] (II) modeset(0): Modeline "1400x900"x60.0 103.50 1400 1480 1624 1848 900 903 913 934 -hsync +vsync (56.0 kHz d)
[ 5.129] (II) modeset(0): Modeline "1400x900"x59.9 86.50 1400 1448 1480 1560 900 903 913 926 +hsync -vsync (55.4 kHz d)
[ 5.129] (II) modeset(0): Modeline "1280x960"x60.0 108.00 1280 1376 1488 1800 960 961 964 1000 +hsync +vsync (60.0 kHz d)
[ 5.129] (II) modeset(0): Modeline "1440x810"x120.0 198.12 1440 1548 1704 1968 810 811 814 839 doublescan -hsync +vsync (100.7 kHz d)
[ 5.129] (II) modeset(0): Modeline "1440x810"x119.9 151.88 1440 1464 1480 1520 810 811 814 833 doublescan +hsync -vsync (99.9 kHz d)
[ 5.129] (II) modeset(0): Modeline "1368x768"x59.9 85.25 1368 1440 1576 1784 768 771 781 798 -hsync +vsync (47.8 kHz d)
[ 5.129] (II) modeset(0): Modeline "1368x768"x59.9 72.25 1368 1416 1448 1528 768 771 781 790 +hsync -vsync (47.3 kHz d)
[ 5.130] (II) modeset(0): Modeline "1280x800"x120.0 174.25 1280 1380 1516 1752 800 801 804 829 doublescan -hsync +vsync (99.5 kHz d)
[ 5.130] (II) modeset(0): Modeline "1280x800"x119.9 134.25 1280 1304 1320 1360 800 801 804 823 doublescan +hsync -vsync (98.7 kHz d)
[ 5.130] (II) modeset(0): Modeline "1280x800"x59.8 83.50 1280 1352 1480 1680 800 803 809 831 -hsync +vsync (49.7 kHz d)
[ 5.130] (II) modeset(0): Modeline "1280x800"x59.9 71.00 1280 1328 1360 1440 800 803 809 823 +hsync -vsync (49.3 kHz d)
[ 5.130] (II) modeset(0): Modeline "1280x720"x120.0 156.12 1280 1376 1512 1744 720 721 724 746 doublescan -hsync +vsync (89.5 kHz d)
[ 5.130] (II) modeset(0): Modeline "1280x720"x120.0 120.75 1280 1304 1320 1360 720 721 724 740 doublescan +hsync -vsync (88.8 kHz d)
[ 5.130] (II) modeset(0): Modeline "1280x720"x59.9 74.50 1280 1344 1472 1664 720 723 728 748 -hsync +vsync (44.8 kHz d)
[ 5.130] (II) modeset(0): Modeline "1280x720"x59.7 63.75 1280 1328 1360 1440 720 723 728 741 +hsync -vsync (44.3 kHz d)
[ 5.130] (II) modeset(0): Modeline "1024x768"x120.1 133.47 1024 1100 1212 1400 768 768 770 794 doublescan -hsync +vsync (95.3 kHz d)
[ 5.130] (II) modeset(0): Modeline "1024x768"x60.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz d)
[ 5.130] (II) modeset(0): Modeline "960x720"x120.0 117.00 960 1024 1128 1300 720 720 722 750 doublescan -hsync +vsync (90.0 kHz d)
[ 5.130] (II) modeset(0): Modeline "928x696"x120.1 109.15 928 976 1088 1264 696 696 698 719 doublescan -hsync +vsync (86.4 kHz d)
[ 5.130] (II) modeset(0): Modeline "896x672"x120.0 102.40 896 960 1060 1224 672 672 674 697 doublescan -hsync +vsync (83.7 kHz d)
[ 5.130] (II) modeset(0): Modeline "1024x576"x119.9 98.50 1024 1092 1200 1376 576 577 580 597 doublescan -hsync +vsync (71.6 kHz d)
[ 5.130] (II) modeset(0): Modeline "1024x576"x119.9 78.38 1024 1048 1064 1104 576 577 580 592 doublescan +hsync -vsync (71.0 kHz d)
[ 5.130] (II) modeset(0): Modeline "1024x576"x59.9 46.50 1024 1064 1160 1296 576 579 584 599 -hsync +vsync (35.9 kHz d)
[ 5.130] (II) modeset(0): Modeline "1024x576"x59.8 42.00 1024 1072 1104 1184 576 579 584 593 +hsync -vsync (35.5 kHz d)
[ 5.130] (II) modeset(0): Modeline "960x600"x119.9 96.62 960 1028 1128 1296 600 601 604 622 doublescan -hsync +vsync (74.6 kHz d)
[ 5.130] (II) modeset(0): Modeline "960x600"x120.0 77.00 960 984 1000 1040 600 601 604 617 doublescan +hsync -vsync (74.0 kHz d)
[ 5.130] (II) modeset(0): Modeline "960x540"x119.9 86.50 960 1024 1124 1288 540 541 544 560 doublescan -hsync +vsync (67.2 kHz d)
[ 5.130] (II) modeset(0): Modeline "960x540"x120.0 69.25 960 984 1000 1040 540 541 544 555 doublescan +hsync -vsync (66.6 kHz d)
[ 5.130] (II) modeset(0): Modeline "960x540"x59.6 40.75 960 992 1088 1216 540 543 548 562 -hsync +vsync (33.5 kHz d)
[ 5.130] (II) modeset(0): Modeline "960x540"x59.8 37.25 960 1008 1040 1120 540 543 548 556 +hsync -vsync (33.3 kHz d)
[ 5.130] (II) modeset(0): Modeline "800x600"x120.0 81.00 800 832 928 1080 600 600 602 625 doublescan +hsync +vsync (75.0 kHz d)
[ 5.130] (II) modeset(0): Modeline "800x600"x60.3 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz d)
[ 5.130] (II) modeset(0): Modeline "800x600"x56.2 36.00 800 824 896 1024 600 601 603 625 +hsync +vsync (35.2 kHz d)
[ 5.130] (II) modeset(0): Modeline "840x525"x120.0 73.12 840 892 980 1120 525 526 529 544 doublescan -hsync +vsync (65.3 kHz d)
[ 5.130] (II) modeset(0): Modeline "840x525"x119.8 59.50 840 864 880 920 525 526 529 540 doublescan +hsync -vsync (64.7 kHz d)
[ 5.130] (II) modeset(0): Modeline "864x486"x59.9 32.50 864 888 968 1072 486 489 494 506 -hsync +vsync (30.3 kHz d)
[ 5.130] (II) modeset(0): Modeline "864x486"x59.6 30.50 864 912 944 1024 486 489 494 500 +hsync -vsync (29.8 kHz d)
[ 5.130] (II) modeset(0): Modeline "700x525"x120.0 61.00 700 744 820 940 525 526 532 541 doublescan +hsync +vsync (64.9 kHz d)
[ 5.130] (II) modeset(0): Modeline "800x450"x119.9 59.12 800 848 928 1056 450 451 454 467 doublescan -hsync +vsync (56.0 kHz d)
[ 5.130] (II) modeset(0): Modeline "800x450"x119.6 48.75 800 824 840 880 450 451 454 463 doublescan +hsync -vsync (55.4 kHz d)
[ 5.130] (II) modeset(0): Modeline "640x512"x120.0 54.00 640 664 720 844 512 512 514 533 doublescan +hsync +vsync (64.0 kHz d)
[ 5.130] (II) modeset(0): Modeline "700x450"x119.9 51.75 700 740 812 924 450 451 456 467 doublescan -hsync +vsync (56.0 kHz d)
[ 5.130] (II) modeset(0): Modeline "700x450"x119.8 43.25 700 724 740 780 450 451 456 463 doublescan +hsync -vsync (55.4 kHz d)
[ 5.130] (II) modeset(0): Modeline "640x480"x120.0 54.00 640 688 744 900 480 480 482 500 doublescan +hsync +vsync (60.0 kHz d)
[ 5.130] (II) modeset(0): Modeline "640x480"x59.9 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz d)
[ 5.130] (II) modeset(0): Modeline "720x405"x59.5 22.50 720 744 808 896 405 408 413 422 -hsync +vsync (25.1 kHz d)
[ 5.130] (II) modeset(0): Modeline "720x405"x59.0 21.75 720 768 800 880 405 408 413 419 +hsync -vsync (24.7 kHz d)
[ 5.130] (II) modeset(0): Modeline "684x384"x119.8 42.62 684 720 788 892 384 385 390 399 doublescan -hsync +vsync (47.8 kHz d)
[ 5.130] (II) modeset(0): Modeline "684x384"x119.7 36.12 684 708 724 764 384 385 390 395 doublescan +hsync -vsync (47.3 kHz d)
[ 5.130] (II) modeset(0): Modeline "640x400"x119.8 41.75 640 676 740 840 400 401 404 415 doublescan -hsync +vsync (49.7 kHz d)
[ 5.130] (II) modeset(0): Modeline "640x400"x120.0 35.50 640 664 680 720 400 401 404 411 doublescan +hsync -vsync (49.3 kHz d)
[ 5.130] (II) modeset(0): Modeline "640x360"x119.7 37.25 640 672 736 832 360 361 364 374 doublescan -hsync +vsync (44.8 kHz d)
[ 5.130] (II) modeset(0): Modeline "640x360"x119.7 31.88 640 664 680 720 360 361 364 370 doublescan +hsync -vsync (44.3 kHz d)
[ 5.130] (II) modeset(0): Modeline "640x360"x59.8 18.00 640 664 720 800 360 363 368 376 -hsync +vsync (22.5 kHz d)
[ 5.130] (II) modeset(0): Modeline "640x360"x59.3 17.75 640 688 720 800 360 363 368 374 +hsync -vsync (22.2 kHz d)
[ 5.130] (II) modeset(0): Modeline "512x384"x120.0 32.50 512 524 592 672 384 385 388 403 doublescan -hsync -vsync (48.4 kHz d)
[ 5.130] (II) modeset(0): Modeline "512x288"x120.0 23.25 512 532 580 648 288 289 292 299 doublescan -hsync +vsync (35.9 kHz d)
[ 5.130] (II) modeset(0): Modeline "512x288"x119.8 21.00 512 536 552 592 288 289 292 296 doublescan +hsync -vsync (35.5 kHz d)
[ 5.130] (II) modeset(0): Modeline "480x270"x119.3 20.38 480 496 544 608 270 271 274 281 doublescan -hsync +vsync (33.5 kHz d)
[ 5.130] (II) modeset(0): Modeline "480x270"x119.6 18.62 480 504 520 560 270 271 274 278 doublescan +hsync -vsync (33.3 kHz d)
[ 5.130] (II) modeset(0): Modeline "400x300"x120.6 20.00 400 420 484 528 300 300 302 314 doublescan +hsync +vsync (37.9 kHz d)
[ 5.130] (II) modeset(0): Modeline "400x300"x112.7 18.00 400 412 448 512 300 300 301 312 doublescan +hsync +vsync (35.2 kHz d)
[ 5.130] (II) modeset(0): Modeline "432x243"x119.8 16.25 432 444 484 536 243 244 247 253 doublescan -hsync +vsync (30.3 kHz d)
[ 5.130] (II) modeset(0): Modeline "432x243"x119.1 15.25 432 456 472 512 243 244 247 250 doublescan +hsync -vsync (29.8 kHz d)
[ 5.130] (II) modeset(0): Modeline "320x240"x120.1 12.59 320 328 376 400 240 245 246 262 doublescan -hsync -vsync (31.5 kHz d)
[ 5.130] (II) modeset(0): Modeline "360x202"x119.0 11.25 360 372 404 448 202 204 206 211 doublescan -hsync +vsync (25.1 kHz d)
[ 5.130] (II) modeset(0): Modeline "360x202"x118.3 10.88 360 384 400 440 202 204 206 209 doublescan +hsync -vsync (24.7 kHz d)
[ 5.130] (II) modeset(0): Modeline "320x180"x119.7 9.00 320 332 360 400 180 181 184 188 doublescan -hsync +vsync (22.5 kHz d)
[ 5.130] (II) modeset(0): Modeline "320x180"x118.6 8.88 320 344 360 400 180 181 184 187 doublescan +hsync -vsync (22.2 kHz d)
[ 5.156] (II) modeset(0): EDID for output HDMI-1
[ 5.157] (II) modeset(0): Manufacturer: DEL Model: 200b Serial#: 16843009
[ 5.157] (II) modeset(0): Year: 2018 Week: 38
[ 5.157] (II) modeset(0): EDID Version: 1.3
[ 5.157] (II) modeset(0): Digital Display Input
[ 5.157] (II) modeset(0): Max Image Size [cm]: horiz.: 70 vert.: 39
[ 5.157] (II) modeset(0): Gamma: 2.20
[ 5.157] (II) modeset(0): DPMS capabilities: Off
[ 5.157] (II) modeset(0): Supported color encodings: RGB 4:4:4 YCrCb 4:4:4
[ 5.157] (II) modeset(0): First detailed timing is preferred mode
[ 5.157] (II) modeset(0): redX: 0.628 redY: 0.348 greenX: 0.308 greenY: 0.630
[ 5.157] (II) modeset(0): blueX: 0.147 blueY: 0.057 whiteX: 0.313 whiteY: 0.329
[ 5.157] (II) modeset(0): Supported established timings:
[ 5.157] (II) modeset(0): 720x400@70Hz
[ 5.157] (II) modeset(0): 640x480@60Hz
[ 5.157] (II) modeset(0): 640x480@75Hz
[ 5.157] (II) modeset(0): 800x600@60Hz
[ 5.157] (II) modeset(0): 800x600@75Hz
[ 5.157] (II) modeset(0): 1024x768@60Hz
[ 5.157] (II) modeset(0): 1024x768@75Hz
[ 5.157] (II) modeset(0): 1280x1024@75Hz
[ 5.157] (II) modeset(0): Manufacturer's mask: 0
[ 5.157] (II) modeset(0): Supported standard timings:
[ 5.157] (II) modeset(0): #0: hsize: 1152 vsize 864 refresh: 75 vid: 20337
[ 5.157] (II) modeset(0): #1: hsize: 1280 vsize 1024 refresh: 60 vid: 32897
[ 5.157] (II) modeset(0): #2: hsize: 1600 vsize 900 refresh: 60 vid: 49321
[ 5.157] (II) modeset(0): #3: hsize: 1920 vsize 1080 refresh: 60 vid: 49361
[ 5.157] (II) modeset(0): Supported detailed timing:
[ 5.157] (II) modeset(0): clock: 148.5 MHz Image Size: 698 x 393 mm
[ 5.157] (II) modeset(0): h_active: 1920 h_sync: 2008 h_sync_end 2052 h_blank_end 2200 h_border: 0
[ 5.157] (II) modeset(0): v_active: 1080 v_sync: 1084 v_sync_end 1089 v_blanking: 1125 v_border: 0
[ 5.157] (II) modeset(0): Serial No: X9R5K89I1F4E
[ 5.157] (II) modeset(0): Monitor name: D3218HN
[ 5.157] (II) modeset(0): Ranges: V min: 56 V max: 76 Hz, H min: 30 H max: 83 kHz, PixClock max 175 MHz
[ 5.157] (II) modeset(0): Supported detailed timing:
[ 5.157] (II) modeset(0): clock: 148.5 MHz Image Size: 698 x 393 mm
[ 5.157] (II) modeset(0): h_active: 1920 h_sync: 2008 h_sync_end 2052 h_blank_end 2200 h_border: 0
[ 5.157] (II) modeset(0): v_active: 1080 v_sync: 1084 v_sync_end 1089 v_blanking: 1125 v_border: 0
[ 5.157] (II) modeset(0): Supported detailed timing:
[ 5.157] (II) modeset(0): clock: 74.2 MHz Image Size: 698 x 393 mm
[ 5.157] (II) modeset(0): h_active: 1920 h_sync: 2008 h_sync_end 2052 h_blank_end 2200 h_border: 0
[ 5.157] (II) modeset(0): v_active: 540 v_sync: 542 v_sync_end 547 v_blanking: 562 v_border: 0
[ 5.157] (II) modeset(0): Supported detailed timing:
[ 5.157] (II) modeset(0): clock: 74.2 MHz Image Size: 698 x 393 mm
[ 5.157] (II) modeset(0): h_active: 1280 h_sync: 1390 h_sync_end 1430 h_blank_end 1650 h_border: 0
[ 5.157] (II) modeset(0): v_active: 720 v_sync: 725 v_sync_end 730 v_blanking: 750 v_border: 0
[ 5.157] (II) modeset(0): Supported detailed timing:
[ 5.157] (II) modeset(0): clock: 148.5 MHz Image Size: 698 x 393 mm
[ 5.157] (II) modeset(0): h_active: 1920 h_sync: 2448 h_sync_end 2492 h_blank_end 2640 h_border: 0
[ 5.157] (II) modeset(0): v_active: 1080 v_sync: 1084 v_sync_end 1089 v_blanking: 1125 v_border: 0
[ 5.157] (II) modeset(0): Number of EDID sections to follow: 1
[ 5.157] (II) modeset(0): EDID (in hex):
[ 5.157] (II) modeset(0): 00ffffffffffff0010ac0b2001010101
[ 5.157] (II) modeset(0): 261c0103804627782acde5a0594ea125
[ 5.157] (II) modeset(0): 0e5054a54b00714f8180a9c0d1c00101
[ 5.157] (II) modeset(0): 010101010101023a801871382d40582c
[ 5.157] (II) modeset(0): 4500ba892100001e000000ff00583952
[ 5.157] (II) modeset(0): 354b383949314634450a000000fc0044
[ 5.157] (II) modeset(0): 33323138484e0a2020202020000000fd
[ 5.157] (II) modeset(0): 00384c1e5311000a20202020202001bb
[ 5.157] (II) modeset(0): 02031ab14f900504030207061f141312
[ 5.157] (II) modeset(0): 1116150165030c001000023a80187138
[ 5.157] (II) modeset(0): 2d40582c4500ba892100001e011d8018
[ 5.157] (II) modeset(0): 711c1620582c2500ba892100009e011d
[ 5.157] (II) modeset(0): 007251d01e206e285500ba892100001e
[ 5.157] (II) modeset(0): 023a80d072382d40102c4580ba892100
[ 5.157] (II) modeset(0): 001e0000000000000000000000000000
[ 5.157] (II) modeset(0): 00000000000000000000000000000042
[ 5.157] (II) modeset(0): Printing probed modes for output HDMI-1
[ 5.157] (II) modeset(0): Modeline "1920x1080"x60.0 148.50 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.5 kHz eP)
[ 5.157] (II) modeset(0): Modeline "1920x1080"x60.0 148.50 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.5 kHz e)
[ 5.157] (II) modeset(0): Modeline "1920x1080"x50.0 148.50 1920 2448 2492 2640 1080 1084 1089 1125 +hsync +vsync (56.2 kHz e)
[ 5.157] (II) modeset(0): Modeline "1920x1080"x50.0 148.50 1920 2448 2492 2640 1080 1084 1089 1125 +hsync +vsync (56.2 kHz e)
[ 5.157] (II) modeset(0): Modeline "1920x1080"x59.9 148.35 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.4 kHz e)
[ 5.157] (II) modeset(0): Modeline "1920x1080i"x60.0 74.25 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.8 kHz e)
[ 5.157] (II) modeset(0): Modeline "1920x1080i"x60.0 74.25 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.8 kHz e)
[ 5.157] (II) modeset(0): Modeline "1920x1080i"x50.0 74.25 1920 2448 2492 2640 1080 1084 1094 1125 interlace +hsync +vsync (28.1 kHz e)
[ 5.157] (II) modeset(0): Modeline "1920x1080i"x59.9 74.18 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.7 kHz e)
[ 5.157] (II) modeset(0): Modeline "1600x900"x60.0 108.00 1600 1624 1704 1800 900 901 904 1000 +hsync +vsync (60.0 kHz e)
[ 5.157] (II) modeset(0): Modeline "1280x1024"x75.0 135.00 1280 1296 1440 1688 1024 1025 1028 1066 +hsync +vsync (80.0 kHz e)
[ 5.157] (II) modeset(0): Modeline "1280x1024"x60.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz e)
[ 5.157] (II) modeset(0): Modeline "1152x864"x75.0 108.00 1152 1216 1344 1600 864 865 868 900 +hsync +vsync (67.5 kHz e)
[ 5.157] (II) modeset(0): Modeline "1280x720"x60.0 74.25 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 5.157] (II) modeset(0): Modeline "1280x720"x60.0 74.25 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 5.157] (II) modeset(0): Modeline "1280x720"x50.0 74.25 1280 1720 1760 1980 720 725 730 750 +hsync +vsync (37.5 kHz e)
[ 5.157] (II) modeset(0): Modeline "1280x720"x59.9 74.18 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 5.157] (II) modeset(0): Modeline "1024x768"x75.0 78.75 1024 1040 1136 1312 768 769 772 800 +hsync +vsync (60.0 kHz e)
[ 5.157] (II) modeset(0): Modeline "1024x768"x60.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz e)
[ 5.157] (II) modeset(0): Modeline "800x600"x75.0 49.50 800 816 896 1056 600 601 604 625 +hsync +vsync (46.9 kHz e)
[ 5.157] (II) modeset(0): Modeline "800x600"x60.3 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz e)
[ 5.157] (II) modeset(0): Modeline "720x576"x50.0 27.00 720 732 796 864 576 581 586 625 -hsync -vsync (31.2 kHz e)
[ 5.157] (II) modeset(0): Modeline "720x576"x50.0 27.00 720 732 796 864 576 581 586 625 -hsync -vsync (31.2 kHz e)
[ 5.157] (II) modeset(0): Modeline "720x576i"x50.0 13.50 720 732 795 864 576 580 586 625 interlace -hsync -vsync (15.6 kHz e)
[ 5.157] (II) modeset(0): Modeline "720x576i"x50.0 13.50 720 732 795 864 576 580 586 625 interlace -hsync -vsync (15.6 kHz e)
[ 5.157] (II) modeset(0): Modeline "720x480"x60.0 27.03 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 5.157] (II) modeset(0): Modeline "720x480"x60.0 27.03 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 5.157] (II) modeset(0): Modeline "720x480"x59.9 27.00 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 5.157] (II) modeset(0): Modeline "720x480"x59.9 27.00 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 5.157] (II) modeset(0): Modeline "720x480i"x60.0 13.51 720 739 801 858 480 488 494 525 interlace -hsync -vsync (15.8 kHz e)
[ 5.157] (II) modeset(0): Modeline "720x480i"x60.0 13.51 720 739 801 858 480 488 494 525 interlace -hsync -vsync (15.8 kHz e)
[ 5.157] (II) modeset(0): Modeline "720x480i"x59.9 13.50 720 739 801 858 480 488 494 525 interlace -hsync -vsync (15.7 kHz e)
[ 5.157] (II) modeset(0): Modeline "720x480i"x59.9 13.50 720 739 801 858 480 488 494 525 interlace -hsync -vsync (15.7 kHz e)
[ 5.157] (II) modeset(0): Modeline "640x480"x75.0 31.50 640 656 720 840 480 481 484 500 -hsync -vsync (37.5 kHz e)
[ 5.157] (II) modeset(0): Modeline "640x480"x60.0 25.20 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 5.157] (II) modeset(0): Modeline "640x480"x59.9 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 5.158] (II) modeset(0): Modeline "640x480"x59.9 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 5.158] (II) modeset(0): Modeline "720x400"x70.1 28.32 720 738 846 900 400 412 414 449 -hsync +vsync (31.5 kHz e)
[ 5.158] (II) modeset(0): EDID for output DP-1
[ 5.158] (II) modeset(0): Output eDP-1 connected
[ 5.158] (II) modeset(0): Output HDMI-1 connected
[ 5.158] (II) modeset(0): Output DP-1 disconnected
[ 5.158] (II) modeset(0): Using spanning desktop for initial modes
[ 5.158] (II) modeset(0): Output eDP-1 using initial mode 1920x1080 +0+0
[ 5.158] (II) modeset(0): Output HDMI-1 using initial mode 1920x1080 +1920+0
[ 5.158] (==) modeset(0): Using gamma correction (1.0, 1.0, 1.0)
[ 5.158] (==) modeset(0): DPI set to (96, 96)
[ 5.158] (II) Loading sub module "fb"
[ 5.158] (II) LoadModule: "fb"
[ 5.158] (II) Loading /usr/lib/xorg/modules/libfb.so
[ 5.158] (II) Module fb: vendor="X.Org Foundation"
[ 5.158] compiled for 1.20.5, module version = 1.0.0
[ 5.158] ABI class: X.Org ANSI C Emulation, version 0.4
[ 5.158] (==) NVIDIA(G0): Depth 24, (==) framebuffer bpp 32
[ 5.158] (==) NVIDIA(G0): RGB weight 888
[ 5.158] (==) NVIDIA(G0): Default visual is TrueColor
[ 5.158] (==) NVIDIA(G0): Using gamma correction (1.0, 1.0, 1.0)
[ 5.158] (**) Option "AllowNVIDIAGpuScreens"
[ 5.158] (**) NVIDIA(G0): Option "AllowEmptyInitialConfiguration"
[ 5.159] (**) NVIDIA(G0): Enabling 2D acceleration
[ 5.159] (II) Loading sub module "glxserver_nvidia"
[ 5.159] (II) LoadModule: "glxserver_nvidia"
[ 5.159] (II) Loading /usr/lib/nvidia/xorg/libglxserver_nvidia.so
[ 5.237] (II) Module glxserver_nvidia: vendor="NVIDIA Corporation"
[ 5.237] compiled for 1.6.99.901, module version = 1.0.0
[ 5.237] Module class: X.Org Server Extension
[ 5.238] (II) NVIDIA GLX Module 435.17 Tue Aug 6 22:54:03 CDT 2019
[ 5.242] (II) NVIDIA: The X server supports PRIME Render Offload.
[ 5.631] (II) NVIDIA(G0): NVIDIA GPU GeForce 940MX (GM108-A) at PCI:1:0:0 (GPU-0)
[ 5.631] (--) NVIDIA(G0): Memory: 2097152 kBytes
[ 5.631] (--) NVIDIA(G0): VideoBIOS: 82.08.5d.00.05
[ 5.631] (II) NVIDIA(G0): Detected PCI Express Link width: 4X
[ 5.631] (II) NVIDIA(G0): Validated MetaModes:
[ 5.631] (II) NVIDIA(G0): "NULL"
[ 5.631] (**) NVIDIA(G0): Virtual screen size configured to be 3840 x 1080
[ 5.631] (WW) NVIDIA(G0): Unable to get display device for DPI computation.
[ 5.631] (==) NVIDIA(G0): DPI set to (75, 75); computed from built-in default
[ 5.632] (II) NVIDIA: Using 6144.00 MB of virtual memory for indirect memory
[ 5.632] (II) NVIDIA: access.
[ 5.655] (II) NVIDIA(G0): Setting mode "NULL"
[ 5.660] (==) NVIDIA(G0): Disabling shared memory pixmaps
[ 5.660] (==) NVIDIA(G0): Backing store enabled
[ 5.660] (==) NVIDIA(G0): Silken mouse disabled
[ 5.661] (==) NVIDIA(G0): DPMS enabled
[ 5.661] (II) Loading sub module "dri2"
[ 5.661] (II) LoadModule: "dri2"
[ 5.661] (II) Module "dri2" already built-in
[ 5.661] (II) NVIDIA(G0): [DRI2] Setup complete
[ 5.661] (II) NVIDIA(G0): [DRI2] VDPAU driver: nvidia
[ 5.674] (==) modeset(0): Backing store enabled
[ 5.674] (==) modeset(0): Silken mouse disabled
[ 5.852] (II) modeset(0): Initializing kms color map for depth 24, 8 bpc.
[ 5.853] (==) modeset(0): DPMS enabled
[ 5.853] (II) modeset(0): [DRI2] Setup complete
[ 5.853] (II) modeset(0): [DRI2] DRI driver: i965
[ 5.853] (II) modeset(0): [DRI2] VDPAU driver: i965
[ 5.853] (II) Initializing extension Generic Event Extension
[ 5.853] (II) Initializing extension SHAPE
[ 5.853] (II) Initializing extension MIT-SHM
[ 5.853] (II) Initializing extension XInputExtension
[ 5.854] (II) Initializing extension XTEST
[ 5.854] (II) Initializing extension BIG-REQUESTS
[ 5.854] (II) Initializing extension SYNC
[ 5.854] (II) Initializing extension XKEYBOARD
[ 5.854] (II) Initializing extension XC-MISC
[ 5.854] (II) Initializing extension SECURITY
[ 5.854] (II) Initializing extension XFIXES
[ 5.855] (II) Initializing extension RENDER
[ 5.855] (II) Initializing extension RANDR
[ 5.855] (II) Initializing extension COMPOSITE
[ 5.855] (II) Initializing extension DAMAGE
[ 5.855] (II) Initializing extension MIT-SCREEN-SAVER
[ 5.855] (II) Initializing extension DOUBLE-BUFFER
[ 5.855] (II) Initializing extension RECORD
[ 5.855] (II) Initializing extension DPMS
[ 5.855] (II) Initializing extension Present
[ 5.855] (II) Initializing extension DRI3
[ 5.856] (II) Initializing extension X-Resource
[ 5.856] (II) Initializing extension XVideo
[ 5.856] (II) Initializing extension XVideo-MotionCompensation
[ 5.856] (II) Initializing extension GLX
[ 5.856] (II) Initializing extension GLX
[ 5.856] (II) Indirect GLX disabled.
[ 5.860] (II) AIGLX: Loaded and initialized i965
[ 5.860] (II) GLX: Initialized DRI2 GL provider for screen 0
[ 5.860] (II) Initializing extension XFree86-VidModeExtension
[ 5.860] (II) Initializing extension XFree86-DGA
[ 5.860] (II) Initializing extension XFree86-DRI
[ 5.860] (II) Initializing extension DRI2
[ 5.861] (II) Initializing extension NV-GLX
[ 5.861] (II) Initializing extension NV-CONTROL
[ 5.881] (II) modeset(0): Damage tracking initialized
[ 5.881] (II) modeset(0): Setting screen physical size to 1016 x 285
[ 5.953] (II) config/udev: Adding input device Power Button (/dev/input/event2)
[ 5.953] (**) Power Button: Applying InputClass "libinput keyboard catchall"
[ 5.953] (II) LoadModule: "libinput"
[ 5.953] (II) Loading /usr/lib/xorg/modules/input/libinput_drv.so
[ 5.960] (II) Module libinput: vendor="X.Org Foundation"
[ 5.961] compiled for 1.20.5, module version = 0.29.0
[ 5.961] Module class: X.Org XInput Driver
[ 5.961] ABI class: X.Org XInput driver, version 24.1
[ 5.961] (II) Using input driver 'libinput' for 'Power Button'
[ 5.961] (**) Power Button: always reports core events
[ 5.961] (**) Option "Device" "/dev/input/event2"
[ 5.961] (**) Option "_source" "server/udev"
[ 5.976] (II) event2 - Power Button: is tagged by udev as: Keyboard
[ 5.976] (II) event2 - Power Button: device is a keyboard
[ 5.976] (II) event2 - Power Button: device removed
[ 6.000] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXPWRBN:00/input/input2/event2"
[ 6.000] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 6)
[ 6.001] (II) event2 - Power Button: is tagged by udev as: Keyboard
[ 6.001] (II) event2 - Power Button: device is a keyboard
[ 6.001] (II) config/udev: Adding input device Video Bus (/dev/input/event16)
[ 6.001] (**) Video Bus: Applying InputClass "libinput keyboard catchall"
[ 6.001] (II) Using input driver 'libinput' for 'Video Bus'
[ 6.001] (**) Video Bus: always reports core events
[ 6.001] (**) Option "Device" "/dev/input/event16"
[ 6.001] (**) Option "_source" "server/udev"
[ 6.002] (II) event16 - Video Bus: is tagged by udev as: Keyboard
[ 6.002] (II) event16 - Video Bus: device is a keyboard
[ 6.002] (II) event16 - Video Bus: device removed
[ 6.026] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/LNXVIDEO:00/input/input25/event16"
[ 6.026] (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 7)
[ 6.027] (II) event16 - Video Bus: is tagged by udev as: Keyboard
[ 6.027] (II) event16 - Video Bus: device is a keyboard
[ 6.028] (II) config/udev: Adding input device Video Bus (/dev/input/event17)
[ 6.028] (**) Video Bus: Applying InputClass "libinput keyboard catchall"
[ 6.028] (II) Using input driver 'libinput' for 'Video Bus'
[ 6.028] (**) Video Bus: always reports core events
[ 6.028] (**) Option "Device" "/dev/input/event17"
[ 6.028] (**) Option "_source" "server/udev"
[ 6.029] (II) event17 - Video Bus: is tagged by udev as: Keyboard
[ 6.029] (II) event17 - Video Bus: device is a keyboard
[ 6.029] (II) event17 - Video Bus: device removed
[ 6.053] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/device:23/LNXVIDEO:01/input/input26/event17"
[ 6.053] (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 8)
[ 6.054] (II) event17 - Video Bus: is tagged by udev as: Keyboard
[ 6.054] (II) event17 - Video Bus: device is a keyboard
[ 6.055] (II) config/udev: Adding input device Power Button (/dev/input/event1)
[ 6.055] (**) Power Button: Applying InputClass "libinput keyboard catchall"
[ 6.055] (II) Using input driver 'libinput' for 'Power Button'
[ 6.055] (**) Power Button: always reports core events
[ 6.055] (**) Option "Device" "/dev/input/event1"
[ 6.055] (**) Option "_source" "server/udev"
[ 6.055] (II) event1 - Power Button: is tagged by udev as: Keyboard
[ 6.055] (II) event1 - Power Button: device is a keyboard
[ 6.055] (II) event1 - Power Button: device removed
[ 6.076] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input1/event1"
[ 6.076] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 9)
[ 6.077] (II) event1 - Power Button: is tagged by udev as: Keyboard
[ 6.077] (II) event1 - Power Button: device is a keyboard
[ 6.078] (II) config/udev: Adding input device Lid Switch (/dev/input/event0)
[ 6.078] (II) No input driver specified, ignoring this device.
[ 6.078] (II) This device may have been added with another device file.
[ 6.079] (II) config/udev: Adding input device USB Keyboard (/dev/input/event7)
[ 6.079] (**) USB Keyboard: Applying InputClass "libinput keyboard catchall"
[ 6.079] (II) Using input driver 'libinput' for ' USB Keyboard'
[ 6.079] (**) USB Keyboard: always reports core events
[ 6.079] (**) Option "Device" "/dev/input/event7"
[ 6.079] (**) Option "_source" "server/udev"
[ 6.080] (II) event7 - USB Keyboard: is tagged by udev as: Keyboard
[ 6.080] (II) event7 - USB Keyboard: device is a keyboard
[ 6.080] (II) event7 - USB Keyboard: device removed
[ 6.103] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-1/1-1:1.0/0003:04D9:1702.0001/input/input7/event7"
[ 6.103] (II) XINPUT: Adding extended input device " USB Keyboard" (type: KEYBOARD, id 10)
[ 6.104] (II) event7 - USB Keyboard: is tagged by udev as: Keyboard
[ 6.104] (II) event7 - USB Keyboard: device is a keyboard
[ 6.105] (II) config/udev: Adding input device USB Keyboard System Control (/dev/input/event8)
[ 6.105] (**) USB Keyboard System Control: Applying InputClass "libinput keyboard catchall"
[ 6.105] (II) Using input driver 'libinput' for ' USB Keyboard System Control'
[ 6.105] (**) USB Keyboard System Control: always reports core events
[ 6.105] (**) Option "Device" "/dev/input/event8"
[ 6.105] (**) Option "_source" "server/udev"
[ 6.106] (II) event8 - USB Keyboard System Control: is tagged by udev as: Keyboard
[ 6.106] (II) event8 - USB Keyboard System Control: device is a keyboard
[ 6.106] (II) event8 - USB Keyboard System Control: device removed
[ 6.130] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-1/1-1:1.1/0003:04D9:1702.0002/input/input8/event8"
[ 6.130] (II) XINPUT: Adding extended input device " USB Keyboard System Control" (type: KEYBOARD, id 11)
[ 6.131] (II) event8 - USB Keyboard System Control: is tagged by udev as: Keyboard
[ 6.131] (II) event8 - USB Keyboard System Control: device is a keyboard
[ 6.132] (II) config/udev: Adding input device USB Keyboard Consumer Control (/dev/input/event9)
[ 6.132] (**) USB Keyboard Consumer Control: Applying InputClass "libinput keyboard catchall"
[ 6.132] (II) Using input driver 'libinput' for ' USB Keyboard Consumer Control'
[ 6.132] (**) USB Keyboard Consumer Control: always reports core events
[ 6.132] (**) Option "Device" "/dev/input/event9"
[ 6.132] (**) Option "_source" "server/udev"
[ 6.133] (II) event9 - USB Keyboard Consumer Control: is tagged by udev as: Keyboard
[ 6.133] (II) event9 - USB Keyboard Consumer Control: device is a keyboard
[ 6.133] (II) event9 - USB Keyboard Consumer Control: device removed
[ 6.153] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-1/1-1:1.1/0003:04D9:1702.0002/input/input9/event9"
[ 6.153] (II) XINPUT: Adding extended input device " USB Keyboard Consumer Control" (type: KEYBOARD, id 12)
[ 6.154] (II) event9 - USB Keyboard Consumer Control: is tagged by udev as: Keyboard
[ 6.155] (II) event9 - USB Keyboard Consumer Control: device is a keyboard
[ 6.155] (II) config/udev: Adding input device Logitech M325 (/dev/input/event10)
[ 6.155] (**) Logitech M325: Applying InputClass "libinput pointer catchall"
[ 6.155] (II) Using input driver 'libinput' for 'Logitech M325'
[ 6.155] (**) Logitech M325: always reports core events
[ 6.155] (**) Option "Device" "/dev/input/event10"
[ 6.155] (**) Option "_source" "server/udev"
[ 6.157] (II) event10 - Logitech M325: is tagged by udev as: Mouse
[ 6.157] (II) event10 - Logitech M325: device set to 600 DPI
[ 6.157] (II) event10 - Logitech M325: device is a pointer
[ 6.157] (II) event10 - Logitech M325: device removed
[ 6.206] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.2/0003:046D:C52B.0005/0003:046D:400A.000B/input/input39/event10"
[ 6.206] (II) XINPUT: Adding extended input device "Logitech M325" (type: MOUSE, id 13)
[ 6.207] (**) Option "AccelerationScheme" "none"
[ 6.207] (**) Logitech M325: (accel) selected scheme none/0
[ 6.207] (**) Logitech M325: (accel) acceleration factor: 2.000
[ 6.207] (**) Logitech M325: (accel) acceleration threshold: 4
[ 6.208] (II) event10 - Logitech M325: is tagged by udev as: Mouse
[ 6.208] (II) event10 - Logitech M325: device set to 600 DPI
[ 6.208] (II) event10 - Logitech M325: device is a pointer
[ 6.209] (II) config/udev: Adding input device Logitech M325 (/dev/input/mouse0)
[ 6.209] (II) No input driver specified, ignoring this device.
[ 6.209] (II) This device may have been added with another device file.
[ 6.209] (II) config/udev: Adding input device WEB CAMERA: WEB CAMERA (/dev/input/event22)
[ 6.209] (**) WEB CAMERA: WEB CAMERA: Applying InputClass "libinput keyboard catchall"
[ 6.209] (II) Using input driver 'libinput' for 'WEB CAMERA: WEB CAMERA'
[ 6.209] (**) WEB CAMERA: WEB CAMERA: always reports core events
[ 6.209] (**) Option "Device" "/dev/input/event22"
[ 6.209] (**) Option "_source" "server/udev"
[ 6.211] (II) event22 - WEB CAMERA: WEB CAMERA: is tagged by udev as: Keyboard
[ 6.211] (II) event22 - WEB CAMERA: WEB CAMERA: device is a keyboard
[ 6.211] (II) event22 - WEB CAMERA: WEB CAMERA: device removed
[ 6.246] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-6/1-6:1.0/input/input38/event22"
[ 6.246] (II) XINPUT: Adding extended input device "WEB CAMERA: WEB CAMERA" (type: KEYBOARD, id 14)
[ 6.248] (II) event22 - WEB CAMERA: WEB CAMERA: is tagged by udev as: Keyboard
[ 6.248] (II) event22 - WEB CAMERA: WEB CAMERA: device is a keyboard
[ 6.248] (II) config/udev: Adding input device Atmel Atmel maXTouch Digitizer (/dev/input/event15)
[ 6.248] (**) Atmel Atmel maXTouch Digitizer: Applying InputClass "libinput touchscreen catchall"
[ 6.248] (II) Using input driver 'libinput' for 'Atmel Atmel maXTouch Digitizer'
[ 6.248] (**) Atmel Atmel maXTouch Digitizer: always reports core events
[ 6.248] (**) Option "Device" "/dev/input/event15"
[ 6.248] (**) Option "_source" "server/udev"
[ 6.304] (II) event15 - Atmel Atmel maXTouch Digitizer: is tagged by udev as: Touchscreen
[ 6.304] (II) event15 - Atmel Atmel maXTouch Digitizer: device is a touch device
[ 6.304] (II) event15 - Atmel Atmel maXTouch Digitizer: device removed
[ 6.363] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:14.0/usb1/1-7/1-7:1.1/0003:03EB:8AB4.0008/input/input23/event15"
[ 6.363] (II) XINPUT: Adding extended input device "Atmel Atmel maXTouch Digitizer" (type: TOUCHSCREEN, id 15)
[ 6.363] (**) Option "AccelerationScheme" "none"
[ 6.363] (**) Atmel Atmel maXTouch Digitizer: (accel) selected scheme none/0
[ 6.363] (**) Atmel Atmel maXTouch Digitizer: (accel) acceleration factor: 2.000
[ 6.363] (**) Atmel Atmel maXTouch Digitizer: (accel) acceleration threshold: 4
[ 6.421] (II) event15 - Atmel Atmel maXTouch Digitizer: is tagged by udev as: Touchscreen
[ 6.421] (II) event15 - Atmel Atmel maXTouch Digitizer: device is a touch device
[ 6.422] (II) config/udev: Adding input device Atmel Atmel maXTouch Digitizer (/dev/input/mouse2)
[ 6.422] (II) No input driver specified, ignoring this device.
[ 6.422] (II) This device may have been added with another device file.
[ 6.422] (II) config/udev: Adding input device SYN2602:00 06CB:78DD Touchpad (/dev/input/event14)
[ 6.422] (**) SYN2602:00 06CB:78DD Touchpad: Applying InputClass "libinput touchpad catchall"
[ 6.422] (II) Using input driver 'libinput' for 'SYN2602:00 06CB:78DD Touchpad'
[ 6.422] (**) SYN2602:00 06CB:78DD Touchpad: always reports core events
[ 6.422] (**) Option "Device" "/dev/input/event14"
[ 6.422] (**) Option "_source" "server/udev"
[ 6.425] (II) event14 - SYN2602:00 06CB:78DD Touchpad: is tagged by udev as: Touchpad
[ 6.427] (II) event14 - SYN2602:00 06CB:78DD Touchpad: device is a touchpad
[ 6.427] (II) event14 - SYN2602:00 06CB:78DD Touchpad: device removed
[ 6.473] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:15.0/i2c_designware.0/i2c-1/i2c-SYN2602:00/0018:06CB:78DD.0006/input/input21/event14"
[ 6.473] (II) XINPUT: Adding extended input device "SYN2602:00 06CB:78DD Touchpad" (type: TOUCHPAD, id 16)
[ 6.475] (**) Option "AccelerationScheme" "none"
[ 6.475] (**) SYN2602:00 06CB:78DD Touchpad: (accel) selected scheme none/0
[ 6.475] (**) SYN2602:00 06CB:78DD Touchpad: (accel) acceleration factor: 2.000
[ 6.475] (**) SYN2602:00 06CB:78DD Touchpad: (accel) acceleration threshold: 4
[ 6.476] (II) event14 - SYN2602:00 06CB:78DD Touchpad: is tagged by udev as: Touchpad
[ 6.477] (II) event14 - SYN2602:00 06CB:78DD Touchpad: device is a touchpad
[ 6.478] (II) config/udev: Adding input device SYN2602:00 06CB:78DD Touchpad (/dev/input/mouse1)
[ 6.478] (II) No input driver specified, ignoring this device.
[ 6.478] (II) This device may have been added with another device file.
[ 6.479] (II) config/udev: Adding input device Intel Virtual Button driver (/dev/input/event5)
[ 6.479] (**) Intel Virtual Button driver: Applying InputClass "libinput keyboard catchall"
[ 6.479] (II) Using input driver 'libinput' for 'Intel Virtual Button driver'
[ 6.479] (**) Intel Virtual Button driver: always reports core events
[ 6.479] (**) Option "Device" "/dev/input/event5"
[ 6.479] (**) Option "_source" "server/udev"
[ 6.480] (II) event5 - Intel Virtual Button driver: is tagged by udev as: Keyboard Switch
[ 6.480] (II) event5 - Intel Virtual Button driver: device is a keyboard
[ 6.480] (II) event5 - Intel Virtual Button driver: device is a switch device
[ 6.480] (II) event5 - Intel Virtual Button driver: device removed
[ 6.500] (**) Option "config_info" "udev:/sys/devices/pci0000:00/0000:00:1f.0/PNP0C09:00/INT33D6:00/input/input5/event5"
[ 6.500] (II) XINPUT: Adding extended input device "Intel Virtual Button driver" (type: KEYBOARD, id 17)
[ 6.501] (II) event5 - Intel Virtual Button driver: is tagged by udev as: Keyboard Switch
[ 6.501] (II) event5 - Intel Virtual Button driver: device is a keyboard
[ 6.501] (II) event5 - Intel Virtual Button driver: device is a switch device
[ 6.502] (II) config/udev: Adding input device HDA Intel PCH Mic (/dev/input/event11)
[ 6.502] (II) No input driver specified, ignoring this device.
[ 6.502] (II) This device may have been added with another device file.
[ 6.502] (II) config/udev: Adding input device HDA Intel PCH Headphone (/dev/input/event12)
[ 6.502] (II) No input driver specified, ignoring this device.
[ 6.502] (II) This device may have been added with another device file.
[ 6.502] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=3 (/dev/input/event13)
[ 6.502] (II) No input driver specified, ignoring this device.
[ 6.502] (II) This device may have been added with another device file.
[ 6.502] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=7 (/dev/input/event18)
[ 6.502] (II) No input driver specified, ignoring this device.
[ 6.502] (II) This device may have been added with another device file.
[ 6.503] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=8 (/dev/input/event19)
[ 6.503] (II) No input driver specified, ignoring this device.
[ 6.503] (II) This device may have been added with another device file.
[ 6.503] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=9 (/dev/input/event20)
[ 6.503] (II) No input driver specified, ignoring this device.
[ 6.503] (II) This device may have been added with another device file.
[ 6.503] (II) config/udev: Adding input device HDA Intel PCH HDMI/DP,pcm=10 (/dev/input/event21)
[ 6.503] (II) No input driver specified, ignoring this device.
[ 6.503] (II) This device may have been added with another device file.
[ 6.504] (II) config/udev: Adding input device Intel HID events (/dev/input/event4)
[ 6.504] (**) Intel HID events: Applying InputClass "libinput keyboard catchall"
[ 6.504] (II) Using input driver 'libinput' for 'Intel HID events'
[ 6.504] (**) Intel HID events: always reports core events
[ 6.504] (**) Option "Device" "/dev/input/event4"
[ 6.504] (**) Option "_source" "server/udev"
[ 6.504] (II) event4 - Intel HID events: is tagged by udev as: Keyboard
[ 6.504] (II) event4 - Intel HID events: device is a keyboard
[ 6.504] (II) event4 - Intel HID events: device removed
[ 6.526] (**) Option "config_info" "udev:/sys/devices/platform/INT33D5:00/input/input4/event4"
[ 6.526] (II) XINPUT: Adding extended input device "Intel HID events" (type: KEYBOARD, id 18)
[ 6.527] (II) event4 - Intel HID events: is tagged by udev as: Keyboard
[ 6.527] (II) event4 - Intel HID events: device is a keyboard
[ 6.528] (II) config/udev: Adding input device AT Translated Set 2 keyboard (/dev/input/event3)
[ 6.528] (**) AT Translated Set 2 keyboard: Applying InputClass "libinput keyboard catchall"
[ 6.528] (II) Using input driver 'libinput' for 'AT Translated Set 2 keyboard'
[ 6.528] (**) AT Translated Set 2 keyboard: always reports core events
[ 6.528] (**) Option "Device" "/dev/input/event3"
[ 6.528] (**) Option "_source" "server/udev"
[ 6.529] (II) event3 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard
[ 6.529] (II) event3 - AT Translated Set 2 keyboard: device is a keyboard
[ 6.531] (II) event3 - AT Translated Set 2 keyboard: device removed
[ 6.546] (**) Option "config_info" "udev:/sys/devices/platform/i8042/serio0/input/input3/event3"
[ 6.546] (II) XINPUT: Adding extended input device "AT Translated Set 2 keyboard" (type: KEYBOARD, id 19)
[ 6.548] (II) event3 - AT Translated Set 2 keyboard: is tagged by udev as: Keyboard
[ 6.548] (II) event3 - AT Translated Set 2 keyboard: device is a keyboard
[ 6.550] (II) config/udev: Adding input device PC Speaker (/dev/input/event6)
[ 6.550] (II) No input driver specified, ignoring this device.
[ 6.550] (II) This device may have been added with another device file.
[ 6.636] (EE) Failed to open authorization file "/var/run/sddm/{7361d447-2482-4606-819c-13c74f4106a3}": No such file or directory
[ 66.675] (II) modeset(0): EDID vendor "DEL", prod id 8203
[ 66.675] (II) modeset(0): Using EDID range info for horizontal sync
[ 66.675] (II) modeset(0): Using EDID range info for vertical refresh
[ 66.675] (II) modeset(0): Printing DDC gathered Modelines:
[ 66.675] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.5 kHz eP)
[ 66.675] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.8 kHz e)
[ 66.675] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 66.675] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2448 2492 2640 1080 1084 1089 1125 +hsync +vsync (56.2 kHz e)
[ 66.675] (II) modeset(0): Modeline "720x480"x0.0 27.00 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 66.675] (II) modeset(0): Modeline "1440x480i"x0.0 27.00 1440 1478 1602 1716 480 488 494 525 interlace -hsync -vsync (15.7 kHz e)
[ 66.675] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2448 2492 2640 1080 1084 1094 1125 interlace +hsync +vsync (28.1 kHz e)
[ 66.675] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1720 1760 1980 720 725 730 750 +hsync +vsync (37.5 kHz e)
[ 66.675] (II) modeset(0): Modeline "720x576"x0.0 27.00 720 732 796 864 576 581 586 625 -hsync -vsync (31.2 kHz e)
[ 66.675] (II) modeset(0): Modeline "1440x576i"x0.0 27.00 1440 1464 1590 1728 576 580 586 625 interlace -hsync -vsync (15.6 kHz e)
[ 66.675] (II) modeset(0): Modeline "640x480"x0.0 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 66.675] (II) modeset(0): Modeline "800x600"x0.0 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz e)
[ 66.675] (II) modeset(0): Modeline "640x480"x0.0 31.50 640 656 720 840 480 481 484 500 -hsync -vsync (37.5 kHz e)
[ 66.675] (II) modeset(0): Modeline "720x400"x0.0 28.32 720 738 846 900 400 412 414 449 -hsync +vsync (31.5 kHz e)
[ 66.675] (II) modeset(0): Modeline "1280x1024"x0.0 135.00 1280 1296 1440 1688 1024 1025 1028 1066 +hsync +vsync (80.0 kHz e)
[ 66.675] (II) modeset(0): Modeline "1024x768"x0.0 78.75 1024 1040 1136 1312 768 769 772 800 +hsync +vsync (60.0 kHz e)
[ 66.675] (II) modeset(0): Modeline "1024x768"x0.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz e)
[ 66.675] (II) modeset(0): Modeline "800x600"x0.0 49.50 800 816 896 1056 600 601 604 625 +hsync +vsync (46.9 kHz e)
[ 66.676] (II) modeset(0): Modeline "1152x864"x0.0 108.00 1152 1216 1344 1600 864 865 868 900 +hsync +vsync (67.5 kHz e)
[ 66.676] (II) modeset(0): Modeline "1280x1024"x0.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz e)
[ 66.676] (II) modeset(0): Modeline "1600x900"x60.0 119.00 1600 1696 1864 2128 900 901 904 932 -hsync +vsync (55.9 kHz e)
[ 67.037] (II) modeset(0): Allocate new frame buffer 1920x1080 stride
[ 67.852] (II) modeset(0): EDID vendor "DEL", prod id 8203
[ 67.853] (II) modeset(0): Using hsync ranges from config file
[ 67.853] (II) modeset(0): Using vrefresh ranges from config file
[ 67.853] (II) modeset(0): Printing DDC gathered Modelines:
[ 67.853] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.5 kHz eP)
[ 67.853] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.8 kHz e)
[ 67.853] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 67.853] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2448 2492 2640 1080 1084 1089 1125 +hsync +vsync (56.2 kHz e)
[ 67.853] (II) modeset(0): Modeline "720x480"x0.0 27.00 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 67.853] (II) modeset(0): Modeline "1440x480i"x0.0 27.00 1440 1478 1602 1716 480 488 494 525 interlace -hsync -vsync (15.7 kHz e)
[ 67.853] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2448 2492 2640 1080 1084 1094 1125 interlace +hsync +vsync (28.1 kHz e)
[ 67.853] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1720 1760 1980 720 725 730 750 +hsync +vsync (37.5 kHz e)
[ 67.853] (II) modeset(0): Modeline "720x576"x0.0 27.00 720 732 796 864 576 581 586 625 -hsync -vsync (31.2 kHz e)
[ 67.853] (II) modeset(0): Modeline "1440x576i"x0.0 27.00 1440 1464 1590 1728 576 580 586 625 interlace -hsync -vsync (15.6 kHz e)
[ 67.853] (II) modeset(0): Modeline "640x480"x0.0 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 67.853] (II) modeset(0): Modeline "800x600"x0.0 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz e)
[ 67.853] (II) modeset(0): Modeline "640x480"x0.0 31.50 640 656 720 840 480 481 484 500 -hsync -vsync (37.5 kHz e)
[ 67.853] (II) modeset(0): Modeline "720x400"x0.0 28.32 720 738 846 900 400 412 414 449 -hsync +vsync (31.5 kHz e)
[ 67.853] (II) modeset(0): Modeline "1280x1024"x0.0 135.00 1280 1296 1440 1688 1024 1025 1028 1066 +hsync +vsync (80.0 kHz e)
[ 67.853] (II) modeset(0): Modeline "1024x768"x0.0 78.75 1024 1040 1136 1312 768 769 772 800 +hsync +vsync (60.0 kHz e)
[ 67.853] (II) modeset(0): Modeline "1024x768"x0.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz e)
[ 67.853] (II) modeset(0): Modeline "800x600"x0.0 49.50 800 816 896 1056 600 601 604 625 +hsync +vsync (46.9 kHz e)
[ 67.853] (II) modeset(0): Modeline "1152x864"x0.0 108.00 1152 1216 1344 1600 864 865 868 900 +hsync +vsync (67.5 kHz e)
[ 67.853] (II) modeset(0): Modeline "1280x1024"x0.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz e)
[ 67.853] (II) modeset(0): Modeline "1600x900"x60.0 119.00 1600 1696 1864 2128 900 901 904 932 -hsync +vsync (55.9 kHz e)
[ 84.028] (II) modeset(0): EDID vendor "DEL", prod id 8203
[ 84.028] (II) modeset(0): Using hsync ranges from config file
[ 84.028] (II) modeset(0): Using vrefresh ranges from config file
[ 84.028] (II) modeset(0): Printing DDC gathered Modelines:
[ 84.028] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.5 kHz eP)
[ 84.028] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.8 kHz e)
[ 84.028] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 84.028] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2448 2492 2640 1080 1084 1089 1125 +hsync +vsync (56.2 kHz e)
[ 84.028] (II) modeset(0): Modeline "720x480"x0.0 27.00 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 84.028] (II) modeset(0): Modeline "1440x480i"x0.0 27.00 1440 1478 1602 1716 480 488 494 525 interlace -hsync -vsync (15.7 kHz e)
[ 84.028] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2448 2492 2640 1080 1084 1094 1125 interlace +hsync +vsync (28.1 kHz e)
[ 84.028] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1720 1760 1980 720 725 730 750 +hsync +vsync (37.5 kHz e)
[ 84.028] (II) modeset(0): Modeline "720x576"x0.0 27.00 720 732 796 864 576 581 586 625 -hsync -vsync (31.2 kHz e)
[ 84.028] (II) modeset(0): Modeline "1440x576i"x0.0 27.00 1440 1464 1590 1728 576 580 586 625 interlace -hsync -vsync (15.6 kHz e)
[ 84.028] (II) modeset(0): Modeline "640x480"x0.0 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 84.028] (II) modeset(0): Modeline "800x600"x0.0 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz e)
[ 84.028] (II) modeset(0): Modeline "640x480"x0.0 31.50 640 656 720 840 480 481 484 500 -hsync -vsync (37.5 kHz e)
[ 84.028] (II) modeset(0): Modeline "720x400"x0.0 28.32 720 738 846 900 400 412 414 449 -hsync +vsync (31.5 kHz e)
[ 84.028] (II) modeset(0): Modeline "1280x1024"x0.0 135.00 1280 1296 1440 1688 1024 1025 1028 1066 +hsync +vsync (80.0 kHz e)
[ 84.028] (II) modeset(0): Modeline "1024x768"x0.0 78.75 1024 1040 1136 1312 768 769 772 800 +hsync +vsync (60.0 kHz e)
[ 84.028] (II) modeset(0): Modeline "1024x768"x0.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz e)
[ 84.028] (II) modeset(0): Modeline "800x600"x0.0 49.50 800 816 896 1056 600 601 604 625 +hsync +vsync (46.9 kHz e)
[ 84.028] (II) modeset(0): Modeline "1152x864"x0.0 108.00 1152 1216 1344 1600 864 865 868 900 +hsync +vsync (67.5 kHz e)
[ 84.028] (II) modeset(0): Modeline "1280x1024"x0.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz e)
[ 84.028] (II) modeset(0): Modeline "1600x900"x60.0 119.00 1600 1696 1864 2128 900 901 904 932 -hsync +vsync (55.9 kHz e)
[ 84.068] (II) modeset(0): EDID vendor "DEL", prod id 8203
[ 84.068] (II) modeset(0): Using hsync ranges from config file
[ 84.068] (II) modeset(0): Using vrefresh ranges from config file
[ 84.068] (II) modeset(0): Printing DDC gathered Modelines:
[ 84.068] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2008 2052 2200 1080 1084 1089 1125 +hsync +vsync (67.5 kHz eP)
[ 84.068] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2008 2052 2200 1080 1084 1094 1125 interlace +hsync +vsync (33.8 kHz e)
[ 84.068] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1390 1430 1650 720 725 730 750 +hsync +vsync (45.0 kHz e)
[ 84.068] (II) modeset(0): Modeline "1920x1080"x0.0 148.50 1920 2448 2492 2640 1080 1084 1089 1125 +hsync +vsync (56.2 kHz e)
[ 84.068] (II) modeset(0): Modeline "720x480"x0.0 27.00 720 736 798 858 480 489 495 525 -hsync -vsync (31.5 kHz e)
[ 84.068] (II) modeset(0): Modeline "1440x480i"x0.0 27.00 1440 1478 1602 1716 480 488 494 525 interlace -hsync -vsync (15.7 kHz e)
[ 84.068] (II) modeset(0): Modeline "1920x1080i"x0.0 74.25 1920 2448 2492 2640 1080 1084 1094 1125 interlace +hsync +vsync (28.1 kHz e)
[ 84.068] (II) modeset(0): Modeline "1280x720"x0.0 74.25 1280 1720 1760 1980 720 725 730 750 +hsync +vsync (37.5 kHz e)
[ 84.068] (II) modeset(0): Modeline "720x576"x0.0 27.00 720 732 796 864 576 581 586 625 -hsync -vsync (31.2 kHz e)
[ 84.068] (II) modeset(0): Modeline "1440x576i"x0.0 27.00 1440 1464 1590 1728 576 580 586 625 interlace -hsync -vsync (15.6 kHz e)
[ 84.068] (II) modeset(0): Modeline "640x480"x0.0 25.18 640 656 752 800 480 490 492 525 -hsync -vsync (31.5 kHz e)
[ 84.068] (II) modeset(0): Modeline "800x600"x0.0 40.00 800 840 968 1056 600 601 605 628 +hsync +vsync (37.9 kHz e)
[ 84.068] (II) modeset(0): Modeline "640x480"x0.0 31.50 640 656 720 840 480 481 484 500 -hsync -vsync (37.5 kHz e)
[ 84.068] (II) modeset(0): Modeline "720x400"x0.0 28.32 720 738 846 900 400 412 414 449 -hsync +vsync (31.5 kHz e)
[ 84.068] (II) modeset(0): Modeline "1280x1024"x0.0 135.00 1280 1296 1440 1688 1024 1025 1028 1066 +hsync +vsync (80.0 kHz e)
[ 84.068] (II) modeset(0): Modeline "1024x768"x0.0 78.75 1024 1040 1136 1312 768 769 772 800 +hsync +vsync (60.0 kHz e)
[ 84.068] (II) modeset(0): Modeline "1024x768"x0.0 65.00 1024 1048 1184 1344 768 771 777 806 -hsync -vsync (48.4 kHz e)
[ 84.068] (II) modeset(0): Modeline "800x600"x0.0 49.50 800 816 896 1056 600 601 604 625 +hsync +vsync (46.9 kHz e)
[ 84.068] (II) modeset(0): Modeline "1152x864"x0.0 108.00 1152 1216 1344 1600 864 865 868 900 +hsync +vsync (67.5 kHz e)
[ 84.068] (II) modeset(0): Modeline "1280x1024"x0.0 108.00 1280 1328 1440 1688 1024 1025 1028 1066 +hsync +vsync (64.0 kHz e)
[ 84.068] (II) modeset(0): Modeline "1600x900"x60.0 119.00 1600 1696 1864 2128 900 901 904 932 -hsync +vsync (55.9 kHz e)
[ 163.574] (II) event2 - Power Button: device removed
[ 163.597] (II) event16 - Video Bus: device removed
[ 163.615] (II) event17 - Video Bus: device removed
[ 163.640] (II) event1 - Power Button: device removed
[ 163.656] (II) event7 - USB Keyboard: device removed
[ 163.670] (II) event8 - USB Keyboard System Control: device removed
[ 163.686] (II) event9 - USB Keyboard Consumer Control: device removed
[ 163.710] (II) event10 - Logitech M325: device removed
[ 163.743] (II) event22 - WEB CAMERA: WEB CAMERA: device removed
[ 163.786] (II) event15 - Atmel Atmel maXTouch Digitizer: device removed
[ 163.846] (II) event14 - SYN2602:00 06CB:78DD Touchpad: device removed
[ 163.906] (II) event5 - Intel Virtual Button driver: device removed
[ 163.940] (II) event4 - Intel HID events: device removed
[ 163.960] (II) event3 - AT Translated Set 2 keyboard: device removed
[ 163.986] (II) UnloadModule: "libinput"
[ 163.986] (II) UnloadModule: "libinput"
[ 163.986] (II) UnloadModule: "libinput"
[ 163.986] (II) UnloadModule: "libinput"
[ 163.986] (II) UnloadModule: "libinput"
[ 163.986] (II) UnloadModule: "libinput"
[ 163.986] (II) UnloadModule: "libinput"
[ 163.986] (II) UnloadModule: "libinput"
[ 163.986] (II) UnloadModule: "libinput"
[ 163.986] (II) UnloadModule: "libinput"
[ 163.987] (II) UnloadModule: "libinput"
[ 163.987] (II) UnloadModule: "libinput"
[ 163.987] (II) UnloadModule: "libinput"
[ 163.987] (II) UnloadModule: "libinput"
[ 164.030] (II) NVIDIA(GPU-0): Deleting GPU-0
[ 164.315] (II) Server terminated successfully (0). Closing log file.
____________________________________________
*** /var/log/Xorg.1.log.old
*** ls: -rw-r--r-- 1 root root 19782 2019-03-28 12:38:08.776688406 -0400 /var/log/Xorg.1.log.old
[ 86435.780] _XSERVTransSocketUNIXCreateListener: ...SocketCreateListener() failed
[ 86435.780] _XSERVTransMakeAllCOTSServerListeners: server already running
[ 86435.780] (--) Log file renamed from "/var/log/Xorg.pid-3331.log" to "/var/log/Xorg.1.log"
[ 86435.782]
X.Org X Server 1.20.4
X Protocol Version 11, Revision 0
[ 86435.782] Build Operating System: Linux Arch Linux
[ 86435.782] Current Operating System: Linux alchemyst 5.0.4-arch1-1-ARCH #1 SMP PREEMPT Sat Mar 23 21:00:33 UTC 2019 x86_64
[ 86435.782] Kernel command line: BOOT_IMAGE=/boot/vmlinuz-linux root=UUID=a677b105-7a09-4e2f-8478-bdc145d3b7c1 rw quiet
[ 86435.782] Build Date: 27 February 2019 04:04:00PM
[ 86435.782]
[ 86435.782] Current version of pixman: 0.38.0
[ 86435.782] Before reporting problems, check http://wiki.x.org
to make sure that you have the latest version.
[ 86435.782] Markers: (--) probed, (**) from config file, (==) default setting,
(++) from command line, (!!) notice, (II) informational,
(WW) warning, (EE) error, (NI) not implemented, (??) unknown.
[ 86435.782] (==) Log file: "/var/log/Xorg.1.log", Time: Thu Mar 28 12:38:07 2019
[ 86435.782] (==) Using system config directory "/usr/share/X11/xorg.conf.d"
[ 86435.784] (==) No Layout section. Using the first Screen section.
[ 86435.784] (==) No screen section available. Using defaults.
[ 86435.784] (**) |-->Screen "Default Screen Section" (0)
[ 86435.784] (**) | |-->Monitor "<default monitor>"
[ 86435.785] (==) No monitor specified for screen "Default Screen Section".
Using a default monitor configuration.
[ 86435.785] (==) Automatically adding devices
[ 86435.785] (==) Automatically enabling devices
[ 86435.785] (==) Automatically adding GPU devices
[ 86435.785] (==) Automatically binding GPU devices
[ 86435.785] (==) Max clients allowed: 256, resource mask: 0x1fffff
[ 86435.785] (WW) The directory "/usr/share/fonts/misc" does not exist.
[ 86435.785] Entry deleted from font path.
[ 86435.785] (WW) The directory "/usr/share/fonts/Type1" does not exist.
[ 86435.785] Entry deleted from font path.
[ 86435.785] (WW) The directory "/usr/share/fonts/100dpi" does not exist.
[ 86435.785] Entry deleted from font path.
[ 86435.785] (WW) The directory "/usr/share/fonts/75dpi" does not exist.
[ 86435.785] Entry deleted from font path.
[ 86435.785] (==) FontPath set to:
/usr/share/fonts/TTF,
/usr/share/fonts/OTF
[ 86435.785] (==) ModulePath set to "/usr/lib/xorg/modules"
[ 86435.785] (II) The server relies on udev to provide the list of input devices.
If no devices become available, reconfigure udev or disable AutoAddDevices.
[ 86435.785] (II) Module ABI versions:
[ 86435.785] X.Org ANSI C Emulation: 0.4
[ 86435.785] X.Org Video Driver: 24.0
[ 86435.785] X.Org XInput driver : 24.1
[ 86435.785] X.Org Server Extension : 10.0
[ 86435.788] (++) using VT number 2
[ 86435.788] (II) systemd-logind: logind integration requires -keeptty and -keeptty was not provided, disabling logind integration
[ 86435.790] (II) xfree86: Adding drm device (/dev/dri/card0)
[ 86435.790] (EE) /dev/dri/card0: failed to set DRM interface version 1.4: Permission denied
[ 86435.790] (II) xfree86: Adding drm device (/dev/dri/card1)
[ 86435.792] (**) OutputClass "nvidia" ModulePath extended to "/usr/lib/nvidia/xorg,/usr/lib/xorg/modules,/usr/lib/xorg/modules"
[ 86435.792] (**) OutputClass "nvidia" setting /dev/dri/card1 as PrimaryGPU
[ 86435.794] (--) PCI: (0@0:2:0) 8086:1916:144d:c142 rev 7, Mem @ 0xf5000000/16777216, 0xd0000000/268435456, I/O @ 0x0000f000/64, BIOS @ 0x????????/131072
[ 86435.795] (--) PCI:*(1@0:0:0) 10de:134d:144d:c142 rev 162, Mem @ 0xf6000000/16777216, 0xe0000000/268435456, 0xf0000000/33554432, I/O @ 0x0000e000/128, BIOS @ 0x????????/524288
[ 86435.795] (WW) Open ACPI failed (/var/run/acpid.socket) (No such file or directory)
[ 86435.795] (II) LoadModule: "glx"
[ 86435.795] (II) Loading /usr/lib/xorg/modules/extensions/libglx.so
[ 86435.798] (II) Module glx: vendor="X.Org Foundation"
[ 86435.798] compiled for 1.20.4, module version = 1.0.0
[ 86435.798] ABI class: X.Org Server Extension, version 10.0
[ 86435.798] (II) Applying OutputClass "nvidia" to /dev/dri/card1
[ 86435.798] loading driver: nvidia
[ 86435.798] (==) Matched nvidia as autoconfigured driver 0
[ 86435.798] (==) Matched nouveau as autoconfigured driver 1
[ 86435.798] (==) Matched nv as autoconfigured driver 2
[ 86435.798] (==) Matched modesetting as autoconfigured driver 3
[ 86435.798] (==) Matched fbdev as autoconfigured driver 4
[ 86435.798] (==) Matched vesa as autoconfigured driver 5
[ 86435.798] (==) Assigned the driver to the xf86ConfigLayout
[ 86435.798] (II) LoadModule: "nvidia"
[ 86435.798] (II) Loading /usr/lib/xorg/modules/drivers/nvidia_drv.so
[ 86435.801] (II) Module nvidia: vendor="NVIDIA Corporation"
[ 86435.801] compiled for 4.0.2, module version = 1.0.0
[ 86435.801] Module class: X.Org Video Driver
[ 86435.801] (II) LoadModule: "nouveau"
[ 86435.801] (WW) Warning, couldn't open module nouveau
[ 86435.801] (EE) Failed to load module "nouveau" (module does not exist, 0)
[ 86435.801] (II) LoadModule: "nv"
[ 86435.802] (WW) Warning, couldn't open module nv
[ 86435.802] (EE) Failed to load module "nv" (module does not exist, 0)
[ 86435.802] (II) LoadModule: "modesetting"
[ 86435.802] (II) Loading /usr/lib/xorg/modules/drivers/modesetting_drv.so
[ 86435.804] (II) Module modesetting: vendor="X.Org Foundation"
[ 86435.804] compiled for 1.20.4, module version = 1.20.4
[ 86435.804] Module class: X.Org Video Driver
[ 86435.804] ABI class: X.Org Video Driver, version 24.0
[ 86435.804] (II) LoadModule: "fbdev"
[ 86435.804] (WW) Warning, couldn't open module fbdev
[ 86435.804] (EE) Failed to load module "fbdev" (module does not exist, 0)
[ 86435.804] (II) LoadModule: "vesa"
[ 86435.805] (WW) Warning, couldn't open module vesa
[ 86435.805] (EE) Failed to load module "vesa" (module does not exist, 0)
[ 86435.805] (II) NVIDIA dlloader X Driver 418.56 Fri Mar 15 12:37:35 CDT 2019
[ 86435.805] (II) NVIDIA Unified Driver for all Supported NVIDIA GPUs
[ 86435.805] (II) modesetting: Driver for Modesetting Kernel Drivers: kms
[ 86436.473] (II) Loading sub module "fb"
[ 86436.473] (II) LoadModule: "fb"
[ 86436.473] (II) Loading /usr/lib/xorg/modules/libfb.so
[ 86436.475] (II) Module fb: vendor="X.Org Foundation"
[ 86436.475] compiled for 1.20.4, module version = 1.0.0
[ 86436.475] ABI class: X.Org ANSI C Emulation, version 0.4
[ 86436.475] (II) Loading sub module "wfb"
[ 86436.475] (II) LoadModule: "wfb"
[ 86436.475] (II) Loading /usr/lib/xorg/modules/libwfb.so
[ 86436.475] (II) Module wfb: vendor="X.Org Foundation"
[ 86436.475] compiled for 1.20.4, module version = 1.0.0
[ 86436.476] ABI class: X.Org ANSI C Emulation, version 0.4
[ 86436.476] (II) Loading sub module "ramdac"
[ 86436.476] (II) LoadModule: "ramdac"
[ 86436.476] (II) Module "ramdac" already built-in
[ 86436.476] (WW) Falling back to old probe method for modesetting
[ 86436.476] (II) NVIDIA(0): Creating default Display subsection in Screen section
"Default Screen Section" for depth/fbbpp 24/32
[ 86436.476] (==) NVIDIA(0): Depth 24, (==) framebuffer bpp 32
[ 86436.476] (==) NVIDIA(0): RGB weight 888
[ 86436.476] (==) NVIDIA(0): Default visual is TrueColor
[ 86436.476] (==) NVIDIA(0): Using gamma correction (1.0, 1.0, 1.0)
[ 86436.476] (II) Applying OutputClass "nvidia" options to /dev/dri/card1
[ 86436.476] (**) NVIDIA(0): Option "AllowEmptyInitialConfiguration"
[ 86436.476] (**) NVIDIA(0): Enabling 2D acceleration
[ 86436.476] (II) Loading sub module "glxserver_nvidia"
[ 86436.476] (II) LoadModule: "glxserver_nvidia"
[ 86436.476] (II) Loading /usr/lib/nvidia/xorg/libglxserver_nvidia.so
[ 86436.483] (II) Module glxserver_nvidia: vendor="NVIDIA Corporation"
[ 86436.483] compiled for 4.0.2, module version = 1.0.0
[ 86436.483] Module class: X.Org Server Extension
[ 86436.483] (II) NVIDIA GLX Module 418.56 Fri Mar 15 12:36:35 CDT 2019
[ 86436.486] (II) NVIDIA(0): NVIDIA GPU GeForce 940MX (GM108-A) at PCI:1:0:0 (GPU-0)
[ 86436.486] (--) NVIDIA(0): Memory: 2097152 kBytes
[ 86436.486] (--) NVIDIA(0): VideoBIOS: 82.08.5d.00.05
[ 86436.486] (II) NVIDIA(0): Detected PCI Express Link width: 4X
[ 86436.486] (II) NVIDIA(0): Validated MetaModes:
[ 86436.486] (II) NVIDIA(0): "NULL"
[ 86436.486] (II) NVIDIA(0): Virtual screen size determined to be 640 x 480
[ 86436.486] (WW) NVIDIA(0): Unable to get display device for DPI computation.
[ 86436.486] (==) NVIDIA(0): DPI set to (75, 75); computed from built-in default
[ 86436.486] (II) UnloadModule: "modesetting"
[ 86436.486] (II) Unloading modesetting
[ 86436.487] (II) NVIDIA: Using 6144.00 MB of virtual memory for indirect memory
[ 86436.487] (II) NVIDIA: access.
[ 86436.490] (II) NVIDIA(0): ACPI: failed to connect to the ACPI event daemon; the daemon
[ 86436.490] (II) NVIDIA(0): may not be running or the "AcpidSocketPath" X
[ 86436.490] (II) NVIDIA(0): configuration option may not be set correctly. When the
[ 86436.490] (II) NVIDIA(0): ACPI event daemon is available, the NVIDIA X driver will
[ 86436.490] (II) NVIDIA(0): try to use it to receive ACPI event notifications. For
[ 86436.490] (II) NVIDIA(0): details, please see the "ConnectToAcpid" and
[ 86436.490] (II) NVIDIA(0): "AcpidSocketPath" X configuration options in Appendix B: X
[ 86436.490] (II) NVIDIA(0): Config Options in the README.
[ 86436.510] (II) NVIDIA(0): Setting mode "NULL"
[ 86436.514] (==) NVIDIA(0): Disabling shared memory pixmaps
[ 86436.514] (==) NVIDIA(0): Backing store enabled
[ 86436.514] (==) NVIDIA(0): Silken mouse disabled
[ 86436.514] (==) NVIDIA(0): DPMS enabled
[ 86436.514] (WW) NVIDIA(0): Option "PrimaryGPU" is not used
[ 86436.514] (II) Loading sub module "dri2"
[ 86436.514] (II) LoadModule: "dri2"
[ 86436.514] (II) Module "dri2" already built-in
[ 86436.514] (II) NVIDIA(0): [DRI2] Setup complete
[ 86436.514] (II) NVIDIA(0): [DRI2] VDPAU driver: nvidia
[ 86436.514] (II) Initializing extension Generic Event Extension
[ 86436.514] (II) Initializing extension SHAPE
[ 86436.515] (II) Initializing extension MIT-SHM
[ 86436.515] (II) Initializing extension XInputExtension
[ 86436.515] (II) Initializing extension XTEST
[ 86436.515] (II) Initializing extension BIG-REQUESTS
[ 86436.515] (II) Initializing extension SYNC
[ 86436.515] (II) Initializing extension XKEYBOARD
[ 86436.515] (II) Initializing extension XC-MISC
[ 86436.515] (II) Initializing extension SECURITY
[ 86436.515] (II) Initializing extension XFIXES
[ 86436.515] (II) Initializing extension RENDER
[ 86436.516] (II) Initializing extension RANDR
[ 86436.516] (II) Initializing extension COMPOSITE
[ 86436.516] (II) Initializing extension DAMAGE
[ 86436.516] (II) Initializing extension MIT-SCREEN-SAVER
[ 86436.516] (II) Initializing extension DOUBLE-BUFFER
[ 86436.516] (II) Initializing extension RECORD
[ 86436.516] (II) Initializing extension DPMS
[ 86436.516] (II) Initializing extension Present
[ 86436.516] (II) Initializing extension DRI3
[ 86436.516] (II) Initializing extension X-Resource
[ 86436.516] (II) Initializing extension XVideo
[ 86436.516] (II) Initializing extension XVideo-MotionCompensation
[ 86436.516] (II) Initializing extension GLX
[ 86436.517] (II) Initializing extension GLX
[ 86436.517] (II) Indirect GLX disabled.
[ 86436.517] (II) GLX: Another vendor is already registered for screen 0
[ 86436.517] (II) Initializing extension XFree86-VidModeExtension
[ 86436.517] (II) Initializing extension XFree86-DGA
[ 86436.517] (II) Initializing extension XFree86-DRI
[ 86436.517] (II) Initializing extension DRI2
[ 86436.517] (II) Initializing extension NV-GLX
[ 86436.517] (II) Initializing extension NV-CONTROL
[ 86436.563] (II) config/udev: Adding input device Power Button (/dev/input/event2)
[ 86436.564] (**) Power Button: Applying InputClass "libinput keyboard catchall"
[ 86436.564] (II) LoadModule: "libinput"
[ 86436.564] (II) Loading /usr/lib/xorg/modules/input/libinput_drv.so
[ 86436.566] (II) Module libinput: vendor="X.Org Foundation"
[ 86436.566] compiled for 1.20.3, module version = 0.28.2
[ 86436.566] Module class: X.Org XInput Driver
[ 86436.566] ABI class: X.Org XInput driver, version 24.1
[ 86436.566] (II) Using input driver 'libinput' for 'Power Button'
[ 86436.566] (**) Power Button: always reports core events
[ 86436.566] (**) Option "Device" "/dev/input/event2"
[ 86436.566] (**) Option "_source" "server/udev"
[ 86436.569] (II) event2 - Power Button: is tagged by udev as: Keyboard
[ 86436.569] (II) event2 - Power Button: device is a keyboard
[ 86436.569] (II) event2 - Power Button: device removed
[ 86436.582] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXPWRBN:00/input/input2/event2"
[ 86436.582] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 6)
[ 86436.582] (II) event2 - Power Button: is tagged by udev as: Keyboard
[ 86436.582] (II) event2 - Power Button: device is a keyboard
[ 86436.583] (II) config/udev: Adding input device Video Bus (/dev/input/event9)
[ 86436.583] (**) Video Bus: Applying InputClass "libinput keyboard catchall"
[ 86436.583] (II) Using input driver 'libinput' for 'Video Bus'
[ 86436.583] (**) Video Bus: always reports core events
[ 86436.583] (**) Option "Device" "/dev/input/event9"
[ 86436.583] (**) Option "_source" "server/udev"
[ 86436.584] (II) event9 - Video Bus: is tagged by udev as: Keyboard
[ 86436.584] (II) event9 - Video Bus: device is a keyboard
[ 86436.584] (II) event9 - Video Bus: device removed
[ 86436.611] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/LNXVIDEO:00/input/input20/event9"
[ 86436.611] (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 7)
[ 86436.612] (II) event9 - Video Bus: is tagged by udev as: Keyboard
[ 86436.612] (II) event9 - Video Bus: device is a keyboard
[ 86436.613] (II) config/udev: Adding input device Video Bus (/dev/input/event13)
[ 86436.613] (**) Video Bus: Applying InputClass "libinput keyboard catchall"
[ 86436.613] (II) Using input driver 'libinput' for 'Video Bus'
[ 86436.613] (**) Video Bus: always reports core events
[ 86436.613] (**) Option "Device" "/dev/input/event13"
[ 86436.613] (**) Option "_source" "server/udev"
[ 86436.613] (II) event13 - Video Bus: is tagged by udev as: Keyboard
[ 86436.613] (II) event13 - Video Bus: device is a keyboard
[ 86436.613] (II) event13 - Video Bus: device removed
[ 86436.645] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/device:23/LNXVIDEO:01/input/input21/event13"
[ 86436.645] (II) XINPUT: Adding extended input device "Video Bus" (type: KEYBOARD, id 8)
[ 86436.646] (II) event13 - Video Bus: is tagged by udev as: Keyboard
[ 86436.646] (II) event13 - Video Bus: device is a keyboard
[ 86436.646] (II) config/udev: Adding input device Power Button (/dev/input/event1)
[ 86436.647] (**) Power Button: Applying InputClass "libinput keyboard catchall"
[ 86436.647] (II) Using input driver 'libinput' for 'Power Button'
[ 86436.647] (**) Power Button: always reports core events
[ 86436.647] (**) Option "Device" "/dev/input/event1"
[ 86436.647] (**) Option "_source" "server/udev"
[ 86436.647] (II) event1 - Power Button: is tagged by udev as: Keyboard
[ 86436.647] (II) event1 - Power Button: device is a keyboard
[ 86436.647] (II) event1 - Power Button: device removed
[ 86436.665] (**) Option "config_info" "udev:/sys/devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input1/event1"
[ 86436.665] (II) XINPUT: Adding extended input device "Power Button" (type: KEYBOARD, id 9)
[ 86436.665] (II) event1 - Power Button: is tagged by udev as: Keyboard
[ 86436.665] (II) event1 - Power Button: device is a keyboard
[ 86436.666] (II) config/udev: Adding input device Lid Switch (/dev/input/event0)
[ 86436.666] (II) No input driver specified, ignoring this device.
[ 86436.666] (II) This device may have been added with another device file.
[ 86436.666] (II) config/udev: Adding drm device (/dev/dri/card0)
[ 86436.666] (II) xfree86: Adding drm device (/dev/dri/card0)
[ 86436.666] (II) LoadModule: "modesetting"
[ 86436.666] (II) Loading /usr/lib/xorg/modules/drivers/modesetting_drv.so
[ 86436.666] (II) Module modesetting: vendor="X.Org Foundation"
[ 86436.667] compiled for 1.20.4, module version = 1.20.4
[ 86436.667] Module class: X.Org Video Driver
[ 86436.667] ABI class: X.Org Video Driver, version 24.0
[ 86436.667] (II) modeset(G0): using drv /dev/dri/card0
[ 86436.667] (==) modeset(G0): Depth 24, (==) framebuffer bpp 32
[ 86436.667] (==) modeset(G0): RGB weight 888
[ 86436.667] (==) modeset(G0): Default visual is TrueColor
[ 86436.667] (II) Loading sub module "glamoregl"
[ 86436.667] (II) LoadModule: "glamoregl"
[ 86436.667] (II) Loading /usr/lib/xorg/modules/libglamoregl.so
[ 86436.672] (II) Module glamoregl: vendor="X.Org Foundation"
[ 86436.672] compiled for 1.20.4, module version = 1.0.1
[ 86436.672] ABI class: X.Org ANSI C Emulation, version 0.4
[ 86436.726] (II) modeset(G0): glamor X acceleration enabled on Mesa DRI Intel(R) HD Graphics 520 (Skylake GT2)
[ 86436.726] (II) modeset(G0): glamor initialized
[ 86436.727] (II) modeset(G0): Output eDP-1-1 has no monitor section
[ 86436.731] (II) modeset(G0): Output HDMI-1-1 has no monitor section
[ 86436.731] (II) modeset(G0): Output DP-1-1 has no monitor section
[ 86436.737] (==) modeset(G0): Using gamma correction (1.0, 1.0, 1.0)
[ 86436.737] (==) modeset(G0): DPI set to (96, 96)
[ 86436.737] (II) Loading sub module "fb"
[ 86436.737] (II) LoadModule: "fb"
[ 86436.737] (II) Loading /usr/lib/xorg/modules/libfb.so
[ 86436.737] (II) Module fb: vendor="X.Org Foundation"
[ 86436.737] compiled for 1.20.4, module version = 1.0.0
[ 86436.737] ABI class: X.Org ANSI C Emulation, version 0.4
[ 86436.738] (EE)
[ 86436.738] (EE) Backtrace:
[ 86436.739] (EE) 0: /usr/lib/Xorg (xorg_backtrace+0x4d) [0x563efb81226d]
[ 86436.739] (EE) 1: /usr/lib/Xorg (0x563efb761000+0xb13a9) [0x563efb8123a9]
[ 86436.739] (EE) 2: /usr/lib/libc.so.6 (0x7f3ed0c27000+0x37e00) [0x7f3ed0c5ee00]
[ 86436.739] (EE) 3: /usr/lib/libc.so.6 (gsignal+0x10f) [0x7f3ed0c5ed7f]
[ 86436.739] (EE) 4: /usr/lib/libc.so.6 (abort+0x125) [0x7f3ed0c49672]
[ 86436.739] (EE) 5: /usr/lib/libc.so.6 (0x7f3ed0c27000+0x22548) [0x7f3ed0c49548]
[ 86436.739] (EE) 6: /usr/lib/libc.so.6 (0x7f3ed0c27000+0x30396) [0x7f3ed0c57396]
[ 86436.739] (EE) 7: /usr/lib/Xorg (dixRegisterPrivateKey+0x269) [0x563efb8bc099]
[ 86436.739] (EE) 8: /usr/lib/xorg/modules/libglamoregl.so (glamor_init+0xc9) [0x7f3eca4170b9]
[ 86436.739] (EE) 9: /usr/lib/xorg/modules/drivers/modesetting_drv.so (0x7f3eca904000+0xff9d) [0x7f3eca913f9d]
[ 86436.739] (EE) 10: /usr/lib/Xorg (AddGPUScreen+0x10d) [0x563efb8dd40d]
[ 86436.739] (EE) 11: /usr/lib/Xorg (0x563efb761000+0x78e9c) [0x563efb7d9e9c]
[ 86436.739] (EE) 12: /usr/lib/Xorg (0x563efb761000+0x5b6d6) [0x563efb7bc6d6]
[ 86436.739] (EE) 13: /usr/lib/Xorg (0x563efb761000+0x4a1f9) [0x563efb7ab1f9]
[ 86436.739] (EE) 14: /usr/lib/Xorg (config_init+0xa3) [0x563efb7ab9a3]
[ 86436.739] (EE) 15: /usr/lib/Xorg (InitInput+0xb7) [0x563efb7f2137]
[ 86436.739] (EE) 16: /usr/lib/Xorg (0x563efb761000+0x37734) [0x563efb798734]
[ 86436.739] (EE) 17: /usr/lib/libc.so.6 (__libc_start_main+0xf3) [0x7f3ed0c4b223]
[ 86436.739] (EE) 18: /usr/lib/Xorg (_start+0x2e) [0x563efb79930e]
[ 86436.739] (EE)
[ 86436.739] (EE)
Fatal server error:
[ 86436.739] (EE) Caught signal 6 (Aborted). Server aborting
[ 86436.739] (EE)
[ 86436.739] (EE)
Please consult the The X.Org Foundation support
at http://wiki.x.org
for help.
[ 86436.739] (EE) Please also check the log file at "/var/log/Xorg.1.log" for additional information.
[ 86436.739] (EE)
[ 86436.756] (EE) Server terminated with error (1). Closing log file.
____________________________________________
*** /home/hagabaka/.nvidia-settings-rc
*** ls: -rw-r--r-- 1 hagabaka hagabaka 656 2019-07-19 23:55:34.946605935 -0400 /home/hagabaka/.nvidia-settings-rc
#
# /home/hagabaka/.nvidia-settings-rc
#
# Configuration file for nvidia-settings - the NVIDIA X Server Settings utility
# Generated on Fri Jul 19 23:55:34 2019
#
# ConfigProperties:
RcFileLocale = C
DisplayStatusBar = Yes
SliderTextEntries = Yes
IncludeDisplayNameInConfigFile = No
ShowQuitDialog = Yes
UpdateRulesOnProfileNameChange = Yes
Timer = Memory_Used_(GPU_0),Yes,3000
Timer = Thermal_Monitor_(GPU_0),Yes,1000
Timer = PowerMizer_Monitor_(GPU_0),Yes,1000
# Attributes:
0/LogAniso=0
0/FSAA=0
0/TextureClamping=1
0/FXAA=0
0/FSAAAppControlled=1
0/LogAnisoAppControlled=1
0/OpenGLImageSettings=1
0/FSAAAppEnhanced=0
0/ShowGraphicsVisualIndicator=0
____________________________________________
*** /usr/share/nvidia/nvidia-application-profiles-435.17-rc
*** ls: -rw-r--r-- 1 root root 7249 2019-08-15 00:20:09.000000000 -0400 /usr/share/nvidia/nvidia-application-profiles-435.17-rc
# Application profiles for the NVIDIA Linux graphics driver, version 435.17
# Last modified: Tue Aug 6 22:46:09 CDT 2019
# These profiles were provided by NVIDIA and should not be modified. If you
# wish to change the defaults provided here, you can override them by creating
# custom rules in /etc/nvidia/nvidia-application-profiles-rc (which will apply
# system-wide) or, for a given user, $HOME/.nv/nvidia-application-profiles-rc
# (which will apply to that particular user). See the "APPLICATION PROFILE
# SEARCH PATH" section of the NVIDIA Linux Graphics Driver README for more
# information.
{
"profiles" : [
{
"name" : "NonConformantBlitFramebufferScissor",
"settings" : [ "GLConformantBlitFramebufferScissor", false ]
},
{
"name" : "CL1C",
"settings" : [ "0x528ab3", 1 ]
},
{
"name" : "FA0",
"settings" : [ "10572898", 0 ]
},
{
"name" : "ExactGLESVersion",
"settings" : [ "ForceRequestedESVersion", 1 ]
},
{
"name" : "IgnoreGLSLExtensionRequirements",
"settings" : [ "GLIgnoreGLSLExtReqs", true ]
},
{
"name" : "No VRR/OSD",
"settings" : [
{
"key" : "GLVRRAllowed",
"value" : false
},
{
"key" : "VKDirectGSYNCAllowed",
"value" : false
},
{
"key" : "VKDirectGSYNCCompatibleAllowed",
"value" : 0
},
{
"key" : "GLShowGraphicsOSD",
"value" : false
}
]
},
{
"name" : "UseThreadedOptimizations",
"settings" : [ "GLThreadedOptimizations", true ]
},
{
"name" : "NoThreadedOptimizations",
"settings" : [ "GLThreadedOptimizations", false ]
},
{
"name" : "NoAniso",
"settings" : [ "GLLogMaxAniso", 0 ]
},
{
"name" : "NamedVertexAttributesApplyDivisor",
"settings" : [ "GL23cd0e", 1 ]
},
{
"name" : "NonStrictDrawRangeElements",
"settings" : [ "GLStrictDrawRangeElements", false ]
},
{
"name" : "NoEnforceShaderInputOutputMatching",
"settings" : [ "GLShaderPortabilityWarnings", false ]
}
],
"rules" : [
{
"pattern" : {
"feature" : "dso",
"matches" : "libcogl.so"
},
"profile" : "NonConformantBlitFramebufferScissor"
},
{
"pattern" : {
"feature" : "dso",
"matches" : "libMaya.so"
},
"profile" : "CL1C"
},
{
"pattern" : {
"feature" : "dso",
"matches" : "libMaya.so"
},
"profile" : "NamedVertexAttributesApplyDivisor"
},
{ "pattern" : "SkullGirls.x86_64-pc-linux-gnu", "profile" : "NoAniso" },
{ "pattern" : "SkullGirls.i686-pc-linux-gnu", "profile" : "NoAniso" },
{ "pattern" : "Indivisible_Linux.i686-pc-linux-gnu", "profile" : "NoAniso" },
{ "pattern" : "xsi", "profile" : "CL1C" },
{ "pattern" : "HoudiniFX", "profile" : "CL1C" },
{ "pattern" : "katana", "profile" : "CL1C" },
{ "pattern" : "Autodesk Mudbox 2014 64-bit", "profile" : "CL1C" },
{ "pattern" : "octane", "profile" : "CL1C" },
{ "pattern" : "Fusion64_6.4", "profile" : "CL1C" },
{ "pattern" : "Nuke7.0", "profile" : "CL1C" },
{ "pattern" : "vray.exe", "profile" : "CL1C" },
{ "pattern" : "vray.bin", "profile" : "CL1C" },
{ "pattern" : "kwin_gles", "profile" : "FA0" },
{ "pattern" : "kwin_gles", "profile" : "ExactGLESVersion" },
{
"pattern" : [
{ "feature" : "procname", "matches" : "heaven_x86"},
{ "op" : "not", "sub" : { "feature" : "findfile", "matches" : "browser_x86" } }
],
"profile" : "IgnoreGLSLExtensionRequirements"
},
{
"pattern" : [
{ "feature" : "procname", "matches" : "heaven_x64"},
{ "op" : "not", "sub" : { "feature" : "findfile", "matches" : "browser_x64" } }
],
"profile" : "IgnoreGLSLExtensionRequirements"
},
{ "pattern" : { "feature" : "procname", "matches" : "cinnamon" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "procname", "matches" : "compiz" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "procname", "matches" : "compton" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "procname", "matches" : "enlightenment" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "procname", "matches" : "gnome-shell" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "procname", "matches" : "kscreenlocker_greet" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "procname", "matches" : "kwin" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "procname", "matches" : "kwin_x11" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "procname", "matches" : "plasmashell" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "procname", "matches" : "ksplashqml" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "procname", "matches" : "systemsettings5" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "procname", "matches" : "muffin" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "dso", "matches" : "libmutter" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "procname", "matches" : "steam" }, "profile" : "No VRR/OSD" },
{ "pattern" : { "feature" : "procname", "matches" : "steamcompmgr" }, "profile" : "No VRR/OSD" },
{
"pattern" : "Borderlands2",
"profile" : "UseThreadedOptimizations"
},
{
"pattern" : "BorderlandsPreSequel",
"profile" : "UseThreadedOptimizations"
},
{
"pattern" : "GoatGame",
"profile" : "NonStrictDrawRangeElements"
},
{
"pattern" : "ShadowOfMordor",
"profile" : "NoEnforceShaderInputOutputMatching"
},
{
"pattern" : "shotcut",
"profile" : "NoThreadedOptimizations"
},
{
"pattern" : "MetroLL",
"profile" : "NoThreadedOptimizations"
},
{ "pattern": "AlienIsolation", "profile" : "UseThreadedOptimizations" },
{ "pattern": "Civ6", "profile" : "UseThreadedOptimizations" },
{ "pattern": "CivBE", "profile" : "UseThreadedOptimizations" },
{ "pattern": "overlord.i386", "profile" : "UseThreadedOptimizations" },
{ "pattern": "X-Plane-x86_64", "profile" : "UseThreadedOptimizations" }
]
}
____________________________________________
ldd /sbin/glxinfo
linux-vdso.so.1 (0x00007ffeeb7da000)
libGL.so.1 => /usr/lib/libGL.so.1 (0x00007f0a1a768000)
libX11.so.6 => /usr/lib/libX11.so.6 (0x00007f0a1a629000)
libc.so.6 => /usr/lib/libc.so.6 (0x00007f0a1a466000)
libGLX.so.0 => /usr/lib/libGLX.so.0 (0x00007f0a1a433000)
libXext.so.6 => /usr/lib/libXext.so.6 (0x00007f0a1a41e000)
libGLdispatch.so.0 => /usr/lib/libGLdispatch.so.0 (0x00007f0a1a362000)
libdl.so.2 => /usr/lib/libdl.so.2 (0x00007f0a1a35b000)
libpthread.so.0 => /usr/lib/libpthread.so.0 (0x00007f0a1a33a000)
libxcb.so.1 => /usr/lib/libxcb.so.1 (0x00007f0a1a310000)
/lib64/ld-linux-x86-64.so.2 => /usr/lib64/ld-linux-x86-64.so.2 (0x00007f0a1aa5d000)
libXau.so.6 => /usr/lib/libXau.so.6 (0x00007f0a1a30b000)
libXdmcp.so.6 => /usr/lib/libXdmcp.so.6 (0x00007f0a1a303000)
____________________________________________
Found Vulkan loader(s):
/usr/lib/libvulkan.so.1.1.119
/usr/lib32/libvulkan.so.1.1.115
Listing common ICD paths:
/usr/share/vulkan/icd.d/intel_icd.i686.json
/usr/share/vulkan/icd.d/intel_icd.x86_64.json
/usr/share/vulkan/icd.d/nvidia_icd.json
____________________________________________
/sbin/lspci -d "10de:*" -v -xxx
01:00.0 3D controller: NVIDIA Corporation GM108M [GeForce 940MX] (rev a2)
Subsystem: Samsung Electronics Co Ltd GM108M [GeForce 940MX]
Flags: bus master, fast devsel, latency 0, IRQ 16
Memory at f6000000 (32-bit, non-prefetchable) [size=16M]
Memory at e0000000 (64-bit, prefetchable) [size=256M]
Memory at f0000000 (64-bit, prefetchable) [size=32M]
I/O ports at e000 [size=128]
Expansion ROM at f7000000 [disabled] [size=512K]
Capabilities: [60] Power Management version 3
Capabilities: [68] MSI: Enable- Count=1/1 Maskable- 64bit+
Capabilities: [78] Express Endpoint, MSI 00
Capabilities: [100] Virtual Channel
Capabilities: [250] Latency Tolerance Reporting
Capabilities: [258] L1 PM Substates
Capabilities: [128] Power Budgeting <?>
Capabilities: [600] Vendor Specific Information: ID=0001 Rev=1 Len=024 <?>
Capabilities: [900] Secondary PCI Express <?>
Kernel driver in use: nvidia
Kernel modules: nouveau, nvidia_drm, nvidia
00: de 10 4d 13 07 00 10 00 a2 00 02 03 00 00 00 00
10: 00 00 00 f6 0c 00 00 e0 00 00 00 00 0c 00 00 f0
20: 00 00 00 00 01 e0 00 00 00 00 00 00 4d 14 42 c1
30: 00 00 00 f7 60 00 00 00 00 00 00 00 ff 01 00 00
40: 4d 14 42 c1 00 00 00 00 00 00 00 00 00 00 00 00
50: 00 00 00 00 01 00 00 00 ce d6 23 00 00 00 00 00
60: 01 68 03 00 08 00 00 00 05 78 80 00 00 00 00 00
70: 00 00 00 00 00 00 00 00 10 00 02 00 e1 8d e8 07
80: 30 29 00 00 43 4c 45 00 42 01 43 10 00 00 00 00
90: 00 00 00 00 00 00 00 00 00 00 00 00 13 08 04 00
a0: 00 04 00 00 0e 00 00 00 03 00 1e 00 00 00 00 00
b0: 00 00 00 00 09 00 14 01 00 00 10 80 00 00 00 00
c0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
d0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
e0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
f0: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
____________________________________________
/sbin/lspci -d "10b5:*" -v -xxx
____________________________________________
/sbin/lspci -t
-[0000:00]-+-00.0
+-02.0
+-13.0
+-14.0
+-14.2
+-15.0
+-16.0
+-17.0
+-1c.0-[01]----00.0
+-1c.4-[02]----00.0
+-1c.5-[03]----00.0
+-1f.0
+-1f.2
+-1f.3
\-1f.4
____________________________________________
/sbin/lspci -nn
00:00.0 Host bridge [0600]: Intel Corporation Xeon E3-1200 v5/E3-1500 v5/6th Gen Core Processor Host Bridge/DRAM Registers [8086:1904] (rev 08)
00:02.0 VGA compatible controller [0300]: Intel Corporation Skylake GT2 [HD Graphics 520] [8086:1916] (rev 07)
00:13.0 Non-VGA unclassified device [0000]: Intel Corporation Sunrise Point-LP Integrated Sensor Hub [8086:9d35] (rev 21)
00:14.0 USB controller [0c03]: Intel Corporation Sunrise Point-LP USB 3.0 xHCI Controller [8086:9d2f] (rev 21)
00:14.2 Signal processing controller [1180]: Intel Corporation Sunrise Point-LP Thermal subsystem [8086:9d31] (rev 21)
00:15.0 Signal processing controller [1180]: Intel Corporation Sunrise Point-LP Serial IO I2C Controller #0 [8086:9d60] (rev 21)
00:16.0 Communication controller [0780]: Intel Corporation Sunrise Point-LP CSME HECI #1 [8086:9d3a] (rev 21)
00:17.0 SATA controller [0106]: Intel Corporation Sunrise Point-LP SATA Controller [AHCI mode] [8086:9d03] (rev 21)
00:1c.0 PCI bridge [0604]: Intel Corporation Sunrise Point-LP PCI Express Root Port #1 [8086:9d10] (rev f1)
00:1c.4 PCI bridge [0604]: Intel Corporation Sunrise Point-LP PCI Express Root Port #5 [8086:9d14] (rev f1)
00:1c.5 PCI bridge [0604]: Intel Corporation Sunrise Point-LP PCI Express Root Port #6 [8086:9d15] (rev f1)
00:1f.0 ISA bridge [0601]: Intel Corporation Sunrise Point-LP LPC Controller [8086:9d48] (rev 21)
00:1f.2 Memory controller [0580]: Intel Corporation Sunrise Point-LP PMC [8086:9d21] (rev 21)
00:1f.3 Audio device [0403]: Intel Corporation Sunrise Point-LP HD Audio [8086:9d70] (rev 21)
00:1f.4 SMBus [0c05]: Intel Corporation Sunrise Point-LP SMBus [8086:9d23] (rev 21)
01:00.0 3D controller [0302]: NVIDIA Corporation GM108M [GeForce 940MX] [10de:134d] (rev a2)
02:00.0 Ethernet controller [0200]: Realtek Semiconductor Co., Ltd. RTL8111/8168/8411 PCI Express Gigabit Ethernet Controller [10ec:8168] (rev 15)
03:00.0 Network controller [0280]: Intel Corporation Wireless 8260 [8086:24f3] (rev 3a)
____________________________________________
/sbin/lsusb
Bus 002 Device 001: ID 1d6b:0003 Linux Foundation 3.0 root hub
Bus 001 Device 007: ID 0bda:0129 Realtek Semiconductor Corp. RTS5129 Card Reader Controller
Bus 001 Device 006: ID 03eb:8ab4 Atmel Corp.
Bus 001 Device 005: ID 00ca:5803
Bus 001 Device 004: ID 8087:0a2b Intel Corp.
Bus 001 Device 003: ID 046d:c52b Logitech, Inc. Unifying Receiver
Bus 001 Device 002: ID 04d9:1702 Holtek Semiconductor, Inc. Keyboard LKS02
Bus 001 Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub
____________________________________________
Skipping dmidecode output (dmidecode not found)
____________________________________________
/sbin/modinfo nvidia | grep vermagic
vermagic: 5.2.8-arch1-1-ARCH SMP preempt mod_unload
____________________________________________
Scanning kernel log files for NVIDIA kernel messages:
journalctl -b -0:
Aug 15 12:42:51 alchemyst kernel: nvidia-nvlink: Nvlink Core is being initialized, major device number 235
Aug 15 12:42:51 alchemyst kernel: NVRM: loading NVIDIA UNIX x86_64 Kernel Module 435.17 Tue Aug 6 22:50:25 CDT 2019
Aug 15 12:42:51 alchemyst kernel: nvidia-modeset: Loading NVIDIA Kernel Mode Setting Driver for UNIX platforms 435.17 Tue Aug 6 22:50:06 CDT 2019
Aug 15 12:42:51 alchemyst kernel: [drm] [nvidia-drm] [GPU ID 0x00000100] Loading driver
Aug 15 12:42:51 alchemyst kernel: [drm] Initialized nvidia-drm 0.0.0 20160202 for 0000:01:00.0 on minor 1
Aug 15 12:43:45 alchemyst sudo[2505]: hagabaka : TTY=pts/0 ; PWD=/home/hagabaka ; USER=root ; COMMAND=/usr/bin/nvidia-bug-report.sh
Aug 15 12:43:45 alchemyst baloo_file[865]: 192599020886034434 "/home/hagabaka/nvidia-bug-report.log.old.gz" renaming "nvidia-bug-report.log.gz" to "nvidia-bug-report.log.old.gz"
Aug 15 12:43:45 alchemyst kdeinit5[793]: ("/home/hagabaka/nvidia-bug-report.log.gz")
journalctl -b -1:
Aug 15 12:39:50 alchemyst kernel: nvidia-nvlink: Nvlink Core is being initialized, major device number 235
Aug 15 12:39:50 alchemyst kernel: NVRM: loading NVIDIA UNIX x86_64 Kernel Module 435.17 Tue Aug 6 22:50:25 CDT 2019
Aug 15 12:39:50 alchemyst kernel: nvidia-modeset: Loading NVIDIA Kernel Mode Setting Driver for UNIX platforms 435.17 Tue Aug 6 22:50:06 CDT 2019
Aug 15 12:39:50 alchemyst kernel: [drm] [nvidia-drm] [GPU ID 0x00000100] Loading driver
Aug 15 12:39:50 alchemyst kernel: [drm] Initialized nvidia-drm 0.0.0 20160202 for 0000:01:00.0 on minor 1
journalctl -b -2:
Aug 15 06:01:36 alchemyst kernel: nvidia-nvlink: Nvlink Core is being initialized, major device number 235
Aug 15 06:01:36 alchemyst kernel: NVRM: loading NVIDIA UNIX x86_64 Kernel Module 435.17 Tue Aug 6 22:50:25 CDT 2019
Aug 15 06:01:36 alchemyst kernel: nvidia-modeset: Loading NVIDIA Kernel Mode Setting Driver for UNIX platforms 435.17 Tue Aug 6 22:50:06 CDT 2019
Aug 15 06:01:36 alchemyst kernel: [drm] [nvidia-drm] [GPU ID 0x00000100] Loading driver
Aug 15 06:01:36 alchemyst kernel: [drm] Initialized nvidia-drm 0.0.0 20160202 for 0000:01:00.0 on minor 1
Aug 15 06:02:13 alchemyst plasmashell[1040]: qml: Reading resources from configuration: [{"sourceName":"group-of-sources","alias":"CPU","overrideLimitTemperatures":false,"warningTemperature":70,"meltdownTemperature":90,"virtual":true,"childSourceObjects":{"acpi/Thermal_Zone/0-acpitz/Temperature":{"temperature":0},"acpi/Thermal_Zone/1-acpitz/Temperature":{"temperature":0},"acpi/Thermal_Zone/2-pch_skylake/Temperature":{"temperature":0},"lmsensors/acpitz-acpi-0/temp1":{"temperature":0},"lmsensors/acpitz-acpi-0/temp2":{"temperature":0},"lmsensors/coretemp-isa-0000/Core_0":{"temperature":0},"lmsensors/coretemp-isa-0000/Core_1":{"temperature":0},"lmsensors/coretemp-isa-0000/Package_id_0":{"temperature":0},"lmsensors/pch_skylake-virtual-0/temp1":{"temperature":0}}},{"sourceName":"group-of-sources","alias":"WIFI","overrideLimitTemperatures":false,"warningTemperature":70,"meltdownTemperature":90,"virtual":true,"childSourceObjects":{"acpi/Thermal_Zone/3-iwlwifi/Temperature":{"temperature":0},"lmsensors/iwlwifi-virtual-0/temp1":{"temperature":0}}},{"sourceName":"group-of-sources","alias":"GPU","overrideLimitTemperatures":false,"warningTemperature":70,"meltdownTemperature":90,"virtual":true,"childSourceObjects":{"nvidia-smi":{"temperature":0}}},{"sourceName":"udisks/CT1000MX500SSD1_1909E1ED24FA","alias":"SSD","overrideLimitTemperatures":false,"warningTemperature":70,"meltdownTemperature":90,"virtual":false,"childSourceObjects":{"acpi/Thermal_Zone/0-acpitz/Temperature":{"temperature":0},"acpi/Thermal_Zone/1-acpitz/Temperature":{"temperature":0},"acpi/Thermal_Zone/2-pch_skylake/Temperature":{"temperature":0},"acpi/Thermal_Zone/3-iwlwifi/Temperature":{"temperature":0},"acpi/Thermal_Zone/4-x86_pkg_temp/Temperature":{"temperature":0},"lmsensors/acpitz-acpi-0/temp1":{"temperature":0},"lmsensors/acpitz-acpi-0/temp2":{"temperature":0},"lmsensors/coretemp-isa-0000/Core_0":{"temperature":0},"lmsensors/coretemp-isa-0000/Core_1":{"temperature":0},"lmsensors/coretemp-isa-0000/Package_id_0":{"temperature":0},"lmsensors/iwlwifi-virtual-0/temp1":{"temperature":0},"lmsensors/pch_skylake-virtual-0/temp1":{"temperature":0},"nvidia-smi":{"temperature":0},"udisks/CT1000MX500SSD1_1909E1ED24FA":{"temperature":0}}}]
Aug 15 06:03:58 alchemyst sudo[3463]: hagabaka : TTY=pts/0 ; PWD=/home/hagabaka/.cache/yay ; USER=root ; COMMAND=sudoedit /etc/X11/xorg.conf.d/10-nvidia-prime-render-offload.conf
____________________________________________
dmesg:
[ 0.000000] microcode: microcode updated early to revision 0xcc, date = 2019-04-01
[ 0.000000] Linux version 5.2.8-arch1-1-ARCH (builduser@heftig-63215) (gcc version 9.1.0 (GCC)) #1 SMP PREEMPT Fri Aug 9 21:36:07 UTC 2019
[ 0.000000] Command line: BOOT_IMAGE=/boot/vmlinuz-linux root=UUID=a677b105-7a09-4e2f-8478-bdc145d3b7c1 rw quiet
[ 0.000000] KERNEL supported cpus:
[ 0.000000] Intel GenuineIntel
[ 0.000000] AMD AuthenticAMD
[ 0.000000] Hygon HygonGenuine
[ 0.000000] Centaur CentaurHauls
[ 0.000000] x86/fpu: Supporting XSAVE feature 0x001: 'x87 floating point registers'
[ 0.000000] x86/fpu: Supporting XSAVE feature 0x002: 'SSE registers'
[ 0.000000] x86/fpu: Supporting XSAVE feature 0x004: 'AVX registers'
[ 0.000000] x86/fpu: Supporting XSAVE feature 0x008: 'MPX bounds registers'
[ 0.000000] x86/fpu: Supporting XSAVE feature 0x010: 'MPX CSR'
[ 0.000000] x86/fpu: xstate_offset[2]: 576, xstate_sizes[2]: 256
[ 0.000000] x86/fpu: xstate_offset[3]: 832, xstate_sizes[3]: 64
[ 0.000000] x86/fpu: xstate_offset[4]: 896, xstate_sizes[4]: 64
[ 0.000000] x86/fpu: Enabled xstate features 0x1f, context size is 960 bytes, using 'compacted' format.
[ 0.000000] BIOS-provided physical RAM map:
[ 0.000000] BIOS-e820: [mem 0x0000000000000000-0x0000000000057fff] usable
[ 0.000000] BIOS-e820: [mem 0x0000000000058000-0x0000000000058fff] reserved
[ 0.000000] BIOS-e820: [mem 0x0000000000059000-0x000000000009dfff] usable
[ 0.000000] BIOS-e820: [mem 0x000000000009e000-0x000000000009ffff] reserved
[ 0.000000] BIOS-e820: [mem 0x0000000000100000-0x00000000bf1eafff] usable
[ 0.000000] BIOS-e820: [mem 0x00000000bf1eb000-0x00000000bf1ebfff] ACPI NVS
[ 0.000000] BIOS-e820: [mem 0x00000000bf1ec000-0x00000000bf215fff] reserved
[ 0.000000] BIOS-e820: [mem 0x00000000bf216000-0x00000000c3e3efff] usable
[ 0.000000] BIOS-e820: [mem 0x00000000c3e3f000-0x00000000c48c6fff] reserved
[ 0.000000] BIOS-e820: [mem 0x00000000c48c7000-0x00000000c48ebfff] ACPI data
[ 0.000000] BIOS-e820: [mem 0x00000000c48ec000-0x00000000c4e90fff] ACPI NVS
[ 0.000000] BIOS-e820: [mem 0x00000000c4e91000-0x00000000c528cfff] reserved
[ 0.000000] BIOS-e820: [mem 0x00000000c528d000-0x00000000c52fdfff] type 20
[ 0.000000] BIOS-e820: [mem 0x00000000c52fe000-0x00000000c52fefff] usable
[ 0.000000] BIOS-e820: [mem 0x00000000c5300000-0x00000000c53fffff] reserved
[ 0.000000] BIOS-e820: [mem 0x00000000f8000000-0x00000000fbffffff] reserved
[ 0.000000] BIOS-e820: [mem 0x00000000fe000000-0x00000000fe010fff] reserved
[ 0.000000] BIOS-e820: [mem 0x00000000fec00000-0x00000000fec00fff] reserved
[ 0.000000] BIOS-e820: [mem 0x00000000fee00000-0x00000000fee00fff] reserved
[ 0.000000] BIOS-e820: [mem 0x00000000ff000000-0x00000000ffffffff] reserved
[ 0.000000] BIOS-e820: [mem 0x0000000100000000-0x0000000336ffffff] usable
[ 0.000000] NX (Execute Disable) protection: active
[ 0.000000] efi: EFI v2.40 by American Megatrends
[ 0.000000] efi: ESRT=0xc51d3e98 ACPI=0xc48ce000 ACPI 2.0=0xc48ce000 SMBIOS=0xc51d1000 SMBIOS 3.0=0xc51d0000
[ 0.000000] SMBIOS 3.0.0 present.
[ 0.000000] DMI: SAMSUNG ELECTRONICS CO., LTD. 740U5L/NP740U5L-Y02US, BIOS P01AFQ.040.160608.HK 06/08/2016
[ 0.000000] tsc: Detected 2600.000 MHz processor
[ 0.001633] tsc: Detected 2592.000 MHz TSC
[ 0.001633] e820: update [mem 0x00000000-0x00000fff] usable ==> reserved
[ 0.001635] e820: remove [mem 0x000a0000-0x000fffff] usable
[ 0.001642] last_pfn = 0x337000 max_arch_pfn = 0x400000000
[ 0.001658] MTRR default type: write-back
[ 0.001659] MTRR fixed ranges enabled:
[ 0.001660] 00000-9FFFF write-back
[ 0.001661] A0000-BFFFF uncachable
[ 0.001662] C0000-FFFFF write-protect
[ 0.001663] MTRR variable ranges enabled:
[ 0.001664] 0 base 00E0000000 mask 7FE0000000 uncachable
[ 0.001665] 1 base 00D0000000 mask 7FF0000000 uncachable
[ 0.001666] 2 base 00C8000000 mask 7FF8000000 uncachable
[ 0.001667] 3 base 00C6000000 mask 7FFE000000 uncachable
[ 0.001668] 4 base 00C5800000 mask 7FFF800000 uncachable
[ 0.001668] 5 disabled
[ 0.001669] 6 disabled
[ 0.001669] 7 disabled
[ 0.001670] 8 disabled
[ 0.001670] 9 disabled
[ 0.002737] x86/PAT: Configuration [0-7]: WB WC UC- UC WB WP UC- WT
[ 0.002940] last_pfn = 0xc52ff max_arch_pfn = 0x400000000
[ 0.019250] esrt: Reserving ESRT space from 0x00000000c51d3e98 to 0x00000000c51d3ed0.
[ 0.019257] check: Scanning 1 areas for low memory corruption
[ 0.019261] Using GB pages for direct mapping
[ 0.019263] BRK [0x1a3601000, 0x1a3601fff] PGTABLE
[ 0.019266] BRK [0x1a3602000, 0x1a3602fff] PGTABLE
[ 0.019267] BRK [0x1a3603000, 0x1a3603fff] PGTABLE
[ 0.019312] BRK [0x1a3604000, 0x1a3604fff] PGTABLE
[ 0.019314] BRK [0x1a3605000, 0x1a3605fff] PGTABLE
[ 0.019527] BRK [0x1a3606000, 0x1a3606fff] PGTABLE
[ 0.019569] BRK [0x1a3607000, 0x1a3607fff] PGTABLE
[ 0.019600] BRK [0x1a3608000, 0x1a3608fff] PGTABLE
[ 0.019629] BRK [0x1a3609000, 0x1a3609fff] PGTABLE
[ 0.019687] BRK [0x1a360a000, 0x1a360afff] PGTABLE
[ 0.019773] BRK [0x1a360b000, 0x1a360bfff] PGTABLE
[ 0.019871] Secure boot could not be determined
[ 0.019872] RAMDISK: [mem 0x34deb000-0x366ecfff]
[ 0.019880] ACPI: Early table checksum verification disabled
[ 0.019884] ACPI: RSDP 0x00000000C48CE000 000024 (v02 SECCSD)
[ 0.019887] ACPI: XSDT 0x00000000C48CE0A0 0000C4 (v01 SECCSD LH43STAR 01072009 AMI 00010013)
[ 0.019892] ACPI: FACP 0x00000000C48E3008 00010C (v05 SECCSD LH43STAR 01072009 AMI 00010013)
[ 0.019898] ACPI: DSDT 0x00000000C48CE1F8 014E10 (v02 SECCSD LH43STAR 01072009 INTL 20120913)
[ 0.019901] ACPI: FACS 0x00000000C4E76F80 000040
[ 0.019903] ACPI: APIC 0x00000000C48E3118 000084 (v03 SECCSD LH43STAR 01072009 AMI 00010013)
[ 0.019905] ACPI: FPDT 0x00000000C48E31A0 000044 (v01 SECCSD LH43STAR 01072009 AMI 00010013)
[ 0.019908] ACPI: FIDT 0x00000000C48E31E8 0000B0 (v01 SECCSD LH43STAR 01072009 AMI 00010013)
[ 0.019910] ACPI: MCFG 0x00000000C48E3298 00003C (v01 SECCSD LH43STAR 01072009 MSFT 00000097)
[ 0.019913] ACPI: HPET 0x00000000C48E32D8 000038 (v01 SECCSD LH43STAR 01072009 AMI. 0005000B)
[ 0.019915] ACPI: LPIT 0x00000000C48E3310 000094 (v01 INTEL SKL-ULT 00000000 MSFT 0000005F)
[ 0.019918] ACPI: SSDT 0x00000000C48E33A8 0003D3 (v02 INTEL UsbCTabl 00001000 INTL 20120913)
[ 0.019921] ACPI: SSDT 0x00000000C48E3780 0004A3 (v02 INTEL zpodd 00001000 INTL 20120913)
[ 0.019923] ACPI: DBGP 0x00000000C48E3C28 000034 (v01 INTEL 00000000 MSFT 0000005F)
[ 0.019926] ACPI: DBG2 0x00000000C48E3C60 000054 (v00 INTEL 00000000 MSFT 0000005F)
[ 0.019928] ACPI: MSDM 0x00000000C48E3CB8 000055 (v03 SECCSD LH43STAR 01072009 AMI 00010013)
[ 0.019931] ACPI: SSDT 0x00000000C48E3D10 0052D2 (v02 SaSsdt SaSsdt 00003000 INTL 20120913)
[ 0.019934] ACPI: UEFI 0x00000000C48E8FE8 000042 (v01 00000000 00000000)
[ 0.019937] ACPI: SSDT 0x00000000C48E9030 000E73 (v02 CpuRef CpuSsdt 00003000 INTL 20120913)
[ 0.019939] ACPI: BGRT 0x00000000C48E9EA8 000038 (v00 SECCSD LH43STAR 01072009 AMI 00010013)
[ 0.019942] ACPI: SSDT 0x00000000C48E9EE0 0005CF (v02 SgRef SgPch 00001000 INTL 20120913)
[ 0.019945] ACPI: DMAR 0x00000000C48EA4B0 0000CC (v01 INTEL SKL 00000001 INTL 00000001)
[ 0.019947] ACPI: TPM2 0x00000000C48EA580 000034 (v03 Tpm2Tabl 00000001 AMI 00000000)
[ 0.019950] ACPI: SSDT 0x00000000C48EA5B8 001385 (v01 OptRef OptTabl 00001000 INTL 20120913)
[ 0.019958] ACPI: Local APIC address 0xfee00000
[ 0.020145] No NUMA configuration found
[ 0.020146] Faking a node at [mem 0x0000000000000000-0x0000000336ffffff]
[ 0.020150] NODE_DATA(0) allocated [mem 0x336ffc000-0x336ffffff]
[ 0.020171] Zone ranges:
[ 0.020172] DMA [mem 0x0000000000001000-0x0000000000ffffff]
[ 0.020173] DMA32 [mem 0x0000000001000000-0x00000000ffffffff]
[ 0.020174] Normal [mem 0x0000000100000000-0x0000000336ffffff]
[ 0.020175] Device empty
[ 0.020176] Movable zone start for each node
[ 0.020177] Early memory node ranges
[ 0.020178] node 0: [mem 0x0000000000001000-0x0000000000057fff]
[ 0.020178] node 0: [mem 0x0000000000059000-0x000000000009dfff]
[ 0.020179] node 0: [mem 0x0000000000100000-0x00000000bf1eafff]
[ 0.020180] node 0: [mem 0x00000000bf216000-0x00000000c3e3efff]
[ 0.020180] node 0: [mem 0x00000000c52fe000-0x00000000c52fefff]
[ 0.020181] node 0: [mem 0x0000000100000000-0x0000000336ffffff]
[ 0.020289] Zeroed struct page in unavailable ranges: 16975 pages
[ 0.020290] Initmem setup node 0 [mem 0x0000000000001000-0x0000000336ffffff]
[ 0.020291] On node 0 totalpages: 3124657
[ 0.020292] DMA zone: 64 pages used for memmap
[ 0.020293] DMA zone: 22 pages reserved
[ 0.020294] DMA zone: 3996 pages, LIFO batch:0
[ 0.020335] DMA32 zone: 12473 pages used for memmap
[ 0.020336] DMA32 zone: 798229 pages, LIFO batch:63
[ 0.029108] Normal zone: 36288 pages used for memmap
[ 0.029109] Normal zone: 2322432 pages, LIFO batch:63
[ 0.052315] Reserving Intel graphics memory at [mem 0xc6000000-0xc7ffffff]
[ 0.052527] ACPI: PM-Timer IO Port: 0x1808
[ 0.052529] ACPI: Local APIC address 0xfee00000
[ 0.052534] ACPI: LAPIC_NMI (acpi_id[0x01] high edge lint[0x1])
[ 0.052535] ACPI: LAPIC_NMI (acpi_id[0x02] high edge lint[0x1])
[ 0.052536] ACPI: LAPIC_NMI (acpi_id[0x03] high edge lint[0x1])
[ 0.052536] ACPI: LAPIC_NMI (acpi_id[0x04] high edge lint[0x1])
[ 0.052563] IOAPIC[0]: apic_id 2, version 32, address 0xfec00000, GSI 0-119
[ 0.052565] ACPI: INT_SRC_OVR (bus 0 bus_irq 0 global_irq 2 dfl dfl)
[ 0.052567] ACPI: INT_SRC_OVR (bus 0 bus_irq 9 global_irq 9 high level)
[ 0.052568] ACPI: IRQ0 used by override.
[ 0.052569] ACPI: IRQ9 used by override.
[ 0.052570] Using ACPI (MADT) for SMP configuration information
[ 0.052572] ACPI: HPET id: 0x8086a701 base: 0xfed00000
[ 0.052580] smpboot: Allowing 4 CPUs, 0 hotplug CPUs
[ 0.052598] PM: Registered nosave memory: [mem 0x00000000-0x00000fff]
[ 0.052600] PM: Registered nosave memory: [mem 0x00058000-0x00058fff]
[ 0.052601] PM: Registered nosave memory: [mem 0x0009e000-0x0009ffff]
[ 0.052602] PM: Registered nosave memory: [mem 0x000a0000-0x000fffff]
[ 0.052603] PM: Registered nosave memory: [mem 0xbf1eb000-0xbf1ebfff]
[ 0.052604] PM: Registered nosave memory: [mem 0xbf1ec000-0xbf215fff]
[ 0.052606] PM: Registered nosave memory: [mem 0xc3e3f000-0xc48c6fff]
[ 0.052606] PM: Registered nosave memory: [mem 0xc48c7000-0xc48ebfff]
[ 0.052607] PM: Registered nosave memory: [mem 0xc48ec000-0xc4e90fff]
[ 0.052607] PM: Registered nosave memory: [mem 0xc4e91000-0xc528cfff]
[ 0.052608] PM: Registered nosave memory: [mem 0xc528d000-0xc52fdfff]
[ 0.052609] PM: Registered nosave memory: [mem 0xc52ff000-0xc52fffff]
[ 0.052610] PM: Registered nosave memory: [mem 0xc5300000-0xc53fffff]
[ 0.052610] PM: Registered nosave memory: [mem 0xc5400000-0xc5ffffff]
[ 0.052611] PM: Registered nosave memory: [mem 0xc6000000-0xc7ffffff]
[ 0.052612] PM: Registered nosave memory: [mem 0xc8000000-0xf7ffffff]
[ 0.052612] PM: Registered nosave memory: [mem 0xf8000000-0xfbffffff]
[ 0.052613] PM: Registered nosave memory: [mem 0xfc000000-0xfdffffff]
[ 0.052613] PM: Registered nosave memory: [mem 0xfe000000-0xfe010fff]
[ 0.052614] PM: Registered nosave memory: [mem 0xfe011000-0xfebfffff]
[ 0.052614] PM: Registered nosave memory: [mem 0xfec00000-0xfec00fff]
[ 0.052615] PM: Registered nosave memory: [mem 0xfec01000-0xfedfffff]
[ 0.052615] PM: Registered nosave memory: [mem 0xfee00000-0xfee00fff]
[ 0.052616] PM: Registered nosave memory: [mem 0xfee01000-0xfeffffff]
[ 0.052616] PM: Registered nosave memory: [mem 0xff000000-0xffffffff]
[ 0.052618] [mem 0xc8000000-0xf7ffffff] available for PCI devices
[ 0.052619] Booting paravirtualized kernel on bare hardware
[ 0.052622] clocksource: refined-jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 6370452778343963 ns
[ 0.149363] setup_percpu: NR_CPUS:320 nr_cpumask_bits:320 nr_cpu_ids:4 nr_node_ids:1
[ 0.149540] percpu: Embedded 54 pages/cpu s184320 r8192 d28672 u524288
[ 0.149547] pcpu-alloc: s184320 r8192 d28672 u524288 alloc=1*2097152
[ 0.149548] pcpu-alloc: [0] 0 1 2 3
[ 0.149566] Built 1 zonelists, mobility grouping on. Total pages: 3075810
[ 0.149567] Policy zone: Normal
[ 0.149569] Kernel command line: BOOT_IMAGE=/boot/vmlinuz-linux root=UUID=a677b105-7a09-4e2f-8478-bdc145d3b7c1 rw quiet
[ 0.153267] Calgary: detecting Calgary via BIOS EBDA area
[ 0.153269] Calgary: Unable to locate Rio Grande table in EBDA - bailing!
[ 0.183030] Memory: 12101552K/12498628K available (12291K kernel code, 1319K rwdata, 3900K rodata, 1612K init, 3616K bss, 397076K reserved, 0K cma-reserved)
[ 0.183145] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=4, Nodes=1
[ 0.183155] Kernel/User page tables isolation: enabled
[ 0.183168] ftrace: allocating 37368 entries in 146 pages
[ 0.198144] rcu: Preemptible hierarchical RCU implementation.
[ 0.198145] rcu: CONFIG_RCU_FANOUT set to non-default value of 32.
[ 0.198146] rcu: RCU dyntick-idle grace-period acceleration is enabled.
[ 0.198147] rcu: RCU restricting CPUs from NR_CPUS=320 to nr_cpu_ids=4.
[ 0.198147] rcu: RCU priority boosting: priority 1 delay 500 ms.
[ 0.198148] Tasks RCU enabled.
[ 0.198149] rcu: RCU calculated value of scheduler-enlistment delay is 30 jiffies.
[ 0.198149] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4
[ 0.199753] NR_IRQS: 20736, nr_irqs: 1024, preallocated irqs: 16
[ 0.199967] rcu: Offload RCU callbacks from CPUs: (none).
[ 0.200117] random: get_random_bytes called from start_kernel+0x37e/0x559 with crng_init=0
[ 0.200149] spurious 8259A interrupt: IRQ7.
[ 0.200174] Console: colour dummy device 80x25
[ 0.200177] printk: console [tty0] enabled
[ 0.200194] ACPI: Core revision 20190509
[ 0.200500] clocksource: hpet: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 79635855245 ns
[ 0.200530] hpet clockevent registered
[ 0.200602] APIC: Switch to symmetric I/O mode setup
[ 0.200604] DMAR: Host address width 39
[ 0.200605] DMAR: DRHD base: 0x000000fed90000 flags: 0x0
[ 0.200610] DMAR: dmar0: reg_base_addr fed90000 ver 1:0 cap 1c0000c40660462 ecap 7e3ff0505e
[ 0.200612] DMAR: DRHD base: 0x000000fed91000 flags: 0x1
[ 0.200615] DMAR: dmar1: reg_base_addr fed91000 ver 1:0 cap d2008c40660462 ecap f050da
[ 0.200616] DMAR: RMRR base: 0x000000c4669000 end: 0x000000c4688fff
[ 0.200617] DMAR: RMRR base: 0x000000c5800000 end: 0x000000c7ffffff
[ 0.200618] DMAR: ANDD device: 1 name: \_SB.PCI0.I2C0
[ 0.200620] DMAR-IR: IOAPIC id 2 under DRHD base 0xfed91000 IOMMU 1
[ 0.200621] DMAR-IR: HPET id 0 under DRHD base 0xfed91000
[ 0.200621] DMAR-IR: Queued invalidation will be enabled to support x2apic and Intr-remapping.
[ 0.202195] DMAR-IR: Enabled IRQ remapping in x2apic mode
[ 0.202196] x2apic enabled
[ 0.202210] Switched APIC routing to cluster x2apic.
[ 0.206296] ..TIMER: vector=0x30 apic1=0 pin1=2 apic2=-1 pin2=-1
[ 0.220540] clocksource: tsc-early: mask: 0xffffffffffffffff max_cycles: 0x255cb6cc5db, max_idle_ns: 440795203504 ns
[ 0.220544] Calibrating delay loop (skipped), value calculated using timer frequency.. 5186.00 BogoMIPS (lpj=8640000)
[ 0.220546] pid_max: default: 32768 minimum: 301
[ 0.223570] LSM: Security Framework initializing
[ 0.223573] Yama: becoming mindful.
[ 0.225535] Dentry cache hash table entries: 2097152 (order: 12, 16777216 bytes)
[ 0.226509] Inode-cache hash table entries: 1048576 (order: 11, 8388608 bytes)
[ 0.226544] Mount-cache hash table entries: 32768 (order: 6, 262144 bytes)
[ 0.226574] Mountpoint-cache hash table entries: 32768 (order: 6, 262144 bytes)
[ 0.226703] *** VALIDATE proc ***
[ 0.226745] *** VALIDATE cgroup1 ***
[ 0.226746] *** VALIDATE cgroup2 ***
[ 0.226809] mce: CPU0: Thermal monitoring enabled (TM1)
[ 0.226824] process: using mwait in idle threads
[ 0.226827] Last level iTLB entries: 4KB 64, 2MB 8, 4MB 8
[ 0.226827] Last level dTLB entries: 4KB 64, 2MB 0, 4MB 0, 1GB 4
[ 0.226828] Spectre V1 : Mitigation: usercopy/swapgs barriers and __user pointer sanitization
[ 0.226829] Spectre V2 : Mitigation: Full generic retpoline
[ 0.226830] Spectre V2 : Spectre v2 / SpectreRSB mitigation: Filling RSB on context switch
[ 0.226830] Spectre V2 : Enabling Restricted Speculation for firmware calls
[ 0.226832] Spectre V2 : mitigation: Enabling conditional Indirect Branch Prediction Barrier
[ 0.226832] Spectre V2 : User space: Mitigation: STIBP via seccomp and prctl
[ 0.226833] Speculative Store Bypass: Mitigation: Speculative Store Bypass disabled via prctl and seccomp
[ 0.226836] MDS: Mitigation: Clear CPU buffers
[ 0.227079] Freeing SMP alternatives memory: 32K
[ 0.228562] TSC deadline timer enabled
[ 0.228567] smpboot: CPU0: Intel(R) Core(TM) i7-6500U CPU @ 2.50GHz (family: 0x6, model: 0x4e, stepping: 0x3)
[ 0.247243] Performance Events: PEBS fmt3+, Skylake events, 32-deep LBR, full-width counters, Intel PMU driver.
[ 0.247279] ... version: 4
[ 0.247282] ... bit width: 48
[ 0.247285] ... generic registers: 4
[ 0.247290] ... value mask: 0000ffffffffffff
[ 0.247293] ... max period: 00007fffffffffff
[ 0.247295] ... fixed-purpose events: 3
[ 0.247299] ... event mask: 000000070000000f
[ 0.253892] rcu: Hierarchical SRCU implementation.
[ 0.277418] NMI watchdog: Enabled. Permanently consumes one hw-PMU counter.
[ 0.283923] smp: Bringing up secondary CPUs ...
[ 0.323936] x86: Booting SMP configuration:
[ 0.323941] .... node #0, CPUs: #1 #2
[ 0.364498] MDS CPU bug present and SMT on, data leak possible. See https://www.kernel.org/doc/html/latest/admin-guide/hw-vuln/mds.html for more details.
[ 0.403947] #3
[ 0.404467] smp: Brought up 1 node, 4 CPUs
[ 0.404467] smpboot: Max logical packages: 1
[ 0.404467] smpboot: Total of 4 processors activated (20744.00 BogoMIPS)
[ 0.407530] devtmpfs: initialized
[ 0.407530] x86/mm: Memory block size: 128MB
[ 0.408088] PM: Registering ACPI NVS region [mem 0xbf1eb000-0xbf1ebfff] (4096 bytes)
[ 0.408088] PM: Registering ACPI NVS region [mem 0xc48ec000-0xc4e90fff] (5918720 bytes)
[ 0.408088] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 6370867519511994 ns
[ 0.408088] futex hash table entries: 1024 (order: 4, 65536 bytes)
[ 0.408088] pinctrl core: initialized pinctrl subsystem
[ 0.408088] PM: RTC time: 16:42:47, date: 2019-08-15
[ 0.408088] NET: Registered protocol family 16
[ 0.408088] audit: initializing netlink subsys (disabled)
[ 0.408088] audit: type=2000 audit(1565887367.209:1): state=initialized audit_enabled=0 res=1
[ 0.408088] cpuidle: using governor ladder
[ 0.408088] cpuidle: using governor menu
[ 0.408088] KVM setup pv remote TLB flush
[ 0.408088] ACPI FADT declares the system doesn't support PCIe ASPM, so disable it
[ 0.408088] ACPI: bus type PCI registered
[ 0.408088] acpiphp: ACPI Hot Plug PCI Controller Driver version: 0.5
[ 0.408088] PCI: MMCONFIG for domain 0000 [bus 00-3f] at [mem 0xf8000000-0xfbffffff] (base 0xf8000000)
[ 0.408088] PCI: MMCONFIG at [mem 0xf8000000-0xfbffffff] reserved in E820
[ 0.408088] PCI: Using configuration type 1 for base access
[ 0.408088] ENERGY_PERF_BIAS: Set to 'normal', was 'performance'
[ 0.410570] HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages
[ 0.410570] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages
[ 0.410630] ACPI: Added _OSI(Module Device)
[ 0.410631] ACPI: Added _OSI(Processor Device)
[ 0.410632] ACPI: Added _OSI(3.0 _SCP Extensions)
[ 0.410632] ACPI: Added _OSI(Processor Aggregator Device)
[ 0.410633] ACPI: Added _OSI(Linux-Dell-Video)
[ 0.410634] ACPI: Added _OSI(Linux-Lenovo-NV-HDMI-Audio)
[ 0.410635] ACPI: Added _OSI(Linux-HPI-Hybrid-Graphics)
[ 0.444341] ACPI: 7 ACPI AML tables successfully acquired and loaded
[ 0.448318] ACPI: [Firmware Bug]: BIOS _OSI(Linux) query ignored
[ 0.454072] ACPI: Dynamic OEM Table Load:
[ 0.454078] ACPI: SSDT 0xFFFF899C28799000 000660 (v02 PmRef Cpu0Ist 00003000 INTL 20120913)
[ 0.454704] ACPI: \_PR_.CPU0: _OSC native thermal LVT Acked
[ 0.456045] ACPI: Dynamic OEM Table Load:
[ 0.456049] ACPI: SSDT 0xFFFF899C28102400 00037F (v02 PmRef Cpu0Cst 00003001 INTL 20120913)
[ 0.456558] ACPI: Dynamic OEM Table Load:
[ 0.456561] ACPI: SSDT 0xFFFF899C28786CC0 00008E (v02 PmRef Cpu0Hwp 00003000 INTL 20120913)
[ 0.456932] ACPI: Dynamic OEM Table Load:
[ 0.456935] ACPI: SSDT 0xFFFF899C28104400 000130 (v02 PmRef HwpLvt 00003000 INTL 20120913)
[ 0.457979] ACPI: Dynamic OEM Table Load:
[ 0.457985] ACPI: SSDT 0xFFFF899C2879E000 0005AA (v02 PmRef ApIst 00003000 INTL 20120913)
[ 0.458816] ACPI: Dynamic OEM Table Load:
[ 0.458820] ACPI: SSDT 0xFFFF899C28104A00 000119 (v02 PmRef ApHwp 00003000 INTL 20120913)
[ 0.459291] ACPI: Dynamic OEM Table Load:
[ 0.459294] ACPI: SSDT 0xFFFF899C28105E00 000119 (v02 PmRef ApCst 00003000 INTL 20120913)
[ 0.464954] ACPI: EC: EC started
[ 0.464955] ACPI: EC: interrupt blocked
[ 0.466900] ACPI: \_SB_.PCI0.LPCB.H_EC: Used as first EC
[ 0.466902] ACPI: \_SB_.PCI0.LPCB.H_EC: GPE=0xf, EC_CMD/EC_SC=0x66, EC_DATA=0x62
[ 0.466903] ACPI: \_SB_.PCI0.LPCB.H_EC: Boot DSDT EC used to handle transactions
[ 0.466904] ACPI: Interpreter enabled
[ 0.466947] ACPI: (supports S0 S3 S4 S5)
[ 0.466948] ACPI: Using IOAPIC for interrupt routing
[ 0.466992] PCI: Using host bridge windows from ACPI; if necessary, use "pci=nocrs" and report a bug
[ 0.467662] ACPI: Enabled 8 GPEs in block 00 to 7F
[ 0.470589] ACPI: Power Resource [PG00] (on)
[ 0.471028] ACPI: Power Resource [PG01] (on)
[ 0.471445] ACPI: Power Resource [PG02] (on)
[ 0.475008] ACPI: Power Resource [PC01] (on)
[ 0.478294] ACPI: Power Resource [WRST] (off)
[ 0.486753] ACPI: Power Resource [FN00] (off)
[ 0.486836] ACPI: Power Resource [FN01] (off)
[ 0.488204] ACPI: PCI Root Bridge [PCI0] (domain 0000 [bus 00-3e])
[ 0.488211] acpi PNP0A08:00: _OSC: OS supports [ExtendedConfig ASPM ClockPM Segments MSI HPX-Type3]
[ 0.488257] acpi PNP0A08:00: _OSC failed (AE_ERROR); disabling ASPM
[ 0.488962] PCI host bridge to bus 0000:00
[ 0.488964] pci_bus 0000:00: root bus resource [io 0x0000-0x0cf7 window]
[ 0.488965] pci_bus 0000:00: root bus resource [io 0x0d00-0xffff window]
[ 0.488966] pci_bus 0000:00: root bus resource [mem 0x000a0000-0x000bffff window]
[ 0.488967] pci_bus 0000:00: root bus resource [mem 0x000c0000-0x000c3fff window]
[ 0.488968] pci_bus 0000:00: root bus resource [mem 0x000c4000-0x000c7fff window]
[ 0.488969] pci_bus 0000:00: root bus resource [mem 0x000c8000-0x000cbfff window]
[ 0.488970] pci_bus 0000:00: root bus resource [mem 0x000cc000-0x000cffff window]
[ 0.488970] pci_bus 0000:00: root bus resource [mem 0x000d0000-0x000d3fff window]
[ 0.488971] pci_bus 0000:00: root bus resource [mem 0x000d4000-0x000d7fff window]
[ 0.488972] pci_bus 0000:00: root bus resource [mem 0x000d8000-0x000dbfff window]
[ 0.488973] pci_bus 0000:00: root bus resource [mem 0x000dc000-0x000dffff window]
[ 0.488974] pci_bus 0000:00: root bus resource [mem 0x000e0000-0x000e3fff window]
[ 0.488975] pci_bus 0000:00: root bus resource [mem 0x000e4000-0x000e7fff window]
[ 0.488976] pci_bus 0000:00: root bus resource [mem 0x000e8000-0x000ebfff window]
[ 0.488977] pci_bus 0000:00: root bus resource [mem 0x000ec000-0x000effff window]
[ 0.488978] pci_bus 0000:00: root bus resource [mem 0x000f0000-0x000fffff window]
[ 0.488979] pci_bus 0000:00: root bus resource [mem 0xc8000000-0xf7ffffff window]
[ 0.488980] pci_bus 0000:00: root bus resource [mem 0xfd000000-0xfe7fffff window]
[ 0.488981] pci_bus 0000:00: root bus resource [bus 00-3e]
[ 0.488989] pci 0000:00:00.0: [8086:1904] type 00 class 0x060000
[ 0.489139] pci 0000:00:02.0: [8086:1916] type 00 class 0x030000
[ 0.489151] pci 0000:00:02.0: reg 0x10: [mem 0xf5000000-0xf5ffffff 64bit]
[ 0.489158] pci 0000:00:02.0: reg 0x18: [mem 0xd0000000-0xdfffffff 64bit pref]
[ 0.489162] pci 0000:00:02.0: reg 0x20: [io 0xf000-0xf03f]
[ 0.489179] pci 0000:00:02.0: BAR 2: assigned to efifb
[ 0.489387] pci 0000:00:13.0: [8086:9d35] type 00 class 0x000000
[ 0.489411] pci 0000:00:13.0: reg 0x10: [mem 0xf7330000-0xf7330fff 64bit]
[ 0.489633] pci 0000:00:14.0: [8086:9d2f] type 00 class 0x0c0330
[ 0.489657] pci 0000:00:14.0: reg 0x10: [mem 0xf7310000-0xf731ffff 64bit]
[ 0.489736] pci 0000:00:14.0: PME# supported from D3hot D3cold
[ 0.489999] pci 0000:00:14.2: [8086:9d31] type 00 class 0x118000
[ 0.490023] pci 0000:00:14.2: reg 0x10: [mem 0xf732f000-0xf732ffff 64bit]
[ 0.490306] pci 0000:00:15.0: [8086:9d60] type 00 class 0x118000
[ 0.493889] pci 0000:00:15.0: reg 0x10: [mem 0xf732e000-0xf732efff 64bit]
[ 0.494906] pci 0000:00:16.0: [8086:9d3a] type 00 class 0x078000
[ 0.494935] pci 0000:00:16.0: reg 0x10: [mem 0xf732d000-0xf732dfff 64bit]
[ 0.495020] pci 0000:00:16.0: PME# supported from D3hot
[ 0.495197] pci 0000:00:17.0: [8086:9d03] type 00 class 0x010601
[ 0.495218] pci 0000:00:17.0: reg 0x10: [mem 0xf7328000-0xf7329fff]
[ 0.495226] pci 0000:00:17.0: reg 0x14: [mem 0xf732c000-0xf732c0ff]
[ 0.495234] pci 0000:00:17.0: reg 0x18: [io 0xf090-0xf097]
[ 0.495242] pci 0000:00:17.0: reg 0x1c: [io 0xf080-0xf083]
[ 0.495250] pci 0000:00:17.0: reg 0x20: [io 0xf060-0xf07f]
[ 0.495259] pci 0000:00:17.0: reg 0x24: [mem 0xf732b000-0xf732b7ff]
[ 0.495306] pci 0000:00:17.0: PME# supported from D3hot
[ 0.495484] pci 0000:00:1c.0: [8086:9d10] type 01 class 0x060400
[ 0.495573] pci 0000:00:1c.0: PME# supported from D0 D3hot D3cold
[ 0.495793] pci 0000:00:1c.4: [8086:9d14] type 01 class 0x060400
[ 0.495880] pci 0000:00:1c.4: PME# supported from D0 D3hot D3cold
[ 0.496086] pci 0000:00:1c.5: [8086:9d15] type 01 class 0x060400
[ 0.496175] pci 0000:00:1c.5: PME# supported from D0 D3hot D3cold
[ 0.496396] pci 0000:00:1f.0: [8086:9d48] type 00 class 0x060100
[ 0.496668] pci 0000:00:1f.2: [8086:9d21] type 00 class 0x058000
[ 0.496683] pci 0000:00:1f.2: reg 0x10: [mem 0xf7324000-0xf7327fff]
[ 0.496881] pci 0000:00:1f.3: [8086:9d70] type 00 class 0x040300
[ 0.496909] pci 0000:00:1f.3: reg 0x10: [mem 0xf7320000-0xf7323fff 64bit]
[ 0.496937] pci 0000:00:1f.3: reg 0x20: [mem 0xf7300000-0xf730ffff 64bit]
[ 0.496990] pci 0000:00:1f.3: PME# supported from D3hot D3cold
[ 0.497208] pci 0000:00:1f.4: [8086:9d23] type 00 class 0x0c0500
[ 0.497208] pci 0000:00:1f.4: reg 0x10: [mem 0xf732a000-0xf732a0ff 64bit]
[ 0.497208] pci 0000:00:1f.4: reg 0x20: [io 0xf040-0xf05f]
[ 0.497208] pci 0000:01:00.0: [10de:134d] type 00 class 0x030200
[ 0.497208] pci 0000:01:00.0: reg 0x10: [mem 0xf6000000-0xf6ffffff]
[ 0.497208] pci 0000:01:00.0: reg 0x14: [mem 0xe0000000-0xefffffff 64bit pref]
[ 0.497208] pci 0000:01:00.0: reg 0x1c: [mem 0xf0000000-0xf1ffffff 64bit pref]
[ 0.497208] pci 0000:01:00.0: reg 0x24: [io 0xe000-0xe07f]
[ 0.497208] pci 0000:01:00.0: reg 0x30: [mem 0xf7000000-0xf707ffff pref]
[ 0.497208] pci 0000:00:1c.0: PCI bridge to [bus 01]
[ 0.497208] pci 0000:00:1c.0: bridge window [io 0xe000-0xefff]
[ 0.497208] pci 0000:00:1c.0: bridge window [mem 0xf6000000-0xf70fffff]
[ 0.497208] pci 0000:00:1c.0: bridge window [mem 0xe0000000-0xf1ffffff 64bit pref]
[ 0.497208] pci 0000:02:00.0: [10ec:8168] type 00 class 0x020000
[ 0.497208] pci 0000:02:00.0: reg 0x10: [io 0xd000-0xd0ff]
[ 0.497208] pci 0000:02:00.0: reg 0x18: [mem 0xf7204000-0xf7204fff 64bit]
[ 0.497208] pci 0000:02:00.0: reg 0x20: [mem 0xf7200000-0xf7203fff 64bit]
[ 0.497208] pci 0000:02:00.0: supports D1 D2
[ 0.497208] pci 0000:02:00.0: PME# supported from D0 D1 D2 D3hot D3cold
[ 0.497208] pci 0000:00:1c.4: PCI bridge to [bus 02]
[ 0.497208] pci 0000:00:1c.4: bridge window [io 0xd000-0xdfff]
[ 0.497208] pci 0000:00:1c.4: bridge window [mem 0xf7200000-0xf72fffff]
[ 0.497208] pci 0000:03:00.0: [8086:24f3] type 00 class 0x028000
[ 0.497208] pci 0000:03:00.0: reg 0x10: [mem 0xf7100000-0xf7101fff 64bit]
[ 0.497208] pci 0000:03:00.0: PME# supported from D0 D3hot D3cold
[ 0.497208] pci 0000:00:1c.5: PCI bridge to [bus 03]
[ 0.497208] pci 0000:00:1c.5: bridge window [mem 0xf7100000-0xf71fffff]
[ 0.501415] ACPI: PCI Interrupt Link [LNKA] (IRQs 3 4 5 6 10 *11 12 14 15)
[ 0.501482] ACPI: PCI Interrupt Link [LNKB] (IRQs 3 4 5 6 *10 11 12 14 15)
[ 0.501548] ACPI: PCI Interrupt Link [LNKC] (IRQs 3 4 5 6 10 *11 12 14 15)
[ 0.501612] ACPI: PCI Interrupt Link [LNKD] (IRQs 3 4 5 6 10 *11 12 14 15)
[ 0.501677] ACPI: PCI Interrupt Link [LNKE] (IRQs 3 4 5 6 10 *11 12 14 15)
[ 0.501741] ACPI: PCI Interrupt Link [LNKF] (IRQs 3 4 5 6 10 *11 12 14 15)
[ 0.501806] ACPI: PCI Interrupt Link [LNKG] (IRQs 3 4 5 6 10 *11 12 14 15)
[ 0.501870] ACPI: PCI Interrupt Link [LNKH] (IRQs 3 4 5 6 10 *11 12 14 15)
[ 0.504029] ACPI: EC: interrupt unblocked
[ 0.504047] ACPI: EC: event unblocked
[ 0.504088] ACPI: EC: 0 stale EC events cleared
[ 0.504088] ACPI: \_SB_.PCI0.LPCB.H_EC: GPE=0xf, EC_CMD/EC_SC=0x66, EC_DATA=0x62
[ 0.504109] ACPI: \_SB_.PCI0.LPCB.H_EC: Boot DSDT EC used to handle transactions and events
[ 0.504223] pci 0000:00:02.0: vgaarb: setting as boot VGA device
[ 0.504223] pci 0000:00:02.0: vgaarb: VGA device added: decodes=io+mem,owns=io+mem,locks=none
[ 0.504223] pci 0000:00:02.0: vgaarb: bridge control possible
[ 0.504223] vgaarb: loaded
[ 0.504223] ACPI: bus type USB registered
[ 0.504223] usbcore: registered new interface driver usbfs
[ 0.504223] usbcore: registered new interface driver hub
[ 0.504223] usbcore: registered new device driver usb
[ 0.504223] pps_core: LinuxPPS API ver. 1 registered
[ 0.504223] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[ 0.504223] PTP clock support registered
[ 0.504223] EDAC MC: Ver: 3.0.0
[ 0.504223] Registered efivars operations
[ 0.510611] PCI: Using ACPI for IRQ routing
[ 0.515383] PCI: pci_cache_line_size set to 64 bytes
[ 0.515491] e820: reserve RAM buffer [mem 0x00058000-0x0005ffff]
[ 0.515492] e820: reserve RAM buffer [mem 0x0009e000-0x0009ffff]
[ 0.515493] e820: reserve RAM buffer [mem 0xbf1eb000-0xbfffffff]
[ 0.515494] e820: reserve RAM buffer [mem 0xc3e3f000-0xc3ffffff]
[ 0.515494] e820: reserve RAM buffer [mem 0xc52ff000-0xc7ffffff]
[ 0.515496] e820: reserve RAM buffer [mem 0x337000000-0x337ffffff]
[ 0.517211] NetLabel: Initializing
[ 0.517211] NetLabel: domain hash size = 128
[ 0.517211] NetLabel: protocols = UNLABELED CIPSOv4 CALIPSO
[ 0.517211] NetLabel: unlabeled traffic allowed by default
[ 0.517934] hpet0: at MMIO 0xfed00000, IRQs 2, 8, 0, 0, 0, 0, 0, 0
[ 0.517938] hpet0: 8 comparators, 64-bit 24.000000 MHz counter
[ 0.521593] clocksource: Switched to clocksource tsc-early
[ 0.531352] VFS: Disk quotas dquot_6.6.0
[ 0.531365] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[ 0.531389] *** VALIDATE hugetlbfs ***
[ 0.531427] pnp: PnP ACPI init
[ 0.531727] system 00:00: [io 0x0680-0x069f] has been reserved
[ 0.531729] system 00:00: [io 0xffff] has been reserved
[ 0.531730] system 00:00: [io 0xffff] has been reserved
[ 0.531731] system 00:00: [io 0xffff] has been reserved
[ 0.531733] system 00:00: [io 0x1800-0x18fe] has been reserved
[ 0.531734] system 00:00: [io 0x164e-0x164f] has been reserved
[ 0.531738] system 00:00: Plug and Play ACPI device, IDs PNP0c02 (active)
[ 0.531849] pnp 00:01: Plug and Play ACPI device, IDs PNP0b00 (active)
[ 0.531893] system 00:02: [io 0x1854-0x1857] has been reserved
[ 0.531896] system 00:02: Plug and Play ACPI device, IDs INT3f0d PNP0c02 (active)
[ 0.531920] pnp 00:03: Plug and Play ACPI device, IDs MSF0001 PNP0303 (active)
[ 0.532796] system 00:04: [mem 0xfed10000-0xfed17fff] has been reserved
[ 0.532798] system 00:04: [mem 0xfed18000-0xfed18fff] has been reserved
[ 0.532799] system 00:04: [mem 0xfed19000-0xfed19fff] has been reserved
[ 0.532800] system 00:04: [mem 0xf8000000-0xfbffffff] has been reserved
[ 0.532802] system 00:04: [mem 0xfed20000-0xfed3ffff] has been reserved
[ 0.532803] system 00:04: [mem 0xfed90000-0xfed93fff] could not be reserved
[ 0.532804] system 00:04: [mem 0xfed45000-0xfed8ffff] has been reserved
[ 0.532806] system 00:04: [mem 0xff000000-0xffffffff] has been reserved
[ 0.532807] system 00:04: [mem 0xfee00000-0xfeefffff] could not be reserved
[ 0.532808] system 00:04: [mem 0xf7fe0000-0xf7ffffff] has been reserved
[ 0.532811] system 00:04: Plug and Play ACPI device, IDs PNP0c02 (active)
[ 0.532859] system 00:05: [mem 0xfd000000-0xfdabffff] has been reserved
[ 0.532861] system 00:05: [mem 0xfdad0000-0xfdadffff] has been reserved
[ 0.532863] system 00:05: [mem 0xfdb00000-0xfdffffff] has been reserved
[ 0.532865] system 00:05: [mem 0xfe000000-0xfe01ffff] could not be reserved
[ 0.532866] system 00:05: [mem 0xfe036000-0xfe03bfff] has been reserved
[ 0.532867] system 00:05: [mem 0xfe03d000-0xfe3fffff] has been reserved
[ 0.532868] system 00:05: [mem 0xfe410000-0xfe7fffff] has been reserved
[ 0.532871] system 00:05: Plug and Play ACPI device, IDs PNP0c02 (active)
[ 0.533219] system 00:06: [io 0xff00-0xfffe] has been reserved
[ 0.533222] system 00:06: Plug and Play ACPI device, IDs PNP0c02 (active)
[ 0.534577] system 00:07: [mem 0xfe029000-0xfe029fff] has been reserved
[ 0.534578] system 00:07: [mem 0xfe028000-0xfe028fff] has been reserved
[ 0.534581] system 00:07: Plug and Play ACPI device, IDs PNP0c02 (active)
[ 0.535137] system 00:08: [io 0x0a00-0x0a07] has been reserved
[ 0.535140] system 00:08: Plug and Play ACPI device, IDs PNP0c02 (active)
[ 0.535180] system 00:09: Plug and Play ACPI device, IDs PNP0c02 (active)
[ 0.535835] pnp: PnP ACPI: found 10 devices
[ 0.541501] clocksource: acpi_pm: mask: 0xffffff max_cycles: 0xffffff, max_idle_ns: 2085701024 ns
[ 0.541511] pci 0000:00:1c.0: PCI bridge to [bus 01]
[ 0.541513] pci 0000:00:1c.0: bridge window [io 0xe000-0xefff]
[ 0.541517] pci 0000:00:1c.0: bridge window [mem 0xf6000000-0xf70fffff]
[ 0.541520] pci 0000:00:1c.0: bridge window [mem 0xe0000000-0xf1ffffff 64bit pref]
[ 0.541524] pci 0000:00:1c.4: PCI bridge to [bus 02]
[ 0.541526] pci 0000:00:1c.4: bridge window [io 0xd000-0xdfff]
[ 0.541530] pci 0000:00:1c.4: bridge window [mem 0xf7200000-0xf72fffff]
[ 0.541536] pci 0000:00:1c.5: PCI bridge to [bus 03]
[ 0.541539] pci 0000:00:1c.5: bridge window [mem 0xf7100000-0xf71fffff]
[ 0.541546] pci_bus 0000:00: resource 4 [io 0x0000-0x0cf7 window]
[ 0.541547] pci_bus 0000:00: resource 5 [io 0x0d00-0xffff window]
[ 0.541548] pci_bus 0000:00: resource 6 [mem 0x000a0000-0x000bffff window]
[ 0.541549] pci_bus 0000:00: resource 7 [mem 0x000c0000-0x000c3fff window]
[ 0.541550] pci_bus 0000:00: resource 8 [mem 0x000c4000-0x000c7fff window]
[ 0.541551] pci_bus 0000:00: resource 9 [mem 0x000c8000-0x000cbfff window]
[ 0.541552] pci_bus 0000:00: resource 10 [mem 0x000cc000-0x000cffff window]
[ 0.541553] pci_bus 0000:00: resource 11 [mem 0x000d0000-0x000d3fff window]
[ 0.541555] pci_bus 0000:00: resource 12 [mem 0x000d4000-0x000d7fff window]
[ 0.541556] pci_bus 0000:00: resource 13 [mem 0x000d8000-0x000dbfff window]
[ 0.541557] pci_bus 0000:00: resource 14 [mem 0x000dc000-0x000dffff window]
[ 0.541557] pci_bus 0000:00: resource 15 [mem 0x000e0000-0x000e3fff window]
[ 0.541558] pci_bus 0000:00: resource 16 [mem 0x000e4000-0x000e7fff window]
[ 0.541559] pci_bus 0000:00: resource 17 [mem 0x000e8000-0x000ebfff window]
[ 0.541561] pci_bus 0000:00: resource 18 [mem 0x000ec000-0x000effff window]
[ 0.541561] pci_bus 0000:00: resource 19 [mem 0x000f0000-0x000fffff window]
[ 0.541563] pci_bus 0000:00: resource 20 [mem 0xc8000000-0xf7ffffff window]
[ 0.541564] pci_bus 0000:00: resource 21 [mem 0xfd000000-0xfe7fffff window]
[ 0.541565] pci_bus 0000:01: resource 0 [io 0xe000-0xefff]
[ 0.541566] pci_bus 0000:01: resource 1 [mem 0xf6000000-0xf70fffff]
[ 0.541567] pci_bus 0000:01: resource 2 [mem 0xe0000000-0xf1ffffff 64bit pref]
[ 0.541568] pci_bus 0000:02: resource 0 [io 0xd000-0xdfff]
[ 0.541569] pci_bus 0000:02: resource 1 [mem 0xf7200000-0xf72fffff]
[ 0.541570] pci_bus 0000:03: resource 1 [mem 0xf7100000-0xf71fffff]
[ 0.541729] NET: Registered protocol family 2
[ 0.541848] tcp_listen_portaddr_hash hash table entries: 8192 (order: 5, 131072 bytes)
[ 0.541901] TCP established hash table entries: 131072 (order: 8, 1048576 bytes)
[ 0.542074] TCP bind hash table entries: 65536 (order: 8, 1048576 bytes)
[ 0.542179] TCP: Hash tables configured (established 131072 bind 65536)
[ 0.542210] UDP hash table entries: 8192 (order: 6, 262144 bytes)
[ 0.542250] UDP-Lite hash table entries: 8192 (order: 6, 262144 bytes)
[ 0.542326] NET: Registered protocol family 1
[ 0.542331] NET: Registered protocol family 44
[ 0.542339] pci 0000:00:02.0: Video device with shadowed ROM at [mem 0x000c0000-0x000dffff]
[ 0.542688] PCI: CLS 0 bytes, default 64
[ 0.542719] Trying to unpack rootfs image as initramfs...
[ 0.806565] Freeing initrd memory: 25608K
[ 0.806602] DMAR: ACPI device "device:69" under DMAR at fed91000 as 00:15.0
[ 0.830638] PCI-DMA: Using software bounce buffering for IO (SWIOTLB)
[ 0.830647] software IO TLB: mapped [mem 0xbae5b000-0xbee5b000] (64MB)
[ 0.830712] clocksource: tsc: mask: 0xffffffffffffffff max_cycles: 0x255cb6cc5db, max_idle_ns: 440795203504 ns
[ 0.830725] clocksource: Switched to clocksource tsc
[ 0.830816] check: Scanning for low memory corruption every 60 seconds
[ 0.831367] Initialise system trusted keyrings
[ 0.831375] Key type blacklist registered
[ 0.831416] workingset: timestamp_bits=41 max_order=22 bucket_order=0
[ 0.832577] zbud: loaded
[ 0.834255] Key type asymmetric registered
[ 0.834256] Asymmetric key parser 'x509' registered
[ 0.834262] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 244)
[ 0.834295] io scheduler mq-deadline registered
[ 0.834295] io scheduler kyber registered
[ 0.834331] io scheduler bfq registered
[ 0.835144] shpchp: Standard Hot Plug PCI Controller Driver version: 0.4
[ 0.835229] efifb: probing for efifb
[ 0.835245] efifb: showing boot graphics
[ 0.835935] efifb: framebuffer at 0xd0000000, using 8128k, total 8128k
[ 0.835936] efifb: mode is 1920x1080x32, linelength=7680, pages=1
[ 0.835936] efifb: scrolling: redraw
[ 0.835938] efifb: Truecolor: size=8:8:8:8, shift=24:16:8:0
[ 0.835975] fbcon: Deferring console take-over
[ 0.835976] fb0: EFI VGA frame buffer device
[ 0.835983] intel_idle: MWAIT substates: 0x11142120
[ 0.835984] intel_idle: v0.4.1 model 0x4E
[ 0.836212] intel_idle: lapic_timer_reliable_states 0xffffffff
[ 0.836289] input: Lid Switch as /devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0D:00/input/input0
[ 0.836296] ACPI: Lid Switch [LID0]
[ 0.836322] input: Power Button as /devices/LNXSYSTM:00/LNXSYBUS:00/PNP0C0C:00/input/input1
[ 0.837276] ACPI: Power Button [PWRB]
[ 0.837322] input: Power Button as /devices/LNXSYSTM:00/LNXPWRBN:00/input/input2
[ 0.840601] ACPI: Power Button [PWRF]
[ 0.842518] ACPI: Invalid active2 threshold
[ 0.843989] thermal LNXTHERM:00: registered as thermal_zone0
[ 0.843991] ACPI: Thermal Zone [TZ00] (47 C)
[ 0.845982] thermal LNXTHERM:01: registered as thermal_zone1
[ 0.845983] ACPI: Thermal Zone [TZ01] (47 C)
[ 0.846405] Serial: 8250/16550 driver, 4 ports, IRQ sharing enabled
[ 0.848352] usbcore: registered new interface driver usbserial_generic
[ 0.848356] usbserial: USB Serial support registered for generic
[ 0.848375] rtc_cmos 00:01: RTC can wake from S4
[ 0.848973] rtc_cmos 00:01: registered as rtc0
[ 0.848992] rtc_cmos 00:01: alarms up to one month, y3k, 242 bytes nvram, hpet irqs
[ 0.849046] intel_pstate: Intel P-state driver initializing
[ 0.849323] intel_pstate: HWP enabled
[ 0.849358] ledtrig-cpu: registered to indicate activity on CPUs
[ 0.849423] intel_pmc_core intel_pmc_core.0: initialized
[ 0.849612] NET: Registered protocol family 10
[ 0.856698] Segment Routing with IPv6
[ 0.856720] NET: Registered protocol family 17
[ 0.857053] mce: Using 8 MCE banks
[ 0.857066] RAS: Correctable Errors collector initialized.
[ 0.857109] microcode: sig=0x406e3, pf=0x80, revision=0xcc
[ 0.857166] microcode: Microcode Update Driver: v2.2.
[ 0.857176] sched_clock: Marking stable (860015082, -2853114)->(862162158, -5000190)
[ 0.857520] registered taskstats version 1
[ 0.857526] Loading compiled-in X.509 certificates
[ 0.861657] Loaded X.509 cert 'Build time autogenerated kernel key: 62fc5ec65926abbcdf7dbdb71e25135b520c903d'
[ 0.861677] zswap: loaded using pool lzo/zbud
[ 0.869286] Key type big_key registered
[ 0.870516] PM: Magic number: 15:520:742
[ 0.870717] rtc_cmos 00:01: setting system clock to 2019-08-15T16:42:47 UTC (1565887367)
[ 0.871857] Freeing unused decrypted memory: 2040K
[ 0.872169] Freeing unused kernel image memory: 1612K
[ 0.904357] Write protecting the kernel read-only data: 18432k
[ 0.905560] Freeing unused kernel image memory: 2012K
[ 0.905829] Freeing unused kernel image memory: 196K
[ 0.929032] x86/mm: Checked W+X mappings: passed, no W+X pages found.
[ 0.929035] x86/mm: Checking user space page tables
[ 0.944720] x86/mm: Checked W+X mappings: passed, no W+X pages found.
[ 0.944722] Run /init as init process
[ 0.974730] fbcon: Taking over console
[ 0.974791] Console: switching to colour frame buffer device 240x67
[ 1.030057] i8042: PNP: PS/2 Controller [PNP0303:PS2K] at 0x60,0x64 irq 1
[ 1.030059] i8042: PNP: PS/2 appears to have AUX port disabled, if this is incorrect please boot with i8042.nopnp
[ 1.031797] serio: i8042 KBD port at 0x60,0x64 irq 1
[ 1.039828] SCSI subsystem initialized
[ 1.045756] xhci_hcd 0000:00:14.0: xHCI Host Controller
[ 1.045763] xhci_hcd 0000:00:14.0: new USB bus registered, assigned bus number 1
[ 1.046559] libata version 3.00 loaded.
[ 1.048016] xhci_hcd 0000:00:14.0: hcc params 0x200077c1 hci version 0x100 quirks 0x0000000081109810
[ 1.048023] xhci_hcd 0000:00:14.0: cache line size of 64 is not supported
[ 1.048222] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.02
[ 1.048224] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[ 1.048226] usb usb1: Product: xHCI Host Controller
[ 1.048227] usb usb1: Manufacturer: Linux 5.2.8-arch1-1-ARCH xhci-hcd
[ 1.048228] usb usb1: SerialNumber: 0000:00:14.0
[ 1.048340] hub 1-0:1.0: USB hub found
[ 1.048359] hub 1-0:1.0: 12 ports detected
[ 1.049575] xhci_hcd 0000:00:14.0: xHCI Host Controller
[ 1.049581] xhci_hcd 0000:00:14.0: new USB bus registered, assigned bus number 2
[ 1.049584] xhci_hcd 0000:00:14.0: Host supports USB 3.0 SuperSpeed
[ 1.049613] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.02
[ 1.049614] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[ 1.049615] usb usb2: Product: xHCI Host Controller
[ 1.049616] usb usb2: Manufacturer: Linux 5.2.8-arch1-1-ARCH xhci-hcd
[ 1.049616] usb usb2: SerialNumber: 0000:00:14.0
[ 1.049698] hub 2-0:1.0: USB hub found
[ 1.049710] hub 2-0:1.0: 6 ports detected
[ 1.049971] ahci 0000:00:17.0: version 3.0
[ 1.050654] ahci 0000:00:17.0: AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl SATA mode
[ 1.050657] ahci 0000:00:17.0: flags: 64bit ncq pm led clo only pio slum part deso sadm sds apst
[ 1.051836] scsi host0: ahci
[ 1.052094] scsi host1: ahci
[ 1.052140] ata1: SATA max UDMA/133 abar m2048@0xf732b000 port 0xf732b100 irq 126
[ 1.052141] ata2: SATA max UDMA/133 abar m2048@0xf732b000 port 0xf732b180 irq 126
[ 1.068187] input: AT Translated Set 2 keyboard as /devices/platform/i8042/serio0/input/input3
[ 1.362679] ata1: SATA link up 6.0 Gbps (SStatus 133 SControl 300)
[ 1.363779] ata1.00: supports DRM functions and may not be fully accessible
[ 1.363864] ata1.00: ATA-10: CT1000MX500SSD1, M3CR023, max UDMA/133
[ 1.363868] ata1.00: 1953525168 sectors, multi 1: LBA48 NCQ (depth 32), AA
[ 1.365233] ata1.00: supports DRM functions and may not be fully accessible
[ 1.365728] ata2: SATA link down (SStatus 4 SControl 300)
[ 1.366446] ata1.00: configured for UDMA/133
[ 1.376940] ahci 0000:00:17.0: port does not support device sleep
[ 1.377266] usb 1-1: new low-speed USB device number 2 using xhci_hcd
[ 1.377558] scsi 0:0:0:0: Direct-Access ATA CT1000MX500SSD1 023 PQ: 0 ANSI: 5
[ 1.387127] sd 0:0:0:0: [sda] 1953525168 512-byte logical blocks: (1.00 TB/932 GiB)
[ 1.387133] sd 0:0:0:0: [sda] 4096-byte physical blocks
[ 1.387171] sd 0:0:0:0: [sda] Write Protect is off
[ 1.387176] sd 0:0:0:0: [sda] Mode Sense: 00 3a 00 00
[ 1.387264] sd 0:0:0:0: [sda] Write cache: enabled, read cache: enabled, doesn't support DPO or FUA
[ 1.389199] sda: sda1 sda2
[ 1.390333] sd 0:0:0:0: [sda] supports TCG Opal
[ 1.390337] sd 0:0:0:0: [sda] Attached SCSI disk
[ 1.540065] usb 1-1: New USB device found, idVendor=04d9, idProduct=1702, bcdDevice= 4.06
[ 1.540067] usb 1-1: New USB device strings: Mfr=1, Product=2, SerialNumber=0
[ 1.540069] usb 1-1: Product: USB Keyboard
[ 1.540070] usb 1-1: Manufacturer:
[ 1.544474] hidraw: raw HID events driver (C) Jiri Kosina
[ 1.549688] EXT4-fs (sda2): mounted filesystem with ordered data mode. Opts: (null)
[ 1.563873] random: fast init done
[ 1.568977] usbcore: registered new interface driver usbhid
[ 1.568978] usbhid: USB HID core driver
[ 1.664016] usb 1-4: new full-speed USB device number 3 using xhci_hcd
[ 1.749099] systemd[1]: systemd 242.84-2-arch running in system mode. (+PAM +AUDIT -SELINUX -IMA -APPARMOR +SMACK -SYSVINIT +UTMP +LIBCRYPTSETUP +GCRYPT +GNUTLS +ACL +XZ +LZ4 +SECCOMP +BLKID +ELFUTILS +KMOD +IDN2 -IDN +PCRE2 default-hierarchy=hybrid)
[ 1.764533] systemd[1]: Detected architecture x86-64.
[ 1.768179] systemd[1]: Set hostname to <alchemyst>.
[ 1.807663] usb 1-4: New USB device found, idVendor=046d, idProduct=c52b, bcdDevice=24.07
[ 1.807667] usb 1-4: New USB device strings: Mfr=1, Product=2, SerialNumber=0
[ 1.807672] usb 1-4: Product: USB Receiver
[ 1.807674] usb 1-4: Manufacturer: Logitech
[ 1.919642] systemd[1]: Listening on LVM2 poll daemon socket.
[ 1.919730] systemd[1]: Listening on udev Kernel Socket.
[ 1.919739] systemd[1]: Reached target Login Prompts.
[ 1.919800] systemd[1]: Listening on Journal Socket (/dev/log).
[ 1.919808] systemd[1]: Reached target Remote File Systems.
[ 1.920790] systemd[1]: Created slice system-getty.slice.
[ 1.920866] systemd[1]: Listening on Journal Socket.
[ 1.931690] EXT4-fs (sda2): re-mounted. Opts: data=ordered
[ 1.943900] usb 1-5: new full-speed USB device number 4 using xhci_hcd
[ 1.952796] random: lvm: uninitialized urandom read (4 bytes read)
[ 1.964022] random: systemd-random-: uninitialized urandom read (512 bytes read)
[ 2.084763] usb 1-5: New USB device found, idVendor=8087, idProduct=0a2b, bcdDevice= 0.01
[ 2.084766] usb 1-5: New USB device strings: Mfr=0, Product=0, SerialNumber=0
[ 2.194895] audit: type=1130 audit(1565887368.820:2): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-journald comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 2.204616] systemd-journald[270]: Received request to flush runtime journal from PID 1
[ 2.207230] usb 1-6: new high-speed USB device number 5 using xhci_hcd
[ 2.277351] audit: type=1130 audit(1565887368.903:3): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-udevd comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 2.339280] ACPI: AC Adapter [ADP1] (on-line)
[ 2.344580] input: Intel HID events as /devices/platform/INT33D5:00/input/input4
[ 2.359404] audit: type=1130 audit(1565887368.983:4): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-journal-flush comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 2.367776] intel_ish_ipc 0000:00:13.0: enabling device (0000 -> 0002)
[ 2.383854] Linux agpgart interface v0.103
[ 2.392563] usb 1-6: New USB device found, idVendor=00ca, idProduct=5803, bcdDevice= 0.04
[ 2.392565] usb 1-6: New USB device strings: Mfr=3, Product=1, SerialNumber=2
[ 2.392567] usb 1-6: Product: WEB CAMERA
[ 2.392568] usb 1-6: Manufacturer: Generic
[ 2.392569] usb 1-6: SerialNumber: 201605200001
[ 2.518542] battery: ACPI: Battery Slot [BAT1] (battery present)
[ 2.522228] usb 1-7: new full-speed USB device number 6 using xhci_hcd
[ 2.522237] tpm_crb MSFT0101:00: [Firmware Bug]: ACPI region does not cover the entire command/response buffer. [mem 0xfed40000-0xfed4087f flags 0x200] vs fed40080 f80
[ 2.522244] tpm_crb MSFT0101:00: [Firmware Bug]: ACPI region does not cover the entire command/response buffer. [mem 0xfed40000-0xfed4087f flags 0x200] vs fed40080 f80
[ 2.528350] input: Intel Virtual Button driver as /devices/pci0000:00/0000:00:1f.0/PNP0C09:00/INT33D6:00/input/input5
[ 2.565919] RAPL PMU: API unit is 2^-32 Joules, 5 fixed counters, 655360 ms ovfl timer
[ 2.565921] RAPL PMU: hw unit of domain pp0-core 2^-14 Joules
[ 2.565922] RAPL PMU: hw unit of domain package 2^-14 Joules
[ 2.565923] RAPL PMU: hw unit of domain dram 2^-14 Joules
[ 2.565923] RAPL PMU: hw unit of domain pp1-gpu 2^-14 Joules
[ 2.565924] RAPL PMU: hw unit of domain psys 2^-14 Joules
[ 2.607828] input: PC Speaker as /devices/platform/pcspkr/input/input6
[ 2.608602] i801_smbus 0000:00:1f.4: SMBus using PCI interrupt
[ 2.618525] IPMI message handler: version 39.2
[ 2.641213] intel-lpss 0000:00:15.0: enabling device (0000 -> 0002)
[ 2.641274] iTCO_vendor_support: vendor-support=0
[ 2.643191] ipmi device interface
[ 2.643425] iTCO_wdt: Intel TCO WatchDog Timer Driver v1.11
[ 2.643558] iTCO_wdt: Found a Intel PCH TCO device (Version=4, TCOBASE=0x0400)
[ 2.644509] mei_me 0000:00:16.0: enabling device (0000 -> 0002)
[ 2.645063] iTCO_wdt: initialized. heartbeat=30 sec (nowayout=0)
[ 2.648007] idma64 idma64.0: Found Intel integrated DMA 64-bit
[ 2.656907] i2c_hid i2c-SYN2602:00: i2c-SYN2602:00 supply vdd not found, using dummy regulator
[ 2.657719] i2c_hid i2c-SYN2602:00: i2c-SYN2602:00 supply vddl not found, using dummy regulator
[ 2.665843] usb 1-7: New USB device found, idVendor=03eb, idProduct=8ab4, bcdDevice=23.32
[ 2.665845] usb 1-7: New USB device strings: Mfr=1, Product=2, SerialNumber=0
[ 2.665847] usb 1-7: Product: Atmel maXTouch Digitizer
[ 2.665848] usb 1-7: Manufacturer: Atmel
[ 2.713295] audit: type=1130 audit(1565887369.336:5): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=lvm2-monitor comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 2.734958] r8169 0000:02:00.0: can't disable ASPM; OS doesn't have ASPM control
[ 2.735200] cryptd: max_cpu_qlen set to 1000
[ 2.748809] libphy: r8169: probed
[ 2.749005] r8169 0000:02:00.0 eth0: RTL8168h/8111h, 98:83:89:27:1f:b6, XID 541, IRQ 128
[ 2.749007] r8169 0000:02:00.0 eth0: jumbo features [frames: 9200 bytes, tx checksumming: ko]
[ 2.751037] input: USB Keyboard as /devices/pci0000:00/0000:00:14.0/usb1/1-1/1-1:1.0/0003:04D9:1702.0001/input/input7
[ 2.754847] audit: type=1130 audit(1565887369.380:6): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-fsck@dev-disk-by\x2duuid-29B9\x2d5950 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 2.764759] r8169 0000:02:00.0 enp2s0: renamed from eth0
[ 2.790578] usb 1-8: new high-speed USB device number 7 using xhci_hcd
[ 2.803379] AVX2 version of gcm_enc/dec engaged.
[ 2.803380] AES CTR mode by8 optimization enabled
[ 2.809114] hid-generic 0003:04D9:1702.0001: input,hidraw0: USB HID v1.10 Keyboard [ USB Keyboard] on usb-0000:00:14.0-1/input0
[ 2.809243] input: USB Keyboard System Control as /devices/pci0000:00/0000:00:14.0/usb1/1-1/1-1:1.1/0003:04D9:1702.0002/input/input8
[ 2.831584] cfg80211: Loading compiled-in X.509 certificates for regulatory database
[ 2.845810] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
[ 2.847349] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
[ 2.847351] cfg80211: failed to load regulatory.db
[ 2.855955] audit: type=1130 audit(1565887369.480:7): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-tmpfiles-setup comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 2.863217] audit: type=1127 audit(1565887369.486:8): pid=392 uid=0 auid=4294967295 ses=4294967295 msg=' comm="systemd-update-utmp" exe="/usr/lib/systemd/systemd-update-utmp" hostname=? addr=? terminal=? res=success'
[ 2.865188] input: USB Keyboard Consumer Control as /devices/pci0000:00/0000:00:14.0/usb1/1-1/1-1:1.1/0003:04D9:1702.0002/input/input9
[ 2.866894] audit: type=1130 audit(1565887369.490:9): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-update-utmp comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 2.867023] hid-generic 0003:04D9:1702.0002: input,hidraw1: USB HID v1.10 Device [ USB Keyboard] on usb-0000:00:14.0-1/input1
[ 2.867191] input: Logitech USB Receiver as /devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.0/0003:046D:C52B.0003/input/input10
[ 2.916001] checking generic (d0000000 7f0000) vs hw (d0000000 10000000)
[ 2.916003] fb0: switching to inteldrmfb from EFI VGA
[ 2.916035] Console: switching to colour dummy device 80x25
[ 2.920704] i915 0000:00:02.0: vgaarb: deactivate vga console
[ 2.920751] hid-generic 0003:046D:C52B.0003: input,hidraw2: USB HID v1.11 Keyboard [Logitech USB Receiver] on usb-0000:00:14.0-4/input0
[ 2.920956] input: Logitech USB Receiver Mouse as /devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.1/0003:046D:C52B.0004/input/input11
[ 2.921175] Intel(R) Wireless WiFi driver for Linux
[ 2.921176] Copyright(c) 2003- 2015 Intel Corporation
[ 2.921296] iwlwifi 0000:03:00.0: enabling device (0000 -> 0002)
[ 2.921936] input: Logitech USB Receiver Consumer Control as /devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.1/0003:046D:C52B.0004/input/input12
[ 2.922296] snd_hda_intel 0000:00:1f.3: enabling device (0000 -> 0002)
[ 2.923534] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013).
[ 2.923535] [drm] Driver supports precise vblank timestamp query.
[ 2.924003] random: crng init done
[ 2.924473] i915 0000:00:02.0: vgaarb: changed VGA decodes: olddecodes=io+mem,decodes=io+mem:owns=io+mem
[ 2.930822] usb 1-8: New USB device found, idVendor=0bda, idProduct=0129, bcdDevice=39.60
[ 2.930824] usb 1-8: New USB device strings: Mfr=1, Product=2, SerialNumber=3
[ 2.930826] usb 1-8: Product: USB2.0-CRW
[ 2.930827] usb 1-8: Manufacturer: Generic
[ 2.930829] usb 1-8: SerialNumber: 20100201396000000
[ 2.932779] iwlwifi 0000:03:00.0: loaded firmware version 36.8fd77bb3.0 op_mode iwlmvm
[ 2.933287] [drm] Finished loading DMC firmware i915/skl_dmc_ver1_27.bin (v1.27)
[ 2.940352] [drm] Initialized i915 1.6.0 20190417 for 0000:00:02.0 on minor 0
[ 2.944155] ACPI: Video Device [GFX0] (multi-head: yes rom: no post: no)
[ 2.945759] input: Video Bus as /devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/LNXVIDEO:00/input/input15
[ 2.977340] input: Logitech USB Receiver System Control as /devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.1/0003:046D:C52B.0004/input/input13
[ 2.977571] ACPI: Video Device [PEGP] (multi-head: no rom: yes post: no)
[ 2.977610] input: Video Bus as /devices/LNXSYSTM:00/LNXSYBUS:00/PNP0A08:00/device:23/LNXVIDEO:01/input/input16
[ 2.977676] hid-generic 0003:046D:C52B.0004: input,hiddev0,hidraw3: USB HID v1.11 Mouse [Logitech USB Receiver] on usb-0000:00:14.0-4/input1
[ 2.977919] snd_hda_intel 0000:00:1f.3: bound 0000:00:02.0 (ops i915_audio_component_bind_ops [i915])
[ 2.977993] hid-generic 0003:046D:C52B.0005: hiddev1,hidraw4: USB HID v1.11 Device [Logitech USB Receiver] on usb-0000:00:14.0-4/input2
[ 2.978208] hid-generic 0003:03EB:8AB4.0006: hiddev2,hidraw5: USB HID v1.11 Device [Atmel Atmel maXTouch Digitizer] on usb-0000:00:14.0-7/input0
[ 2.979002] input: Atmel Atmel maXTouch Digitizer Touchscreen as /devices/pci0000:00/0000:00:14.0/usb1/1-7/1-7:1.1/0003:03EB:8AB4.0007/input/input17
[ 2.979158] input: Atmel Atmel maXTouch Digitizer as /devices/pci0000:00/0000:00:14.0/usb1/1-7/1-7:1.1/0003:03EB:8AB4.0007/input/input18
[ 2.979806] hid-generic 0003:03EB:8AB4.0007: input,hiddev3,hidraw6: USB HID v1.11 Device [Atmel Atmel maXTouch Digitizer] on usb-0000:00:14.0-7/input1
[ 2.984060] input: SYN2602:00 06CB:78DD Mouse as /devices/pci0000:00/0000:00:15.0/i2c_designware.0/i2c-1/i2c-SYN2602:00/0018:06CB:78DD.0008/input/input19
[ 2.987097] input: SYN2602:00 06CB:78DD Touchpad as /devices/pci0000:00/0000:00:15.0/i2c_designware.0/i2c-1/i2c-SYN2602:00/0018:06CB:78DD.0008/input/input20
[ 2.990139] hid-generic 0018:06CB:78DD.0008: input,hidraw7: I2C HID v1.00 Mouse [SYN2602:00 06CB:78DD] on i2c-SYN2602:00
[ 3.005579] fbcon: i915drmfb (fb0) is primary device
[ 3.024614] ish-hid {33AECD58-B679-4E54-9BD9-A04D34F0C226}: [hid-ish]: enum_devices_done OK, num_hid_devices=2
[ 3.038491] Console: switching to colour frame buffer device 240x67
[ 3.038789] hid-generic 001F:8087:0AC2.0009: hidraw8: <UNKNOWN> HID v2.00 Device [hid-ishtp 8087:0AC2] on
[ 3.044983] media: Linux media interface: v0.10
[ 3.046836] hid-generic 001F:8087:0AC2.000A: hidraw9: <UNKNOWN> HID v2.00 Device [hid-ishtp 8087:0AC2] on
[ 3.062289] i915 0000:00:02.0: fb0: i915drmfb frame buffer device
[ 3.081723] iwlwifi 0000:03:00.0: Detected Intel(R) Dual Band Wireless AC 8260, REV=0x208
[ 3.089055] input: Atmel Atmel maXTouch Digitizer as /devices/pci0000:00/0000:00:14.0/usb1/1-7/1-7:1.1/0003:03EB:8AB4.0007/input/input22
[ 3.089350] hid-multitouch 0003:03EB:8AB4.0007: input,hiddev3,hidraw6: USB HID v1.11 Device [Atmel Atmel maXTouch Digitizer] on usb-0000:00:14.0-7/input1
[ 3.131628] snd_hda_codec_realtek hdaudioC0D0: autoconfig for ALC256: line_outs=1 (0x14/0x0/0x0/0x0/0x0) type:speaker
[ 3.131630] snd_hda_codec_realtek hdaudioC0D0: speaker_outs=0 (0x0/0x0/0x0/0x0/0x0)
[ 3.131632] snd_hda_codec_realtek hdaudioC0D0: hp_outs=1 (0x21/0x0/0x0/0x0/0x0)
[ 3.131633] snd_hda_codec_realtek hdaudioC0D0: mono: mono_out=0x0
[ 3.131634] snd_hda_codec_realtek hdaudioC0D0: inputs:
[ 3.131636] snd_hda_codec_realtek hdaudioC0D0: Mic=0x19
[ 3.131638] snd_hda_codec_realtek hdaudioC0D0: Internal Mic=0x12
[ 3.136599] input: SYN2602:00 06CB:78DD Touchpad as /devices/pci0000:00/0000:00:15.0/i2c_designware.0/i2c-1/i2c-SYN2602:00/0018:06CB:78DD.0008/input/input25
[ 3.161537] iwlwifi 0000:03:00.0: base HW address: 00:c2:c6:d6:96:97
[ 3.169465] audit: type=1130 audit(1565887369.793:10): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-backlight@backlight:intel_backlight comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 3.227608] hid-multitouch 0018:06CB:78DD.0008: input,hidraw2: I2C HID v1.00 Mouse [SYN2602:00 06CB:78DD] on i2c-SYN2602:00
[ 3.227831] logitech-djreceiver 0003:046D:C52B.0005: hiddev1,hidraw4: USB HID v1.11 Device [Logitech USB Receiver] on usb-0000:00:14.0-4/input2
[ 3.237675] videodev: Linux video capture interface: v2.00
[ 3.244197] usbcore: registered new interface driver rtsx_usb
[ 3.264385] ieee80211 phy0: Selected rate control algorithm 'iwl-mvm-rs'
[ 3.264643] thermal thermal_zone3: failed to read out thermal zone (-61)
[ 3.269153] iwlwifi 0000:03:00.0 wlp3s0: renamed from wlan0
[ 3.324075] mousedev: PS/2 mouse device common for all mice
[ 3.331314] Bluetooth: Core ver 2.22
[ 3.331331] NET: Registered protocol family 31
[ 3.331332] Bluetooth: HCI device and connection manager initialized
[ 3.331337] Bluetooth: HCI socket layer initialized
[ 3.331339] Bluetooth: L2CAP socket layer initialized
[ 3.331342] Bluetooth: SCO socket layer initialized
[ 3.344035] input: Logitech Unifying Device. Wireless PID:400a Mouse as /devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.2/0003:046D:C52B.0005/0003:046D:400A.000B/input/input27
[ 3.368737] hid-generic 0003:046D:400A.000B: input,hidraw3: USB HID v1.11 Mouse [Logitech Unifying Device. Wireless PID:400a] on usb-0000:00:14.0-4/input2:1
[ 3.370907] uvcvideo: Found UVC 1.00 device WEB CAMERA (00ca:5803)
[ 3.407064] input: HDA Intel PCH Mic as /devices/pci0000:00/0000:00:1f.3/sound/card0/input31
[ 3.407121] input: HDA Intel PCH Headphone as /devices/pci0000:00/0000:00:1f.3/sound/card0/input32
[ 3.407174] input: HDA Intel PCH HDMI/DP,pcm=3 as /devices/pci0000:00/0000:00:1f.3/sound/card0/input33
[ 3.407241] input: HDA Intel PCH HDMI/DP,pcm=7 as /devices/pci0000:00/0000:00:1f.3/sound/card0/input34
[ 3.407293] input: HDA Intel PCH HDMI/DP,pcm=8 as /devices/pci0000:00/0000:00:1f.3/sound/card0/input35
[ 3.407343] input: HDA Intel PCH HDMI/DP,pcm=9 as /devices/pci0000:00/0000:00:1f.3/sound/card0/input36
[ 3.407398] input: HDA Intel PCH HDMI/DP,pcm=10 as /devices/pci0000:00/0000:00:1f.3/sound/card0/input37
[ 3.488576] uvcvideo 1-6:1.0: Entity type for entity Extension 7 was not initialized!
[ 3.488578] uvcvideo 1-6:1.0: Entity type for entity Processing 2 was not initialized!
[ 3.488580] uvcvideo 1-6:1.0: Entity type for entity Camera 1 was not initialized!
[ 3.488581] uvcvideo 1-6:1.0: Entity type for entity Extension 4 was not initialized!
[ 3.488704] input: WEB CAMERA: WEB CAMERA as /devices/pci0000:00/0000:00:14.0/usb1/1-6/1-6:1.0/input/input38
[ 3.488796] usbcore: registered new interface driver btusb
[ 3.497814] Bluetooth: hci0: Firmware revision 0.0 build 10 week 41 2018
[ 3.521869] usbcore: registered new interface driver uvcvideo
[ 3.521871] USB Video Class driver (1.1.1)
[ 3.545890] input: Logitech M325 as /devices/pci0000:00/0000:00:14.0/usb1/1-4/1-4:1.2/0003:046D:C52B.0005/0003:046D:400A.000B/input/input39
[ 3.545988] logitech-hidpp-device 0003:046D:400A.000B: input,hidraw3: USB HID v1.11 Mouse [Logitech M325] on usb-0000:00:14.0-4/input2:1
[ 3.676249] intel_rapl: Found RAPL domain package
[ 3.676252] intel_rapl: Found RAPL domain core
[ 3.676253] intel_rapl: Found RAPL domain uncore
[ 3.676254] intel_rapl: Found RAPL domain dram
[ 3.997260] Generic Realtek PHY r8169-200:00: attached PHY driver [Generic Realtek PHY] (mii_bus:phy_addr=r8169-200:00, irq=IGNORE)
[ 4.101666] r8169 0000:02:00.0 enp2s0: Link is Down
[ 4.537459] nvidia: loading out-of-tree module taints kernel.
[ 4.537469] nvidia: module license 'NVIDIA' taints kernel.
[ 4.537470] Disabling lock debugging due to kernel taint
[ 4.544716] nvidia: module verification failed: signature and/or required key missing - tainting kernel
[ 4.558295] nvidia-nvlink: Nvlink Core is being initialized, major device number 235
[ 4.560761] nvidia 0000:01:00.0: enabling device (0006 -> 0007)
[ 4.663140] NVRM: loading NVIDIA UNIX x86_64 Kernel Module 435.17 Tue Aug 6 22:50:25 CDT 2019
[ 4.741675] nvidia-modeset: Loading NVIDIA Kernel Mode Setting Driver for UNIX platforms 435.17 Tue Aug 6 22:50:06 CDT 2019
[ 4.750710] [drm] [nvidia-drm] [GPU ID 0x00000100] Loading driver
[ 4.750712] [drm] Initialized nvidia-drm 0.0.0 20160202 for 0000:01:00.0 on minor 1
[ 6.493944] Adding 524284k swap on /var/lib/systemd-swap/swapfc/1. Priority:-2 extents:15 across:1007612k SSFS
[ 6.726187] kauditd_printk_skb: 18 callbacks suppressed
[ 6.726189] audit: type=1130 audit(1565887373.350:29): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=user-runtime-dir@976 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 6.738603] audit: type=1006 audit(1565887373.363:30): pid=603 uid=0 old-auid=4294967295 auid=976 tty=(none) old-ses=4294967295 ses=1 res=1
[ 6.784173] audit: type=1130 audit(1565887373.406:31): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=user@976 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 7.099865] r8169 0000:02:00.0 enp2s0: Link is Up - 1Gbps/Full - flow control rx/tx
[ 7.099888] IPv6: ADDRCONF(NETDEV_CHANGE): enp2s0: link becomes ready
[ 7.105699] r8169 0000:02:00.0 enp2s0: Link is Down
[ 7.667813] audit: type=1130 audit(1565887374.293:32): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=polkit comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 7.699923] audit: type=1130 audit(1565887374.323:33): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=udisks2 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 8.034597] audit: type=1130 audit(1565887374.660:34): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=upower comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 8.631846] audit: type=1131 audit(1565887375.256:35): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-rfkill comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 10.258536] r8169 0000:02:00.0 enp2s0: Link is Up - 100Mbps/Half - flow control off
[ 10.473853] logitech-hidpp-device 0003:046D:400A.000B: HID++ 2.0 device connected.
[ 10.767050] audit: type=1130 audit(1565887377.390:36): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-wait-online comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 10.769068] audit: type=1130 audit(1565887377.393:37): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=stubby comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 10.770715] audit: type=1130 audit(1565887377.396:38): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=clamav-freshclam comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 14.002340] audit: type=1131 audit(1565887380.626:39): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 14.579213] audit: type=1006 audit(1565887381.203:40): pid=734 uid=0 old-auid=4294967295 auid=1000 tty=(none) old-ses=4294967295 ses=2 res=1
[ 14.609758] audit: type=1130 audit(1565887381.233:41): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=user-runtime-dir@1000 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 14.618919] audit: type=1006 audit(1565887381.243:42): pid=746 uid=0 old-auid=4294967295 auid=1000 tty=(none) old-ses=4294967295 ses=3 res=1
[ 14.666249] audit: type=1130 audit(1565887381.290:43): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=user@1000 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 16.417753] audit: type=1130 audit(1565887383.043:44): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 17.628529] audit: type=1130 audit(1565887384.253:45): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=rtkit-daemon comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 24.250748] audit: type=1130 audit(1565887390.876:46): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=packagekit comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 24.870633] audit: type=1131 audit(1565887391.493:47): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=user@976 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 24.945926] audit: type=1131 audit(1565887391.566:48): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=user-runtime-dir@976 comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 27.012464] audit: type=1131 audit(1565887393.636:49): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=NetworkManager-dispatcher comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 33.980575] audit: type=1131 audit(1565887400.603:50): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=systemd-hostnamed comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
[ 36.237371] audit: type=1130 audit(1565887403.375:51): pid=1 uid=0 auid=4294967295 ses=4294967295 msg='unit=fwupd comm="systemd" exe="/usr/lib/systemd/systemd" hostname=? addr=? terminal=? res=success'
____________________________________________
Using built-in specs.
COLLECT_GCC=gcc
COLLECT_LTO_WRAPPER=/usr/lib/gcc/x86_64-pc-linux-gnu/9.1.0/lto-wrapper
Target: x86_64-pc-linux-gnu
Configured with: /build/gcc/src/gcc/configure --prefix=/usr --libdir=/usr/lib --libexecdir=/usr/lib --mandir=/usr/share/man --infodir=/usr/share/info --with-bugurl=https://bugs.archlinux.org/ --enable-languages=c,c++,ada,fortran,go,lto,objc,obj-c++ --enable-shared --enable-threads=posix --with-system-zlib --with-isl --enable-__cxa_atexit --disable-libunwind-exceptions --enable-clocale=gnu --disable-libstdcxx-pch --disable-libssp --enable-gnu-unique-object --enable-linker-build-id --enable-lto --enable-plugin --enable-install-libiberty --with-linker-hash-style=gnu --enable-gnu-indirect-function --enable-multilib --disable-werror --enable-checking=release --enable-default-pie --enable-default-ssp --enable-cet=auto
Thread model: posix
gcc version 9.1.0 (GCC)
____________________________________________
Using built-in specs.
COLLECT_GCC=g++
COLLECT_LTO_WRAPPER=/usr/lib/gcc/x86_64-pc-linux-gnu/9.1.0/lto-wrapper
Target: x86_64-pc-linux-gnu
Configured with: /build/gcc/src/gcc/configure --prefix=/usr --libdir=/usr/lib --libexecdir=/usr/lib --mandir=/usr/share/man --infodir=/usr/share/info --with-bugurl=https://bugs.archlinux.org/ --enable-languages=c,c++,ada,fortran,go,lto,objc,obj-c++ --enable-shared --enable-threads=posix --with-system-zlib --with-isl --enable-__cxa_atexit --disable-libunwind-exceptions --enable-clocale=gnu --disable-libstdcxx-pch --disable-libssp --enable-gnu-unique-object --enable-linker-build-id --enable-lto --enable-plugin --enable-install-libiberty --with-linker-hash-style=gnu --enable-gnu-indirect-function --enable-multilib --disable-werror --enable-checking=release --enable-default-pie --enable-default-ssp --enable-cet=auto
Thread model: posix
gcc version 9.1.0 (GCC)
____________________________________________
xset -q:
Keyboard Control:
auto repeat: on key click percent: 0 LED mask: 00000000
XKB indicators:
00: Caps Lock: off 01: Num Lock: off 02: Scroll Lock: off
03: Compose: off 04: Kana: off 05: Sleep: off
06: Suspend: off 07: Mute: off 08: Misc: off
09: Mail: off 10: Charging: off 11: Shift Lock: off
12: Group 2: off 13: Mouse Keys: off
auto repeat delay: 600 repeat rate: 25
auto repeating keys: 00ffffffdffffbbf
fadfffefffedffff
9fffffffffffffff
fff7ffffffffffff
bell percent: 50 bell pitch: 400 bell duration: 100
Pointer Control:
acceleration: 2/1 threshold: 4
Screen Saver:
prefer blanking: yes allow exposures: yes
timeout: 0 cycle: 600
Colors:
default colormap: 0x20 BlackPixel: 0x0 WhitePixel: 0xffffff
Font Path:
/usr/share/fonts/TTF,/usr/share/fonts/OTF,built-ins
DPMS (Energy Star):
Standby: 600 Suspend: 900 Off: 1200
DPMS is Enabled
Monitor is On
____________________________________________
nvidia-settings -q all:
Unable to init server: Could not connect: Connection refused
ERROR: Unable to load info from any available system
nvidia-settings could not connect to an X server
____________________________________________
xrandr --verbose:
Screen 0: minimum 320 x 200, current 1920 x 1080, maximum 8192 x 8192
eDP-1 connected (normal left inverted right x axis y axis)
Identifier: 0x42
Timestamp: 17459
Subpixel: unknown
Clones:
CRTCs: 1 0 2
Transform: 1.000000 0.000000 0.000000
0.000000 1.000000 0.000000
0.000000 0.000000 1.000000
filter:
EDID:
00ffffffffffff0009e5b90600000000
011a010495221378023d78995b549127
224e5300000001010101010101010101
0101010101013c3780de703814403020
360058c21000001a0000000000000000
00000000000000000000000000fe0042
4f452048460a202020202020000000fe
004e5631353646484d2d4e34360a0082
scaling mode: Full aspect
supported: Full, Center, Full aspect
max bpc: 12
range: (6, 12)
Broadcast RGB: Automatic
supported: Automatic, Full, Limited 16:235
link-status: Good
supported: Good, Bad
CONNECTOR_ID: 85
supported: 85
non-desktop: 0
range: (0, 1)
1920x1080 (0x46) 141.400MHz +HSync -VSync +preferred
h: width 1920 start 1968 end 2000 total 2142 skew 0 clock 66.01KHz
v: height 1080 start 1083 end 1089 total 1100 clock 60.01Hz
1920x1080 (0x47) 356.375MHz -HSync +VSync DoubleScan
h: width 1920 start 2080 end 2288 total 2656 skew 0 clock 134.18KHz
v: height 1080 start 1081 end 1084 total 1118 clock 60.01Hz
1920x1080 (0x48) 266.500MHz +HSync -VSync DoubleScan
h: width 1920 start 1944 end 1960 total 2000 skew 0 clock 133.25KHz
v: height 1080 start 1081 end 1084 total 1111 clock 59.97Hz
1920x1080 (0x49) 173.000MHz -HSync +VSync
h: width 1920 start 2048 end 2248 total 2576 skew 0 clock 67.16KHz
v: height 1080 start 1083 end 1088 total 1120 clock 59.96Hz
1920x1080 (0x4a) 138.500MHz +HSync -VSync
h: width 1920 start 1968 end 2000 total 2080 skew 0 clock 66.59KHz
v: height 1080 start 1083 end 1088 total 1111 clock 59.93Hz
1680x1050 (0x4b) 146.250MHz -HSync +VSync
h: width 1680 start 1784 end 1960 total 2240 skew 0 clock 65.29KHz
v: height 1050 start 1053 end 1059 total 1089 clock 59.95Hz
1680x1050 (0x4c) 119.000MHz +HSync -VSync
h: width 1680 start 1728 end 1760 total 1840 skew 0 clock 64.67KHz
v: height 1050 start 1053 end 1059 total 1080 clock 59.88Hz
1400x1050 (0x4d) 122.000MHz +HSync +VSync
h: width 1400 start 1488 end 1640 total 1880 skew 0 clock 64.89KHz
v: height 1050 start 1052 end 1064 total 1082 clock 59.98Hz
1600x900 (0x4e) 246.000MHz -HSync +VSync DoubleScan
h: width 1600 start 1728 end 1900 total 2200 skew 0 clock 111.82KHz
v: height 900 start 901 end 904 total 932 clock 59.99Hz
1600x900 (0x4f) 186.500MHz +HSync -VSync DoubleScan
h: width 1600 start 1624 end 1640 total 1680 skew 0 clock 111.01KHz
v: height 900 start 901 end 904 total 926 clock 59.94Hz
1600x900 (0x50) 118.250MHz -HSync +VSync
h: width 1600 start 1696 end 1856 total 2112 skew 0 clock 55.99KHz
v: height 900 start 903 end 908 total 934 clock 59.95Hz
1600x900 (0x51) 97.500MHz +HSync -VSync
h: width 1600 start 1648 end 1680 total 1760 skew 0 clock 55.40KHz
v: height 900 start 903 end 908 total 926 clock 59.82Hz
1280x1024 (0x52) 108.000MHz +HSync +VSync
h: width 1280 start 1328 end 1440 total 1688 skew 0 clock 63.98KHz
v: height 1024 start 1025 end 1028 total 1066 clock 60.02Hz
1400x900 (0x53) 103.500MHz -HSync +VSync
h: width 1400 start 1480 end 1624 total 1848 skew 0 clock 56.01KHz
v: height 900 start 903 end 913 total 934 clock 59.96Hz
1400x900 (0x54) 86.500MHz +HSync -VSync
h: width 1400 start 1448 end 1480 total 1560 skew 0 clock 55.45KHz
v: height 900 start 903 end 913 total 926 clock 59.88Hz
1280x960 (0x55) 108.000MHz +HSync +VSync
h: width 1280 start 1376 end 1488 total 1800 skew 0 clock 60.00KHz
v: height 960 start 961 end 964 total 1000 clock 60.00Hz
1440x810 (0x56) 198.125MHz -HSync +VSync DoubleScan
h: width 1440 start 1548 end 1704 total 1968 skew 0 clock 100.67KHz
v: height 810 start 811 end 814 total 839 clock 60.00Hz
1440x810 (0x57) 151.875MHz +HSync -VSync DoubleScan
h: width 1440 start 1464 end 1480 total 1520 skew 0 clock 99.92KHz
v: height 810 start 811 end 814 total 833 clock 59.97Hz
1368x768 (0x58) 85.250MHz -HSync +VSync
h: width 1368 start 1440 end 1576 total 1784 skew 0 clock 47.79KHz
v: height 768 start 771 end 781 total 798 clock 59.88Hz
1368x768 (0x59) 72.250MHz +HSync -VSync
h: width 1368 start 1416 end 1448 total 1528 skew 0 clock 47.28KHz
v: height 768 start 771 end 781 total 790 clock 59.85Hz
1280x800 (0x5a) 174.250MHz -HSync +VSync DoubleScan
h: width 1280 start 1380 end 1516 total 1752 skew 0 clock 99.46KHz
v: height 800 start 801 end 804 total 829 clock 59.99Hz
1280x800 (0x5b) 134.250MHz +HSync -VSync DoubleScan
h: width 1280 start 1304 end 1320 total 1360 skew 0 clock 98.71KHz
v: height 800 start 801 end 804 total 823 clock 59.97Hz
1280x800 (0x5c) 83.500MHz -HSync +VSync
h: width 1280 start 1352 end 1480 total 1680 skew 0 clock 49.70KHz
v: height 800 start 803 end 809 total 831 clock 59.81Hz
1280x800 (0x5d) 71.000MHz +HSync -VSync
h: width 1280 start 1328 end 1360 total 1440 skew 0 clock 49.31KHz
v: height 800 start 803 end 809 total 823 clock 59.91Hz
1280x720 (0x5e) 156.125MHz -HSync +VSync DoubleScan
h: width 1280 start 1376 end 1512 total 1744 skew 0 clock 89.52KHz
v: height 720 start 721 end 724 total 746 clock 60.00Hz
1280x720 (0x5f) 120.750MHz +HSync -VSync DoubleScan
h: width 1280 start 1304 end 1320 total 1360 skew 0 clock 88.79KHz
v: height 720 start 721 end 724 total 740 clock 59.99Hz
1280x720 (0x60) 74.500MHz -HSync +VSync
h: width 1280 start 1344 end 1472 total 1664 skew 0 clock 44.77KHz
v: height 720 start 723 end 728 total 748 clock 59.86Hz
1280x720 (0x61) 63.750MHz +HSync -VSync
h: width 1280 start 1328 end 1360 total 1440 skew 0 clock 44.27KHz
v: height 720 start 723 end 728 total 741 clock 59.74Hz
1024x768 (0x62) 133.475MHz -HSync +VSync DoubleScan
h: width 1024 start 1100 end 1212 total 1400 skew 0 clock 95.34KHz
v: height 768 start 768 end 770 total 794 clock 60.04Hz
1024x768 (0x63) 65.000MHz -HSync -VSync
h: width 1024 start 1048 end 1184 total 1344 skew 0 clock 48.36KHz
v: height 768 start 771 end 777 total 806 clock 60.00Hz
960x720 (0x64) 117.000MHz -HSync +VSync DoubleScan
h: width 960 start 1024 end 1128 total 1300 skew 0 clock 90.00KHz
v: height 720 start 720 end 722 total 750 clock 60.00Hz
928x696 (0x65) 109.150MHz -HSync +VSync DoubleScan
h: width 928 start 976 end 1088 total 1264 skew 0 clock 86.35KHz
v: height 696 start 696 end 698 total 719 clock 60.05Hz
896x672 (0x66) 102.400MHz -HSync +VSync DoubleScan
h: width 896 start 960 end 1060 total 1224 skew 0 clock 83.66KHz
v: height 672 start 672 end 674 total 697 clock 60.01Hz
1024x576 (0x67) 98.500MHz -HSync +VSync DoubleScan
h: width 1024 start 1092 end 1200 total 1376 skew 0 clock 71.58KHz
v: height 576 start 577 end 580 total 597 clock 59.95Hz
1024x576 (0x68) 78.375MHz +HSync -VSync DoubleScan
h: width 1024 start 1048 end 1064 total 1104 skew 0 clock 70.99KHz
v: height 576 start 577 end 580 total 592 clock 59.96Hz
1024x576 (0x69) 46.500MHz -HSync +VSync
h: width 1024 start 1064 end 1160 total 1296 skew 0 clock 35.88KHz
v: height 576 start 579 end 584 total 599 clock 59.90Hz
1024x576 (0x6a) 42.000MHz +HSync -VSync
h: width 1024 start 1072 end 1104 total 1184 skew 0 clock 35.47KHz
v: height 576 start 579 end 584 total 593 clock 59.82Hz
960x600 (0x6b) 96.625MHz -HSync +VSync DoubleScan
h: width 960 start 1028 end 1128 total 1296 skew 0 clock 74.56KHz
v: height 600 start 601 end 604 total 622 clock 59.93Hz
960x600 (0x6c) 77.000MHz +HSync -VSync DoubleScan
h: width 960 start 984 end 1000 total 1040 skew 0 clock 74.04KHz
v: height 600 start 601 end 604 total 617 clock 60.00Hz
960x540 (0x6d) 86.500MHz -HSync +VSync DoubleScan
h: width 960 start 1024 end 1124 total 1288 skew 0 clock 67.16KHz
v: height 540 start 541 end 544 total 560 clock 59.96Hz
960x540 (0x6e) 69.250MHz +HSync -VSync DoubleScan
h: width 960 start 984 end 1000 total 1040 skew 0 clock 66.59KHz
v: height 540 start 541 end 544 total 555 clock 59.99Hz
960x540 (0x6f) 40.750MHz -HSync +VSync
h: width 960 start 992 end 1088 total 1216 skew 0 clock 33.51KHz
v: height 540 start 543 end 548 total 562 clock 59.63Hz
960x540 (0x70) 37.250MHz +HSync -VSync
h: width 960 start 1008 end 1040 total 1120 skew 0 clock 33.26KHz
v: height 540 start 543 end 548 total 556 clock 59.82Hz
800x600 (0x71) 81.000MHz +HSync +VSync DoubleScan
h: width 800 start 832 end 928 total 1080 skew 0 clock 75.00KHz
v: height 600 start 600 end 602 total 625 clock 60.00Hz
800x600 (0x72) 40.000MHz +HSync +VSync
h: width 800 start 840 end 968 total 1056 skew 0 clock 37.88KHz
v: height 600 start 601 end 605 total 628 clock 60.32Hz
800x600 (0x73) 36.000MHz +HSync +VSync
h: width 800 start 824 end 896 total 1024 skew 0 clock 35.16KHz
v: height 600 start 601 end 603 total 625 clock 56.25Hz
840x525 (0x74) 73.125MHz -HSync +VSync DoubleScan
h: width 840 start 892 end 980 total 1120 skew 0 clock 65.29KHz
v: height 525 start 526 end 529 total 544 clock 60.01Hz
840x525 (0x75) 59.500MHz +HSync -VSync DoubleScan
h: width 840 start 864 end 880 total 920 skew 0 clock 64.67KHz
v: height 525 start 526 end 529 total 540 clock 59.88Hz
864x486 (0x76) 32.500MHz -HSync +VSync
h: width 864 start 888 end 968 total 1072 skew 0 clock 30.32KHz
v: height 486 start 489 end 494 total 506 clock 59.92Hz
864x486 (0x77) 30.500MHz +HSync -VSync
h: width 864 start 912 end 944 total 1024 skew 0 clock 29.79KHz
v: height 486 start 489 end 494 total 500 clock 59.57Hz
700x525 (0x78) 61.000MHz +HSync +VSync DoubleScan
h: width 700 start 744 end 820 total 940 skew 0 clock 64.89KHz
v: height 525 start 526 end 532 total 541 clock 59.98Hz
800x450 (0x79) 59.125MHz -HSync +VSync DoubleScan
h: width 800 start 848 end 928 total 1056 skew 0 clock 55.99KHz
v: height 450 start 451 end 454 total 467 clock 59.95Hz
800x450 (0x7a) 48.750MHz +HSync -VSync DoubleScan
h: width 800 start 824 end 840 total 880 skew 0 clock 55.40KHz
v: height 450 start 451 end 454 total 463 clock 59.82Hz
640x512 (0x7b) 54.000MHz +HSync +VSync DoubleScan
h: width 640 start 664 end 720 total 844 skew 0 clock 63.98KHz
v: height 512 start 512 end 514 total 533 clock 60.02Hz
700x450 (0x7c) 51.750MHz -HSync +VSync DoubleScan
h: width 700 start 740 end 812 total 924 skew 0 clock 56.01KHz
v: height 450 start 451 end 456 total 467 clock 59.96Hz
700x450 (0x7d) 43.250MHz +HSync -VSync DoubleScan
h: width 700 start 724 end 740 total 780 skew 0 clock 55.45KHz
v: height 450 start 451 end 456 total 463 clock 59.88Hz
640x480 (0x7e) 54.000MHz +HSync +VSync DoubleScan
h: width 640 start 688 end 744 total 900 skew 0 clock 60.00KHz
v: height 480 start 480 end 482 total 500 clock 60.00Hz
640x480 (0x7f) 25.175MHz -HSync -VSync
h: width 640 start 656 end 752 total 800 skew 0 clock 31.47KHz
v: height 480 start 490 end 492 total 525 clock 59.94Hz
720x405 (0x80) 22.500MHz -HSync +VSync
h: width 720 start 744 end 808 total 896 skew 0 clock 25.11KHz
v: height 405 start 408 end 413 total 422 clock 59.51Hz
720x405 (0x81) 21.750MHz +HSync -VSync
h: width 720 start 768 end 800 total 880 skew 0 clock 24.72KHz
v: height 405 start 408 end 413 total 419 clock 58.99Hz
684x384 (0x82) 42.625MHz -HSync +VSync DoubleScan
h: width 684 start 720 end 788 total 892 skew 0 clock 47.79KHz
v: height 384 start 385 end 390 total 399 clock 59.88Hz
684x384 (0x83) 36.125MHz +HSync -VSync DoubleScan
h: width 684 start 708 end 724 total 764 skew 0 clock 47.28KHz
v: height 384 start 385 end 390 total 395 clock 59.85Hz
640x400 (0x84) 41.750MHz -HSync +VSync DoubleScan
h: width 640 start 676 end 740 total 840 skew 0 clock 49.70KHz
v: height 400 start 401 end 404 total 415 clock 59.88Hz
640x400 (0x85) 35.500MHz +HSync -VSync DoubleScan
h: width 640 start 664 end 680 total 720 skew 0 clock 49.31KHz
v: height 400 start 401 end 404 total 411 clock 59.98Hz
640x360 (0x86) 37.250MHz -HSync +VSync DoubleScan
h: width 640 start 672 end 736 total 832 skew 0 clock 44.77KHz
v: height 360 start 361 end 364 total 374 clock 59.86Hz
640x360 (0x87) 31.875MHz +HSync -VSync DoubleScan
h: width 640 start 664 end 680 total 720 skew 0 clock 44.27KHz
v: height 360 start 361 end 364 total 370 clock 59.83Hz
640x360 (0x88) 18.000MHz -HSync +VSync
h: width 640 start 664 end 720 total 800 skew 0 clock 22.50KHz
v: height 360 start 363 end 368 total 376 clock 59.84Hz
640x360 (0x89) 17.750MHz +HSync -VSync
h: width 640 start 688 end 720 total 800 skew 0 clock 22.19KHz
v: height 360 start 363 end 368 total 374 clock 59.32Hz
512x384 (0x8a) 32.500MHz -HSync -VSync DoubleScan
h: width 512 start 524 end 592 total 672 skew 0 clock 48.36KHz
v: height 384 start 385 end 388 total 403 clock 60.00Hz
512x288 (0x8b) 23.250MHz -HSync +VSync DoubleScan
h: width 512 start 532 end 580 total 648 skew 0 clock 35.88KHz
v: height 288 start 289 end 292 total 299 clock 60.00Hz
512x288 (0x8c) 21.000MHz +HSync -VSync DoubleScan
h: width 512 start 536 end 552 total 592 skew 0 clock 35.47KHz
v: height 288 start 289 end 292 total 296 clock 59.92Hz
480x270 (0x8d) 20.375MHz -HSync +VSync DoubleScan
h: width 480 start 496 end 544 total 608 skew 0 clock 33.51KHz
v: height 270 start 271 end 274 total 281 clock 59.63Hz
480x270 (0x8e) 18.625MHz +HSync -VSync DoubleScan
h: width 480 start 504 end 520 total 560 skew 0 clock 33.26KHz
v: height 270 start 271 end 274 total 278 clock 59.82Hz
400x300 (0x8f) 20.000MHz +HSync +VSync DoubleScan
h: width 400 start 420 end 484 total 528 skew 0 clock 37.88KHz
v: height 300 start 300 end 302 total 314 clock 60.32Hz
400x300 (0x90) 18.000MHz +HSync +VSync DoubleScan
h: width 400 start 412 end 448 total 512 skew 0 clock 35.16KHz
v: height 300 start 300 end 301 total 312 clock 56.34Hz
432x243 (0x91) 16.250MHz -HSync +VSync DoubleScan
h: width 432 start 444 end 484 total 536 skew 0 clock 30.32KHz
v: height 243 start 244 end 247 total 253 clock 59.92Hz
432x243 (0x92) 15.250MHz +HSync -VSync DoubleScan
h: width 432 start 456 end 472 total 512 skew 0 clock 29.79KHz
v: height 243 start 244 end 247 total 250 clock 59.57Hz
320x240 (0x93) 12.587MHz -HSync -VSync DoubleScan
h: width 320 start 328 end 376 total 400 skew 0 clock 31.47KHz
v: height 240 start 245 end 246 total 262 clock 60.05Hz
360x202 (0x94) 11.250MHz -HSync +VSync DoubleScan
h: width 360 start 372 end 404 total 448 skew 0 clock 25.11KHz
v: height 202 start 204 end 206 total 211 clock 59.51Hz
360x202 (0x95) 10.875MHz +HSync -VSync DoubleScan
h: width 360 start 384 end 400 total 440 skew 0 clock 24.72KHz
v: height 202 start 204 end 206 total 209 clock 59.13Hz
320x180 (0x96) 9.000MHz -HSync +VSync DoubleScan
h: width 320 start 332 end 360 total 400 skew 0 clock 22.50KHz
v: height 180 start 181 end 184 total 188 clock 59.84Hz
320x180 (0x97) 8.875MHz +HSync -VSync DoubleScan
h: width 320 start 344 end 360 total 400 skew 0 clock 22.19KHz
v: height 180 start 181 end 184 total 187 clock 59.32Hz
HDMI-1 connected primary 1920x1080+0+0 (0x98) normal (normal left inverted right x axis y axis) 698mm x 393mm
Identifier: 0x43
Timestamp: 17459
Subpixel: unknown
Gamma: 1.0:1.0:1.0
Brightness: 1.0
Clones:
CRTC: 0
CRTCs: 1 0 2
Transform: 1.000000 0.000000 0.000000
0.000000 1.000000 0.000000
0.000000 0.000000 1.000000
filter:
EDID:
00ffffffffffff0010ac0b2001010101
261c0103804627782acde5a0594ea125
0e5054a54b00714f8180a9c0d1c00101
010101010101023a801871382d40582c
4500ba892100001e000000ff00583952
354b383949314634450a000000fc0044
33323138484e0a2020202020000000fd
00384c1e5311000a20202020202001bb
02031ab14f900504030207061f141312
1116150165030c001000023a80187138
2d40582c4500ba892100001e011d8018
711c1620582c2500ba892100009e011d
007251d01e206e285500ba892100001e
023a80d072382d40102c4580ba892100
001e0000000000000000000000000000
00000000000000000000000000000042
Content Protection: Undesired
supported: Undesired, Desired, Enabled
max bpc: 12
range: (8, 12)
content type: No Data
supported: No Data, Graphics, Photo, Cinema, Game
Colorspace: Default
supported: Default, SMPTE_170M_YCC, BT709_YCC, XVYCC_601, XVYCC_709, SYCC_601, opYCC_601, opRGB, BT2020_CYCC, BT2020_RGB, BT2020_YCC, DCI-P3_RGB_D65, DCI-P3_RGB_Theater
aspect ratio: Automatic
supported: Automatic, 4:3, 16:9
Broadcast RGB: Automatic
supported: Automatic, Full, Limited 16:235
audio: auto
supported: force-dvi, off, auto, on
link-status: Good
supported: Good, Bad
CONNECTOR_ID: 91
supported: 91
non-desktop: 0
range: (0, 1)
1920x1080 (0x98) 148.500MHz +HSync +VSync *current +preferred
h: width 1920 start 2008 end 2052 total 2200 skew 0 clock 67.50KHz
v: height 1080 start 1084 end 1089 total 1125 clock 60.00Hz
1920x1080 (0x99) 148.500MHz +HSync +VSync
h: width 1920 start 2008 end 2052 total 2200 skew 0 clock 67.50KHz
v: height 1080 start 1084 end 1089 total 1125 clock 60.00Hz
1920x1080 (0x9a) 148.500MHz +HSync +VSync
h: width 1920 start 2448 end 2492 total 2640 skew 0 clock 56.25KHz
v: height 1080 start 1084 end 1089 total 1125 clock 50.00Hz
1920x1080 (0x9b) 148.500MHz +HSync +VSync
h: width 1920 start 2448 end 2492 total 2640 skew 0 clock 56.25KHz
v: height 1080 start 1084 end 1089 total 1125 clock 50.00Hz
1920x1080 (0x9c) 148.352MHz +HSync +VSync
h: width 1920 start 2008 end 2052 total 2200 skew 0 clock 67.43KHz
v: height 1080 start 1084 end 1089 total 1125 clock 59.94Hz
1920x1080i (0x9d) 74.250MHz +HSync +VSync Interlace
h: width 1920 start 2008 end 2052 total 2200 skew 0 clock 33.75KHz
v: height 1080 start 1084 end 1094 total 1125 clock 60.00Hz
1920x1080i (0x9e) 74.250MHz +HSync +VSync Interlace
h: width 1920 start 2008 end 2052 total 2200 skew 0 clock 33.75KHz
v: height 1080 start 1084 end 1094 total 1125 clock 60.00Hz
1920x1080i (0x9f) 74.250MHz +HSync +VSync Interlace
h: width 1920 start 2448 end 2492 total 2640 skew 0 clock 28.12KHz
v: height 1080 start 1084 end 1094 total 1125 clock 50.00Hz
1920x1080i (0xa0) 74.176MHz +HSync +VSync Interlace
h: width 1920 start 2008 end 2052 total 2200 skew 0 clock 33.72KHz
v: height 1080 start 1084 end 1094 total 1125 clock 59.94Hz
1600x900 (0xa1) 108.000MHz +HSync +VSync
h: width 1600 start 1624 end 1704 total 1800 skew 0 clock 60.00KHz
v: height 900 start 901 end 904 total 1000 clock 60.00Hz
1280x1024 (0xa2) 135.000MHz +HSync +VSync
h: width 1280 start 1296 end 1440 total 1688 skew 0 clock 79.98KHz
v: height 1024 start 1025 end 1028 total 1066 clock 75.02Hz
1280x1024 (0x52) 108.000MHz +HSync +VSync
h: width 1280 start 1328 end 1440 total 1688 skew 0 clock 63.98KHz
v: height 1024 start 1025 end 1028 total 1066 clock 60.02Hz
1152x864 (0xa3) 108.000MHz +HSync +VSync
h: width 1152 start 1216 end 1344 total 1600 skew 0 clock 67.50KHz
v: height 864 start 865 end 868 total 900 clock 75.00Hz
1280x720 (0xa4) 74.250MHz +HSync +VSync
h: width 1280 start 1390 end 1430 total 1650 skew 0 clock 45.00KHz
v: height 720 start 725 end 730 total 750 clock 60.00Hz
1280x720 (0xa5) 74.250MHz +HSync +VSync
h: width 1280 start 1390 end 1430 total 1650 skew 0 clock 45.00KHz
v: height 720 start 725 end 730 total 750 clock 60.00Hz
1280x720 (0xa6) 74.250MHz +HSync +VSync
h: width 1280 start 1720 end 1760 total 1980 skew 0 clock 37.50KHz
v: height 720 start 725 end 730 total 750 clock 50.00Hz
1280x720 (0xa7) 74.176MHz +HSync +VSync
h: width 1280 start 1390 end 1430 total 1650 skew 0 clock 44.96KHz
v: height 720 start 725 end 730 total 750 clock 59.94Hz
1024x768 (0xa8) 78.750MHz +HSync +VSync
h: width 1024 start 1040 end 1136 total 1312 skew 0 clock 60.02KHz
v: height 768 start 769 end 772 total 800 clock 75.03Hz
1024x768 (0x63) 65.000MHz -HSync -VSync
h: width 1024 start 1048 end 1184 total 1344 skew 0 clock 48.36KHz
v: height 768 start 771 end 777 total 806 clock 60.00Hz
800x600 (0xa9) 49.500MHz +HSync +VSync
h: width 800 start 816 end 896 total 1056 skew 0 clock 46.88KHz
v: height 600 start 601 end 604 total 625 clock 75.00Hz
800x600 (0x72) 40.000MHz +HSync +VSync
h: width 800 start 840 end 968 total 1056 skew 0 clock 37.88KHz
v: height 600 start 601 end 605 total 628 clock 60.32Hz
720x576 (0xaa) 27.000MHz -HSync -VSync
h: width 720 start 732 end 796 total 864 skew 0 clock 31.25KHz
v: height 576 start 581 end 586 total 625 clock 50.00Hz
720x576 (0xab) 27.000MHz -HSync -VSync
h: width 720 start 732 end 796 total 864 skew 0 clock 31.25KHz
v: height 576 start 581 end 586 total 625 clock 50.00Hz
720x576i (0xac) 13.500MHz -HSync -VSync Interlace
h: width 720 start 732 end 795 total 864 skew 0 clock 15.62KHz
v: height 576 start 580 end 586 total 625 clock 50.00Hz
720x576i (0xad) 13.500MHz -HSync -VSync Interlace
h: width 720 start 732 end 795 total 864 skew 0 clock 15.62KHz
v: height 576 start 580 end 586 total 625 clock 50.00Hz
720x480 (0xae) 27.027MHz -HSync -VSync
h: width 720 start 736 end 798 total 858 skew 0 clock 31.50KHz
v: height 480 start 489 end 495 total 525 clock 60.00Hz
720x480 (0xaf) 27.027MHz -HSync -VSync
h: width 720 start 736 end 798 total 858 skew 0 clock 31.50KHz
v: height 480 start 489 end 495 total 525 clock 60.00Hz
720x480 (0xb0) 27.000MHz -HSync -VSync
h: width 720 start 736 end 798 total 858 skew 0 clock 31.47KHz
v: height 480 start 489 end 495 total 525 clock 59.94Hz
720x480 (0xb1) 27.000MHz -HSync -VSync
h: width 720 start 736 end 798 total 858 skew 0 clock 31.47KHz
v: height 480 start 489 end 495 total 525 clock 59.94Hz
720x480i (0xb2) 13.514MHz -HSync -VSync Interlace
h: width 720 start 739 end 801 total 858 skew 0 clock 15.75KHz
v: height 480 start 488 end 494 total 525 clock 60.00Hz
720x480i (0xb3) 13.514MHz -HSync -VSync Interlace
h: width 720 start 739 end 801 total 858 skew 0 clock 15.75KHz
v: height 480 start 488 end 494 total 525 clock 60.00Hz
720x480i (0xb4) 13.500MHz -HSync -VSync Interlace
h: width 720 start 739 end 801 total 858 skew 0 clock 15.73KHz
v: height 480 start 488 end 494 total 525 clock 59.94Hz
720x480i (0xb5) 13.500MHz -HSync -VSync Interlace
h: width 720 start 739 end 801 total 858 skew 0 clock 15.73KHz
v: height 480 start 488 end 494 total 525 clock 59.94Hz
640x480 (0xb6) 31.500MHz -HSync -VSync
h: width 640 start 656 end 720 total 840 skew 0 clock 37.50KHz
v: height 480 start 481 end 484 total 500 clock 75.00Hz
640x480 (0xb7) 25.200MHz -HSync -VSync
h: width 640 start 656 end 752 total 800 skew 0 clock 31.50KHz
v: height 480 start 490 end 492 total 525 clock 60.00Hz
640x480 (0x7f) 25.175MHz -HSync -VSync
h: width 640 start 656 end 752 total 800 skew 0 clock 31.47KHz
v: height 480 start 490 end 492 total 525 clock 59.94Hz
640x480 (0xb8) 25.175MHz -HSync -VSync
h: width 640 start 656 end 752 total 800 skew 0 clock 31.47KHz
v: height 480 start 490 end 492 total 525 clock 59.94Hz
720x400 (0xb9) 28.320MHz -HSync +VSync
h: width 720 start 738 end 846 total 900 skew 0 clock 31.47KHz
v: height 400 start 412 end 414 total 449 clock 70.08Hz
DP-1 disconnected (normal left inverted right x axis y axis)
Identifier: 0x44
Timestamp: 17459
Subpixel: unknown
Clones:
CRTCs: 1 0 2
Transform: 1.000000 0.000000 0.000000
0.000000 1.000000 0.000000
0.000000 0.000000 1.000000
filter:
Content Protection: Undesired
supported: Undesired, Desired, Enabled
max bpc: 12
range: (6, 12)
Broadcast RGB: Automatic
supported: Automatic, Full, Limited 16:235
audio: auto
supported: force-dvi, off, auto, on
link-status: Good
supported: Good, Bad
CONNECTOR_ID: 99
supported: 99
non-desktop: 0
range: (0, 1)
____________________________________________
Running window manager properties:
_NET_WM_NAME(UTF8_STRING) = "KWin"
_NET_SUPPORTING_WM_CHECK(WINDOW): window id # 0x2400007
____________________________________________
*** /proc/cmdline
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:42:47.590000003 -0400 /proc/cmdline
BOOT_IMAGE=/boot/vmlinuz-linux root=UUID=a677b105-7a09-4e2f-8478-bdc145d3b7c1 rw quiet
____________________________________________
*** /proc/cpuinfo
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:42:48.373333368 -0400 /proc/cpuinfo
processor : 0
vendor_id : GenuineIntel
cpu family : 6
model : 78
model name : Intel(R) Core(TM) i7-6500U CPU @ 2.50GHz
stepping : 3
microcode : 0xcc
cpu MHz : 695.179
cache size : 4096 KB
physical id : 0
siblings : 4
core id : 0
cpu cores : 2
apicid : 0
initial apicid : 0
fpu : yes
fpu_exception : yes
cpuid level : 22
wp : yes
flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx est tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault epb invpcid_single pti ssbd ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid ept_ad fsgsbase tsc_adjust bmi1 avx2 smep bmi2 erms invpcid mpx rdseed adx smap clflushopt intel_pt xsaveopt xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp md_clear flush_l1d
bugs : cpu_meltdown spectre_v1 spectre_v2 spec_store_bypass l1tf mds swapgs
bogomips : 5186.00
clflush size : 64
cache_alignment : 64
address sizes : 39 bits physical, 48 bits virtual
power management:
processor : 1
vendor_id : GenuineIntel
cpu family : 6
model : 78
model name : Intel(R) Core(TM) i7-6500U CPU @ 2.50GHz
stepping : 3
microcode : 0xcc
cpu MHz : 700.033
cache size : 4096 KB
physical id : 0
siblings : 4
core id : 1
cpu cores : 2
apicid : 2
initial apicid : 2
fpu : yes
fpu_exception : yes
cpuid level : 22
wp : yes
flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx est tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault epb invpcid_single pti ssbd ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid ept_ad fsgsbase tsc_adjust bmi1 avx2 smep bmi2 erms invpcid mpx rdseed adx smap clflushopt intel_pt xsaveopt xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp md_clear flush_l1d
bugs : cpu_meltdown spectre_v1 spectre_v2 spec_store_bypass l1tf mds swapgs
bogomips : 5186.00
clflush size : 64
cache_alignment : 64
address sizes : 39 bits physical, 48 bits virtual
power management:
processor : 2
vendor_id : GenuineIntel
cpu family : 6
model : 78
model name : Intel(R) Core(TM) i7-6500U CPU @ 2.50GHz
stepping : 3
microcode : 0xcc
cpu MHz : 694.019
cache size : 4096 KB
physical id : 0
siblings : 4
core id : 0
cpu cores : 2
apicid : 1
initial apicid : 1
fpu : yes
fpu_exception : yes
cpuid level : 22
wp : yes
flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx est tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault epb invpcid_single pti ssbd ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid ept_ad fsgsbase tsc_adjust bmi1 avx2 smep bmi2 erms invpcid mpx rdseed adx smap clflushopt intel_pt xsaveopt xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp md_clear flush_l1d
bugs : cpu_meltdown spectre_v1 spectre_v2 spec_store_bypass l1tf mds swapgs
bogomips : 5186.00
clflush size : 64
cache_alignment : 64
address sizes : 39 bits physical, 48 bits virtual
power management:
processor : 3
vendor_id : GenuineIntel
cpu family : 6
model : 78
model name : Intel(R) Core(TM) i7-6500U CPU @ 2.50GHz
stepping : 3
microcode : 0xcc
cpu MHz : 699.815
cache size : 4096 KB
physical id : 0
siblings : 4
core id : 1
cpu cores : 2
apicid : 3
initial apicid : 3
fpu : yes
fpu_exception : yes
cpuid level : 22
wp : yes
flags : fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx pdpe1gb rdtscp lm constant_tsc art arch_perfmon pebs bts rep_good nopl xtopology nonstop_tsc cpuid aperfmperf tsc_known_freq pni pclmulqdq dtes64 monitor ds_cpl vmx est tm2 ssse3 sdbg fma cx16 xtpr pdcm pcid sse4_1 sse4_2 x2apic movbe popcnt tsc_deadline_timer aes xsave avx f16c rdrand lahf_lm abm 3dnowprefetch cpuid_fault epb invpcid_single pti ssbd ibrs ibpb stibp tpr_shadow vnmi flexpriority ept vpid ept_ad fsgsbase tsc_adjust bmi1 avx2 smep bmi2 erms invpcid mpx rdseed adx smap clflushopt intel_pt xsaveopt xsavec xgetbv1 xsaves dtherm ida arat pln pts hwp hwp_notify hwp_act_window hwp_epp md_clear flush_l1d
bugs : cpu_meltdown spectre_v1 spectre_v2 spec_store_bypass l1tf mds swapgs
bogomips : 5186.00
clflush size : 64
cache_alignment : 64
address sizes : 39 bits physical, 48 bits virtual
power management:
____________________________________________
*** /proc/interrupts
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:42:54.370000302 -0400 /proc/interrupts
CPU0 CPU1 CPU2 CPU3
0: 9 0 0 0 IR-IO-APIC 2-edge timer
1: 0 9 0 0 IR-IO-APIC 1-edge i8042
8: 0 0 0 1 IR-IO-APIC 8-edge rtc0
9: 0 143 0 0 IR-IO-APIC 9-fasteoi acpi
14: 0 0 0 0 IR-IO-APIC 14-fasteoi INT344B:00
16: 0 1028 0 0 IR-IO-APIC 16-fasteoi i801_smbus, idma64.0, i2c_designware.0
20: 122 0 0 0 IR-IO-APIC 20-fasteoi intel_ish_ipc
51: 0 0 0 1 IR-IO-APIC 51-fasteoi SYN2602:00
120: 0 0 0 0 DMAR-MSI 0-edge dmar0
121: 0 0 0 0 DMAR-MSI 1-edge dmar1
125: 0 0 1663 0 IR-PCI-MSI 327680-edge xhci_hcd
126: 0 0 0 80429 IR-PCI-MSI 376832-edge ahci[0000:00:17.0]
127: 0 0 42 0 IR-PCI-MSI 360448-edge mei_me
128: 0 0 0 2981 IR-PCI-MSI 1048576-edge enp2s0
129: 17188 0 0 0 IR-PCI-MSI 32768-edge i915
130: 0 449 0 0 IR-PCI-MSI 1572864-edge iwlwifi
131: 0 0 580 0 IR-PCI-MSI 514048-edge snd_hda_intel:card0
NMI: 5 4 3 3 Non-maskable interrupts
LOC: 22885 20973 21557 19597 Local timer interrupts
SPU: 0 0 0 0 Spurious interrupts
PMI: 5 4 3 3 Performance monitoring interrupts
IWI: 749 0 3 3 IRQ work interrupts
RTR: 0 0 0 0 APIC ICR read retries
RES: 14946 19066 18581 18848 Rescheduling interrupts
CAL: 3815 7502 5792 7799 Function call interrupts
TLB: 2691 8249 7557 8894 TLB shootdowns
TRM: 0 0 0 0 Thermal event interrupts
THR: 0 0 0 0 Threshold APIC interrupts
DFR: 0 0 0 0 Deferred Error APIC interrupts
MCE: 0 0 0 0 Machine check exceptions
MCP: 1 2 2 2 Machine check polls
HYP: 0 0 0 0 Hypervisor callback interrupts
HRE: 0 0 0 0 Hyper-V reenlightenment interrupts
HVS: 0 0 0 0 Hyper-V stimer0 interrupts
ERR: 2
MIS: 0
PIN: 0 0 0 0 Posted-interrupt notification event
NPI: 0 0 0 0 Nested posted-interrupt event
PIW: 0 0 0 0 Posted-interrupt wakeup event
____________________________________________
*** /proc/meminfo
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:42:48.790000053 -0400 /proc/meminfo
MemTotal: 12212096 kB
MemFree: 7319480 kB
MemAvailable: 8724128 kB
Buffers: 193580 kB
Cached: 1846212 kB
SwapCached: 0 kB
Active: 3141500 kB
Inactive: 1061028 kB
Active(anon): 2503404 kB
Inactive(anon): 105652 kB
Active(file): 638096 kB
Inactive(file): 955376 kB
Unevictable: 338920 kB
Mlocked: 32 kB
SwapTotal: 524284 kB
SwapFree: 524284 kB
Dirty: 144 kB
Writeback: 0 kB
AnonPages: 2501716 kB
Mapped: 642616 kB
Shmem: 446324 kB
KReclaimable: 96332 kB
Slab: 174344 kB
SReclaimable: 96332 kB
SUnreclaim: 78012 kB
KernelStack: 12368 kB
PageTables: 32352 kB
NFS_Unstable: 0 kB
Bounce: 0 kB
WritebackTmp: 0 kB
CommitLimit: 6630332 kB
Committed_AS: 7459968 kB
VmallocTotal: 34359738367 kB
VmallocUsed: 0 kB
VmallocChunk: 0 kB
Percpu: 2400 kB
HardwareCorrupted: 0 kB
AnonHugePages: 0 kB
ShmemHugePages: 0 kB
ShmemPmdMapped: 0 kB
HugePages_Total: 0
HugePages_Free: 0
HugePages_Rsvd: 0
HugePages_Surp: 0
Hugepagesize: 2048 kB
Hugetlb: 0 kB
DirectMap4k: 194644 kB
DirectMap2M: 8110080 kB
DirectMap1G: 4194304 kB
____________________________________________
*** /proc/modules
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:42:51.050000153 -0400 /proc/modules
nvidia_drm 53248 0 - Live 0xffffffffc13f9000 (POE)
nvidia_modeset 1126400 1 nvidia_drm, Live 0xffffffffc27b2000 (POE)
nvidia 19550208 4 nvidia_modeset, Live 0xffffffffc150c000 (POE)
rtsx_usb_sdmmc 32768 0 - Live 0xffffffffc12cf000
hid_sensor_gyro_3d 20480 0 - Live 0xffffffffc1166000
snd_soc_skl 118784 0 - Live 0xffffffffc14d9000
mmc_core 184320 1 rtsx_usb_sdmmc, Live 0xffffffffc149d000
hid_sensor_accel_3d 20480 0 - Live 0xffffffffc137d000
hid_sensor_rotation 20480 0 - Live 0xffffffffc1374000
hid_sensor_magn_3d 20480 0 - Live 0xffffffffc136e000
hid_sensor_incl_3d 20480 0 - Live 0xffffffffc1368000
hid_sensor_trigger 20480 10 hid_sensor_gyro_3d,hid_sensor_accel_3d,hid_sensor_rotation,hid_sensor_magn_3d,hid_sensor_incl_3d, Live 0xffffffffc1356000
intel_rapl 28672 0 - Live 0xffffffffc1349000
industrialio_triggered_buffer 16384 5 hid_sensor_gyro_3d,hid_sensor_accel_3d,hid_sensor_rotation,hid_sensor_magn_3d,hid_sensor_incl_3d, Live 0xffffffffc1344000
kfifo_buf 16384 1 industrialio_triggered_buffer, Live 0xffffffffc14f7000
rtsx_usb_ms 24576 0 - Live 0xffffffffc1505000
hid_sensor_iio_common 20480 6 hid_sensor_gyro_3d,hid_sensor_accel_3d,hid_sensor_rotation,hid_sensor_magn_3d,hid_sensor_incl_3d,hid_sensor_trigger, Live 0xffffffffc14ff000
x86_pkg_temp_thermal 20480 0 - Live 0xffffffffc1336000
intel_powerclamp 20480 0 - Live 0xffffffffc1330000
memstick 20480 1 rtsx_usb_ms, Live 0xffffffffc144c000
coretemp 20480 0 - Live 0xffffffffc13a2000
industrialio 90112 8 hid_sensor_gyro_3d,hid_sensor_accel_3d,hid_sensor_rotation,hid_sensor_magn_3d,hid_sensor_incl_3d,hid_sensor_trigger,industrialio_triggered_buffer,kfifo_buf, Live 0xffffffffc1430000
btusb 57344 0 - Live 0xffffffffc1388000
kvm_intel 311296 0 - Live 0xffffffffc12e3000
hid_logitech_hidpp 45056 0 - Live 0xffffffffc128b000
lz4 16384 4 - Live 0xffffffffc0953000
lz4_compress 36864 1 lz4, Live 0xffffffffc0fa3000
snd_hda_codec_hdmi 69632 1 - Live 0xffffffffc1279000
snd_soc_hdac_hda 24576 1 snd_soc_skl, Live 0xffffffffc0e29000
btrtl 20480 1 btusb, Live 0xffffffffc11cb000
btbcm 16384 1 btusb, Live 0xffffffffc117a000
btintel 28672 1 btusb, Live 0xffffffffc1172000
joydev 28672 0 - Live 0xffffffffc115e000
snd_hda_ext_core 36864 2 snd_soc_skl,snd_soc_hdac_hda, Live 0xffffffffc1154000
uvcvideo 114688 0 - Live 0xffffffffc13be000
snd_soc_skl_ipc 73728 1 snd_soc_skl, Live 0xffffffffc13ab000
videobuf2_vmalloc 20480 1 uvcvideo, Live 0xffffffffc0f97000
snd_soc_sst_ipc 20480 1 snd_soc_skl_ipc, Live 0xffffffffc11c3000
videobuf2_memops 20480 1 videobuf2_vmalloc, Live 0xffffffffc11bd000
bluetooth 675840 5 btusb,btrtl,btbcm,btintel, Live 0xffffffffc11d3000
videobuf2_v4l2 28672 1 uvcvideo, Live 0xffffffffc12db000
snd_soc_sst_dsp 40960 1 snd_soc_skl_ipc, Live 0xffffffffc12c4000
mousedev 24576 0 - Live 0xffffffffc12bd000
videobuf2_common 57344 2 uvcvideo,videobuf2_v4l2, Live 0xffffffffc0fb2000
snd_soc_acpi_intel_match 28672 1 snd_soc_skl, Live 0xffffffffc0e99000
arc4 16384 2 - Live 0xffffffffc0b36000
videodev 237568 3 uvcvideo,videobuf2_v4l2,videobuf2_common, Live 0xffffffffc1182000
rtsx_usb 28672 2 rtsx_usb_sdmmc,rtsx_usb_ms, Live 0xffffffffc0a04000
snd_soc_acpi 16384 2 snd_soc_skl,snd_soc_acpi_intel_match, Live 0xffffffffc0fc6000
kvm 770048 1 kvm_intel, Live 0xffffffffc1097000
snd_soc_core 290816 2 snd_soc_skl,snd_soc_hdac_hda, Live 0xffffffffc104f000
hid_sensor_hub 24576 7 hid_sensor_gyro_3d,hid_sensor_accel_3d,hid_sensor_rotation,hid_sensor_magn_3d,hid_sensor_incl_3d,hid_sensor_trigger,hid_sensor_iio_common, Live 0xffffffffc0b2f000
snd_hda_codec_realtek 126976 1 - Live 0xffffffffc0dfe000
snd_hda_codec_generic 94208 1 snd_hda_codec_realtek, Live 0xffffffffc0de6000
ledtrig_audio 16384 2 snd_hda_codec_realtek,snd_hda_codec_generic, Live 0xffffffffc0831000
hid_logitech_dj 28672 0 - Live 0xffffffffc0997000
iwlmvm 466944 0 - Live 0xffffffffc0fdc000
intel_ishtp_loader 24576 0 - Live 0xffffffffc0939000
media 61440 4 uvcvideo,videobuf2_v4l2,videobuf2_common,videodev, Live 0xffffffffc0fcc000
mac80211 999424 1 iwlmvm, Live 0xffffffffc0ea2000
hid_multitouch 32768 0 - Live 0xffffffffc09d9000
intel_ishtp_hid 28672 0 - Live 0xffffffffc0821000
irqbypass 16384 1 kvm, Live 0xffffffffc0838000
ecdh_generic 16384 1 bluetooth, Live 0xffffffffc082c000
ecc 32768 1 ecdh_generic, Live 0xffffffffc07fc000
snd_compress 28672 1 snd_soc_core, Live 0xffffffffc0980000
ac97_bus 16384 1 snd_soc_core, Live 0xffffffffc086d000
snd_pcm_dmaengine 16384 1 snd_soc_core, Live 0xffffffffc084e000
snd_hda_intel 49152 3 - Live 0xffffffffc080a000
iwlwifi 385024 1 iwlmvm, Live 0xffffffffc0e3a000
crct10dif_pclmul 16384 1 - Live 0xffffffffc0799000
snd_hda_codec 159744 5 snd_hda_codec_hdmi,snd_soc_hdac_hda,snd_hda_codec_realtek,snd_hda_codec_generic,snd_hda_intel, Live 0xffffffffc0b07000
crc32_pclmul 16384 0 - Live 0xffffffffc0794000
i915 2265088 74 - Live 0xffffffffc0bbc000
snd_hda_core 102400 8 snd_soc_skl,snd_hda_codec_hdmi,snd_soc_hdac_hda,snd_hda_ext_core,snd_hda_codec_realtek,snd_hda_codec_generic,snd_hda_intel,snd_hda_codec, Live 0xffffffffc0743000
ghash_clmulni_intel 16384 0 - Live 0xffffffffc0724000
snd_hwdep 20480 1 snd_hda_codec, Live 0xffffffffc06f7000
nls_iso8859_1 16384 1 - Live 0xffffffffc06dd000
nls_cp437 20480 1 - Live 0xffffffffc0656000
aesni_intel 372736 1 - Live 0xffffffffc0b60000
vfat 24576 1 - Live 0xffffffffc062f000
fat 86016 1 vfat, Live 0xffffffffc070e000
aes_x86_64 20480 1 aesni_intel, Live 0xffffffffc0650000
crypto_simd 16384 1 aesni_intel, Live 0xffffffffc0709000
snd_pcm 135168 8 snd_soc_skl,snd_hda_codec_hdmi,snd_hda_ext_core,snd_soc_core,snd_pcm_dmaengine,snd_hda_intel,snd_hda_codec,snd_hda_core, Live 0xffffffffc0b3e000
cfg80211 856064 3 iwlmvm,mac80211,iwlwifi, Live 0xffffffffc0a35000
hid_generic 16384 0 - Live 0xffffffffc073e000
i2c_algo_bit 16384 1 i915, Live 0xffffffffc0637000
mei_hdcp 24576 0 - Live 0xffffffffc0607000
snd_timer 40960 1 snd_pcm, Live 0xffffffffc0856000
r8169 98304 0 - Live 0xffffffffc09eb000
cryptd 24576 2 ghash_clmulni_intel,crypto_simd, Live 0xffffffffc0628000
drm_kms_helper 225280 2 nvidia_drm,i915, Live 0xffffffffc09a1000
glue_helper 16384 1 aesni_intel, Live 0xffffffffc0992000
snd 110592 16 snd_hda_codec_hdmi,snd_soc_core,snd_hda_codec_realtek,snd_hda_codec_generic,snd_compress,snd_hda_intel,snd_hda_codec,snd_hwdep,snd_pcm,snd_timer, Live 0xffffffffc0a19000
mxm_wmi 16384 0 - Live 0xffffffffc0958000
realtek 20480 1 - Live 0xffffffffc098c000
idma64 20480 0 - Live 0xffffffffc0867000
mei_me 45056 1 - Live 0xffffffffc0a0d000
iTCO_wdt 16384 0 - Live 0xffffffffc0862000
ipmi_devintf 20480 0 - Live 0xffffffffc081b000
iTCO_vendor_support 16384 1 iTCO_wdt, Live 0xffffffffc07e7000
intel_cstate 16384 0 - Live 0xffffffffc07dd000
intel_lpss_pci 20480 0 - Live 0xffffffffc0642000
input_leds 16384 0 - Live 0xffffffffc07c2000
pcspkr 16384 0 - Live 0xffffffffc07b3000
i2c_i801 36864 0 - Live 0xffffffffc0944000
rfkill 28672 7 bluetooth,cfg80211, Live 0xffffffffc0701000
libphy 98304 2 r8169,realtek, Live 0xffffffffc0920000
ipmi_msghandler 69632 2 nvidia,ipmi_devintf, Live 0xffffffffc090e000
soundcore 16384 1 snd, Live 0xffffffffc063d000
mei 126976 3 mei_hdcp,mei_me, Live 0xffffffffc08ee000
intel_lpss 16384 1 intel_lpss_pci, Live 0xffffffffc06f2000
intel_uncore 139264 0 - Live 0xffffffffc095d000
intel_xhci_usb_role_switch 16384 0 - Live 0xffffffffc094e000
drm 503808 23 nvidia_drm,i915,drm_kms_helper, Live 0xffffffffc0872000
intel_rapl_perf 16384 0 - Live 0xffffffffc07c7000
tpm_crb 20480 0 - Live 0xffffffffc06ae000
intel_pch_thermal 16384 0 - Live 0xffffffffc0621000
i2c_hid 32768 0 - Live 0xffffffffc06e9000
roles 16384 1 intel_xhci_usb_role_switch, Live 0xffffffffc0602000
intel_vbtn 20480 0 - Live 0xffffffffc0848000
soc_button_array 20480 0 - Live 0xffffffffc0842000
tpm_tis 16384 0 - Live 0xffffffffc07d0000
tpm_tis_core 24576 1 tpm_tis, Live 0xffffffffc07f5000
intel_gtt 24576 1 i915, Live 0xffffffffc07d6000
ucsi_acpi 16384 0 - Live 0xffffffffc0695000
wmi 36864 1 mxm_wmi, Live 0xffffffffc07b8000
typec_ucsi 45056 1 ucsi_acpi, Live 0xffffffffc07a7000
agpgart 53248 2 drm,intel_gtt, Live 0xffffffffc0772000
battery 24576 0 - Live 0xffffffffc0766000
tpm 73728 3 tpm_crb,tpm_tis,tpm_tis_core, Live 0xffffffffc072b000
pcc_cpufreq 20480 0 - Live 0xffffffffc06e3000
syscopyarea 16384 1 drm_kms_helper, Live 0xffffffffc05fd000
typec 49152 1 typec_ucsi, Live 0xffffffffc06d0000
rng_core 16384 1 tpm, Live 0xffffffffc06c7000
sysfillrect 16384 1 drm_kms_helper, Live 0xffffffffc06c0000
intel_ish_ipc 28672 0 - Live 0xffffffffc06b4000
evdev 24576 34 - Live 0xffffffffc06a2000
sysimgblt 16384 1 drm_kms_helper, Live 0xffffffffc06a9000
fb_sys_fops 16384 1 drm_kms_helper, Live 0xffffffffc069b000
intel_ishtp 53248 3 intel_ishtp_loader,intel_ishtp_hid,intel_ish_ipc, Live 0xffffffffc0687000
intel_hid 20480 0 - Live 0xffffffffc067d000
acpi_tad 16384 0 - Live 0xffffffffc0675000
mac_hid 16384 0 - Live 0xffffffffc066d000
ac 16384 0 - Live 0xffffffffc0664000
sparse_keymap 16384 2 intel_vbtn,intel_hid, Live 0xffffffffc065d000
crypto_user 16384 0 - Live 0xffffffffc039b000
ip_tables 36864 0 - Live 0xffffffffc0597000
x_tables 49152 1 ip_tables, Live 0xffffffffc0413000
usbhid 65536 1 hid_logitech_dj, Live 0xffffffffc0474000
hid 143360 8 hid_logitech_hidpp,hid_sensor_hub,hid_logitech_dj,hid_multitouch,intel_ishtp_hid,hid_generic,i2c_hid,usbhid, Live 0xffffffffc05c1000
ext4 770048 1 - Live 0xffffffffc04da000
crc32c_generic 16384 0 - Live 0xffffffffc03c8000
crc16 16384 2 bluetooth,ext4, Live 0xffffffffc03c3000
mbcache 16384 1 ext4, Live 0xffffffffc03a5000
jbd2 135168 1 ext4, Live 0xffffffffc04b8000
sd_mod 57344 3 - Live 0xffffffffc034c000
serio_raw 20480 0 - Live 0xffffffffc04b2000
atkbd 36864 0 - Live 0xffffffffc04a1000
libps2 20480 1 atkbd, Live 0xffffffffc0423000
ahci 40960 2 - Live 0xffffffffc03b8000
libahci 40960 1 ahci, Live 0xffffffffc0492000
xhci_pci 20480 0 - Live 0xffffffffc0488000
libata 282624 2 ahci,libahci, Live 0xffffffffc042e000
xhci_hcd 278528 1 xhci_pci, Live 0xffffffffc03ce000
crc32c_intel 24576 2 - Live 0xffffffffc03ad000
scsi_mod 249856 2 sd_mod,libata, Live 0xffffffffc035d000
i8042 32768 0 - Live 0xffffffffc0343000
serio 28672 4 serio_raw,atkbd,i8042, Live 0xffffffffc0337000
____________________________________________
*** /proc/version
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:42:55.630000359 -0400 /proc/version
Linux version 5.2.8-arch1-1-ARCH (builduser@heftig-63215) (gcc version 9.1.0 (GCC)) #1 SMP PREEMPT Fri Aug 9 21:36:07 UTC 2019
____________________________________________
*** /proc/pci does not exist
____________________________________________
*** /proc/iomem
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:43:54.491776770 -0400 /proc/iomem
00000000-00000fff : Reserved
00001000-00057fff : System RAM
00058000-00058fff : Reserved
00059000-0009dfff : System RAM
0009e000-0009ffff : Reserved
000a0000-000bffff : PCI Bus 0000:00
000c0000-000c3fff : PCI Bus 0000:00
000c4000-000c7fff : PCI Bus 0000:00
000c8000-000cbfff : PCI Bus 0000:00
000cc000-000cffff : PCI Bus 0000:00
000d0000-000d3fff : PCI Bus 0000:00
000d4000-000d7fff : PCI Bus 0000:00
000d8000-000dbfff : PCI Bus 0000:00
000dc000-000dffff : PCI Bus 0000:00
000e0000-000e3fff : PCI Bus 0000:00
000e4000-000e7fff : PCI Bus 0000:00
000e8000-000ebfff : PCI Bus 0000:00
000ec000-000effff : PCI Bus 0000:00
000f0000-000fffff : PCI Bus 0000:00
000f0000-000fffff : System ROM
00100000-bf1eafff : System RAM
bf1eb000-bf1ebfff : ACPI Non-volatile Storage
bf1ec000-bf215fff : Reserved
bf216000-c3e3efff : System RAM
c3e3f000-c48c6fff : Reserved
c48c7000-c48ebfff : ACPI Tables
c48ec000-c4e90fff : ACPI Non-volatile Storage
c4e5e000-c4e5efff : USBC000:00
c4e91000-c528cfff : Reserved
c528d000-c52fdfff : Unknown E820 type
c52fe000-c52fefff : System RAM
c52ff000-c52fffff : RAM buffer
c5300000-c53fffff : Reserved
c5400000-c5ffffff : RAM buffer
c6000000-c7ffffff : Reserved
c6000000-c7ffffff : Graphics Stolen Memory
c8000000-f7ffffff : PCI Bus 0000:00
d0000000-dfffffff : 0000:00:02.0
e0000000-f1ffffff : PCI Bus 0000:01
e0000000-efffffff : 0000:01:00.0
f0000000-f1ffffff : 0000:01:00.0
f5000000-f5ffffff : 0000:00:02.0
f6000000-f70fffff : PCI Bus 0000:01
f6000000-f6ffffff : 0000:01:00.0
f6000000-f6ffffff : nvidia
f7000000-f707ffff : 0000:01:00.0
f7100000-f71fffff : PCI Bus 0000:03
f7100000-f7101fff : 0000:03:00.0
f7100000-f7101fff : iwlwifi
f7200000-f72fffff : PCI Bus 0000:02
f7200000-f7203fff : 0000:02:00.0
f7204000-f7204fff : 0000:02:00.0
f7204000-f7204fff : r8169
f7300000-f730ffff : 0000:00:1f.3
f7300000-f730ffff : ICH HD audio
f7310000-f731ffff : 0000:00:14.0
f7310000-f731ffff : xhci-hcd
f7318070-f731846f : intel_xhci_usb_sw
f7320000-f7323fff : 0000:00:1f.3
f7320000-f7323fff : ICH HD audio
f7324000-f7327fff : 0000:00:1f.2
f7328000-f7329fff : 0000:00:17.0
f7328000-f7329fff : ahci
f732a000-f732a0ff : 0000:00:1f.4
f732b000-f732b7ff : 0000:00:17.0
f732b000-f732b7ff : ahci
f732c000-f732c0ff : 0000:00:17.0
f732c000-f732c0ff : ahci
f732d000-f732dfff : 0000:00:16.0
f732d000-f732dfff : mei_me
f732e000-f732efff : 0000:00:15.0
f732e000-f732e1ff : lpss_dev
f732e000-f732e1ff : i2c_designware.0
f732e200-f732e2ff : lpss_priv
f732e800-f732efff : idma64.0
f732e800-f732efff : idma64.0
f732f000-f732ffff : 0000:00:14.2
f732f000-f732ffff : Intel PCH thermal driver
f7330000-f7330fff : 0000:00:13.0
f7330000-f7330fff : intel_ish_ipc
f7fe0000-f7ffffff : pnp 00:04
f8000000-fbffffff : PCI MMCONFIG 0000 [bus 00-3f]
f8000000-fbffffff : Reserved
f8000000-fbffffff : pnp 00:04
fd000000-fe7fffff : PCI Bus 0000:00
fd000000-fdabffff : pnp 00:05
fdac0000-fdacffff : INT344B:00
fdac0000-fdacffff : INT344B:00
fdad0000-fdadffff : pnp 00:05
fdae0000-fdaeffff : INT344B:00
fdae0000-fdaeffff : INT344B:00
fdaf0000-fdafffff : INT344B:00
fdaf0000-fdafffff : INT344B:00
fdb00000-fdffffff : pnp 00:05
fdc6000c-fdc6000f : iTCO_wdt
fdc6000c-fdc6000f : iTCO_wdt
fe000000-fe010fff : Reserved
fe028000-fe028fff : pnp 00:07
fe029000-fe029fff : pnp 00:07
fe036000-fe03bfff : pnp 00:05
fe03d000-fe3fffff : pnp 00:05
fe410000-fe7fffff : pnp 00:05
fec00000-fec00fff : Reserved
fec00000-fec003ff : IOAPIC 0
fed00000-fed003ff : HPET 0
fed00000-fed003ff : PNP0103:00
fed10000-fed17fff : pnp 00:04
fed18000-fed18fff : pnp 00:04
fed19000-fed19fff : pnp 00:04
fed20000-fed3ffff : pnp 00:04
fed40000-fed4087f : MSFT0101:00
fed40000-fed4087f : MSFT0101:00
fed45000-fed8ffff : pnp 00:04
fed90000-fed90fff : dmar0
fed91000-fed91fff : dmar1
fee00000-fee00fff : Local APIC
fee00000-fee00fff : Reserved
ff000000-ffffffff : Reserved
ff000000-ffffffff : INT0800:00
ff000000-ffffffff : pnp 00:04
100000000-336ffffff : System RAM
1a1a00000-1a2600e30 : Kernel code
1a2600e31-1a2d49dff : Kernel data
1a3278000-1a35fffff : Kernel bss
337000000-337ffffff : RAM buffer
____________________________________________
*** /proc/mtrr
*** ls: -rw-r--r-- 1 root root 0 2019-08-15 12:42:49.493333417 -0400 /proc/mtrr
reg00: base=0x0e0000000 ( 3584MB), size= 512MB, count=1: uncachable
reg01: base=0x0d0000000 ( 3328MB), size= 256MB, count=1: uncachable
reg02: base=0x0c8000000 ( 3200MB), size= 128MB, count=1: uncachable
reg03: base=0x0c6000000 ( 3168MB), size= 32MB, count=1: uncachable
reg04: base=0x0c5800000 ( 3160MB), size= 8MB, count=1: uncachable
____________________________________________
*** /proc/driver/nvidia/./version
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:43:39.035391060 -0400 /proc/driver/nvidia/./version
NVRM version: NVIDIA UNIX x86_64 Kernel Module 435.17 Tue Aug 6 22:50:25 CDT 2019
GCC version: gcc version 9.1.0 (GCC)
____________________________________________
*** /proc/driver/nvidia/./gpus/0000:01:00.0/information
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:43:54.535085159 -0400 /proc/driver/nvidia/./gpus/0000:01:00.0/information
Model: Unknown
IRQ: 142
GPU UUID: GPU-889a6906-fbde-e8fa-d88a-411f9c2cbf4e
Video BIOS: ??.??.??.??.??
Bus Type: PCIe
DMA Size: 40 bits
DMA Mask: 0xffffffffff
Bus Location: 0000:01:00.0
Device Minor: 0
Blacklisted: No
____________________________________________
*** /proc/driver/nvidia/./gpus/0000:01:00.0/registry
*** ls: -rw-r--r-- 1 root root 0 2019-08-15 12:43:54.551742231 -0400 /proc/driver/nvidia/./gpus/0000:01:00.0/registry
Binary: ""
____________________________________________
*** /proc/driver/nvidia/./warnings/README
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:43:54.561736475 -0400 /proc/driver/nvidia/./warnings/README
The NVIDIA graphics driver tries to detect potential problems
with the host system and warns about them using the system's
logging mechanisms. Important warning message are also logged
to dedicated text files in this directory.
____________________________________________
*** /proc/driver/nvidia/./params
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:42:52.053333532 -0400 /proc/driver/nvidia/./params
Mobile: 4294967295
ResmanDebugLevel: 4294967295
RmLogonRC: 1
ModifyDeviceFiles: 1
DeviceFileUID: 0
DeviceFileGID: 0
DeviceFileMode: 438
InitializeSystemMemoryAllocations: 1
UsePageAttributeTable: 4294967295
EnableMSI: 1
MapRegistersEarly: 0
RegisterForACPIEvents: 1
EnablePCIeGen3: 0
MemoryPoolSize: 0
KMallocHeapMaxSize: 0
VMallocHeapMaxSize: 0
IgnoreMMIOCheck: 0
TCEBypassMode: 0
EnableStreamMemOPs: 0
EnableBacklightHandler: 1
EnableUserNUMAManagement: 1
NvLinkDisable: 0
RmProfilingAdminOnly: 1
PreserveVideoMemoryAllocations: 0
DynamicPowerManagement: 0
RegisterPCIDriver: 1
RegistryDwords: ""
RegistryDwordsPerDevice: ""
RmMsg: ""
AssignGpus: ""
GpuBlacklist: ""
TemporaryFilePath: ""
____________________________________________
*** /proc/driver/nvidia/./registry
*** ls: -rw-r--r-- 1 root root 0 2019-08-15 12:43:54.578393548 -0400 /proc/driver/nvidia/./registry
Binary: ""
____________________________________________
*** /proc/asound/cards
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:43:54.595050619 -0400 /proc/asound/cards
0 [PCH ]: HDA-Intel - HDA Intel PCH
HDA Intel PCH at 0xf7320000 irq 131
____________________________________________
*** /proc/asound/pcm
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:43:54.601713449 -0400 /proc/asound/pcm
00-00: ALC256 Analog : ALC256 Analog : playback 1 : capture 1
00-03: HDMI 0 : HDMI 0 : playback 1
00-07: HDMI 1 : HDMI 1 : playback 1
00-08: HDMI 2 : HDMI 2 : playback 1
00-09: HDMI 3 : HDMI 3 : playback 1
00-10: HDMI 4 : HDMI 4 : playback 1
____________________________________________
*** /proc/asound/modules
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:43:54.608376278 -0400 /proc/asound/modules
0 snd_hda_intel
____________________________________________
*** /proc/asound/devices
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:43:54.611707692 -0400 /proc/asound/devices
2: [ 0- 0]: digital audio playback
3: [ 0- 0]: digital audio capture
4: [ 0- 3]: digital audio playback
5: [ 0- 7]: digital audio playback
6: [ 0- 8]: digital audio playback
7: [ 0- 9]: digital audio playback
8: [ 0-10]: digital audio playback
9: [ 0- 0]: hardware dependent
10: [ 0- 2]: hardware dependent
11: [ 0] : control
33: : timer
____________________________________________
*** /proc/asound/version
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:43:54.618370521 -0400 /proc/asound/version
Advanced Linux Sound Architecture Driver Version k5.2.8-arch1-1-ARCH.
____________________________________________
*** /proc/asound/timers
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:43:54.625033351 -0400 /proc/asound/timers
G0: system timer : 3333.333us (10000000 ticks)
P0-0-0: PCM playback 0-0-0 : SLAVE
P0-0-1: PCM capture 0-0-1 : SLAVE
P0-3-0: PCM playback 0-3-0 : SLAVE
P0-7-0: PCM playback 0-7-0 : SLAVE
P0-8-0: PCM playback 0-8-0 : SLAVE
P0-9-0: PCM playback 0-9-0 : SLAVE
P0-10-0: PCM playback 0-10-0 : SLAVE
____________________________________________
*** /proc/asound/hwdep
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:43:54.631696180 -0400 /proc/asound/hwdep
00-00: HDA Codec 0
00-02: HDA Codec 2
____________________________________________
*** /proc/asound/card0/codec#0
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:43:54.635027594 -0400 /proc/asound/card0/codec#0
Codec: Realtek ALC256
Address: 0
AFG Function Id: 0x1 (unsol 1)
Vendor Id: 0x10ec0256
Subsystem Id: 0x144dc142
Revision Id: 0x100002
No Modem Function Group found
Default PCM:
rates [0x560]: 44100 48000 96000 192000
bits [0xe]: 16 20 24
formats [0x1]: PCM
Default Amp-In caps: N/A
Default Amp-Out caps: N/A
State of AFG node 0x01:
Power states: D0 D1 D2 D3 D3cold CLKSTOP EPSS
Power: setting=D0, actual=D0
GPIO: io=3, o=0, i=0, unsolicited=1, wake=0
IO[0]: enable=0, dir=0, wake=0, sticky=0, data=0, unsol=0
IO[1]: enable=0, dir=0, wake=0, sticky=0, data=0, unsol=0
IO[2]: enable=0, dir=0, wake=0, sticky=0, data=0, unsol=0
Node 0x02 [Audio Output] wcaps 0x41d: Stereo Amp-Out
Control: name="Speaker Playback Volume", index=0, device=0
ControlAmp: chs=3, dir=Out, idx=0, ofs=0
Amp-Out caps: ofs=0x57, nsteps=0x57, stepsize=0x02, mute=0
Amp-Out vals: [0x00 0x00]
Converter: stream=1, channel=0
PCM:
rates [0x60]: 44100 48000
bits [0xe]: 16 20 24
formats [0x1]: PCM
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Node 0x03 [Audio Output] wcaps 0x41d: Stereo Amp-Out
Control: name="Headphone Playback Volume", index=0, device=0
ControlAmp: chs=3, dir=Out, idx=0, ofs=0
Device: name="ALC256 Analog", type="Audio", device=0
Amp-Out caps: ofs=0x57, nsteps=0x57, stepsize=0x02, mute=0
Amp-Out vals: [0x42 0x42]
Converter: stream=1, channel=0
PCM:
rates [0x60]: 44100 48000
bits [0xe]: 16 20 24
formats [0x1]: PCM
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Node 0x04 [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x05 [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x06 [Audio Output] wcaps 0x611: Stereo Digital
Converter: stream=0, channel=0
Digital:
Digital category: 0x0
IEC Coding Type: 0x0
PCM:
rates [0x5e0]: 44100 48000 88200 96000 192000
bits [0xe]: 16 20 24
formats [0x1]: PCM
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Node 0x07 [Audio Input] wcaps 0x10051b: Stereo Amp-In
Amp-In caps: ofs=0x17, nsteps=0x3f, stepsize=0x02, mute=1
Amp-In vals: [0x97 0x97]
Converter: stream=0, channel=0
SDI-Select: 0
PCM:
rates [0x560]: 44100 48000 96000 192000
bits [0xe]: 16 20 24
formats [0x1]: PCM
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Connection: 1
0x24
Node 0x08 [Audio Input] wcaps 0x10051b: Stereo Amp-In
Control: name="Capture Volume", index=0, device=0
ControlAmp: chs=3, dir=In, idx=0, ofs=0
Control: name="Capture Switch", index=0, device=0
ControlAmp: chs=3, dir=In, idx=0, ofs=0
Device: name="ALC256 Analog", type="Audio", device=0
Amp-In caps: ofs=0x17, nsteps=0x3f, stepsize=0x02, mute=1
Amp-In vals: [0x3f 0x3f]
Converter: stream=1, channel=0
SDI-Select: 0
PCM:
rates [0x560]: 44100 48000 96000 192000
bits [0xe]: 16 20 24
formats [0x1]: PCM
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Connection: 1
0x23
Node 0x09 [Audio Input] wcaps 0x10051b: Stereo Amp-In
Amp-In caps: ofs=0x17, nsteps=0x3f, stepsize=0x02, mute=1
Amp-In vals: [0x97 0x97]
Converter: stream=0, channel=0
SDI-Select: 0
PCM:
rates [0x560]: 44100 48000 96000 192000
bits [0xe]: 16 20 24
formats [0x1]: PCM
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Connection: 1
0x22
Node 0x0a [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x0b [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x0c [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x0d [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x0e [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x0f [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x10 [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x11 [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x12 [Pin Complex] wcaps 0x40040b: Stereo Amp-In
Control: name="Internal Mic Boost Volume", index=0, device=0
ControlAmp: chs=3, dir=In, idx=0, ofs=0
Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0
Amp-In vals: [0x00 0x00]
Pincap 0x00000020: IN
Pin Default 0x90a60130: [Fixed] Mic at Int N/A
Conn = Digital, Color = Unknown
DefAssociation = 0x3, Sequence = 0x0
Misc = NO_PRESENCE
Pin-ctls: 0x20: IN
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Node 0x13 [Pin Complex] wcaps 0x40040b: Stereo Amp-In
Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0
Amp-In vals: [0x00 0x00]
Pincap 0x00000020: IN
Pin Default 0x40000000: [N/A] Line Out at Ext N/A
Conn = Unknown, Color = Unknown
DefAssociation = 0x0, Sequence = 0x0
Pin-ctls: 0x00:
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Node 0x14 [Pin Complex] wcaps 0x40058d: Stereo Amp-Out
Control: name="Speaker Playback Switch", index=0, device=0
ControlAmp: chs=3, dir=Out, idx=0, ofs=0
Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1
Amp-Out vals: [0x80 0x80]
Pincap 0x00010014: OUT EAPD Detect
EAPD 0x2: EAPD
Pin Default 0x90170110: [Fixed] Speaker at Int N/A
Conn = Analog, Color = Unknown
DefAssociation = 0x1, Sequence = 0x0
Misc = NO_PRESENCE
Pin-ctls: 0x00:
Unsolicited: tag=00, enabled=0
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Connection: 1
0x02
Node 0x15 [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x16 [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x17 [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x18 [Pin Complex] wcaps 0x40048b: Stereo Amp-In
Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0
Amp-In vals: [0x00 0x00]
Pincap 0x00003724: IN Detect
Vref caps: HIZ 50 GRD 80 100
Pin Default 0x411111f0: [N/A] Speaker at Ext Rear
Conn = 1/8, Color = Black
DefAssociation = 0xf, Sequence = 0x0
Misc = NO_PRESENCE
Pin-ctls: 0x20: IN VREF_HIZ
Unsolicited: tag=00, enabled=0
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Node 0x19 [Pin Complex] wcaps 0x40048b: Stereo Amp-In
Control: name="Mic Boost Volume", index=0, device=0
ControlAmp: chs=3, dir=In, idx=0, ofs=0
Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0
Amp-In vals: [0x03 0x03]
Pincap 0x00003724: IN Detect
Vref caps: HIZ 50 GRD 80 100
Pin Default 0x04a11050: [Jack] Mic at Ext Right
Conn = 1/8, Color = Black
DefAssociation = 0x5, Sequence = 0x0
Pin-ctls: 0x24: IN VREF_80
Unsolicited: tag=02, enabled=1
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Node 0x1a [Pin Complex] wcaps 0x40048b: Stereo Amp-In
Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0
Amp-In vals: [0x00 0x00]
Pincap 0x00003724: IN Detect
Vref caps: HIZ 50 GRD 80 100
Pin Default 0x411111f0: [N/A] Speaker at Ext Rear
Conn = 1/8, Color = Black
DefAssociation = 0xf, Sequence = 0x0
Misc = NO_PRESENCE
Pin-ctls: 0x00: VREF_HIZ
Unsolicited: tag=00, enabled=0
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Node 0x1b [Pin Complex] wcaps 0x40058f: Stereo Amp-In Amp-Out
Amp-In caps: ofs=0x00, nsteps=0x03, stepsize=0x27, mute=0
Amp-In vals: [0x00 0x00]
Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1
Amp-Out vals: [0x80 0x80]
Pincap 0x00013734: IN OUT EAPD Detect
Vref caps: HIZ 50 GRD 80 100
EAPD 0x2: EAPD
Pin Default 0x411111f0: [N/A] Speaker at Ext Rear
Conn = 1/8, Color = Black
DefAssociation = 0xf, Sequence = 0x0
Misc = NO_PRESENCE
Pin-ctls: 0x20: IN VREF_HIZ
Unsolicited: tag=00, enabled=0
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Connection: 2
0x02* 0x03
Node 0x1c [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x1d [Pin Complex] wcaps 0x400400: Mono
Pincap 0x00000020: IN
Pin Default 0x40661b45: [N/A] Modem Line at Ext N/A
Conn = Digital, Color = Black
DefAssociation = 0x4, Sequence = 0x5
Misc = NO_PRESENCE
Pin-ctls: 0x20: IN
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Node 0x1e [Pin Complex] wcaps 0x400781: Stereo Digital
Pincap 0x00000014: OUT Detect
Pin Default 0x411111f0: [N/A] Speaker at Ext Rear
Conn = 1/8, Color = Black
DefAssociation = 0xf, Sequence = 0x0
Misc = NO_PRESENCE
Pin-ctls: 0x40: OUT
Unsolicited: tag=00, enabled=0
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Connection: 1
0x06
Node 0x1f [Vendor Defined Widget] wcaps 0xf00000: Mono
Node 0x20 [Vendor Defined Widget] wcaps 0xf00040: Mono
Processing caps: benign=0, ncoeff=91
Node 0x21 [Pin Complex] wcaps 0x40058d: Stereo Amp-Out
Control: name="Headphone Playback Switch", index=0, device=0
ControlAmp: chs=3, dir=Out, idx=0, ofs=0
Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1
Amp-Out vals: [0x00 0x00]
Pincap 0x0001001c: OUT HP EAPD Detect
EAPD 0x2: EAPD
Pin Default 0x04211020: [Jack] HP Out at Ext Right
Conn = 1/8, Color = Black
DefAssociation = 0x2, Sequence = 0x0
Pin-ctls: 0xc0: OUT HP
Unsolicited: tag=01, enabled=1
Power states: D0 D1 D2 D3 EPSS
Power: setting=D0, actual=D0
Connection: 2
0x02 0x03*
Node 0x22 [Audio Mixer] wcaps 0x20010b: Stereo Amp-In
Amp-In caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1
Amp-In vals: [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80]
Connection: 5
0x18 0x19 0x1a 0x1b 0x1d
Node 0x23 [Audio Mixer] wcaps 0x20010b: Stereo Amp-In
Amp-In caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1
Amp-In vals: [0x80 0x80] [0x00 0x00] [0x80 0x80] [0x80 0x80] [0x80 0x80] [0x80 0x80]
Connection: 6
0x18 0x19 0x1a 0x1b 0x1d 0x12
Node 0x24 [Audio Selector] wcaps 0x300101: Stereo
Connection: 2
0x12* 0x13
____________________________________________
*** /proc/asound/card0/codec#2
*** ls: -r--r--r-- 1 root root 0 2019-08-15 12:43:54.681667397 -0400 /proc/asound/card0/codec#2
Codec: Intel Skylake HDMI
Address: 2
AFG Function Id: 0x1 (unsol 0)
Vendor Id: 0x80862809
Subsystem Id: 0x80860101
Revision Id: 0x100000
No Modem Function Group found
Default PCM:
rates [0x0]:
bits [0x0]:
formats [0x0]:
Default Amp-In caps: N/A
Default Amp-Out caps: N/A
State of AFG node 0x01:
Power states: D0 D3 CLKSTOP EPSS
Power: setting=D0, actual=D0, Clock-stop-OK
GPIO: io=0, o=0, i=0, unsolicited=0, wake=0
Node 0x02 [Audio Output] wcaps 0x6611: 8-Channels Digital
Converter: stream=0, channel=0
Digital: Enabled KAE
Digital category: 0x0
IEC Coding Type: 0x0
PCM:
rates [0x7f0]: 32000 44100 48000 88200 96000 176400 192000
bits [0x1a]: 16 24 32
formats [0x5]: PCM AC3
Power states: D0 D3 EPSS
Power: setting=D0, actual=D0
Node 0x03 [Audio Output] wcaps 0x6611: 8-Channels Digital
Converter: stream=0, channel=0
Digital: Enabled KAE
Digital category: 0x0
IEC Coding Type: 0x0
PCM:
rates [0x7f0]: 32000 44100 48000 88200 96000 176400 192000
bits [0x1a]: 16 24 32
formats [0x5]: PCM AC3
Power states: D0 D3 EPSS
Power: setting=D0, actual=D0
Node 0x04 [Audio Output] wcaps 0x6611: 8-Channels Digital
Converter: stream=0, channel=0
Digital: Enabled KAE
Digital category: 0x0
IEC Coding Type: 0x0
PCM:
rates [0x7f0]: 32000 44100 48000 88200 96000 176400 192000
bits [0x1a]: 16 24 32
formats [0x5]: PCM AC3
Power states: D0 D3 EPSS
Power: setting=D0, actual=D0
Node 0x05 [Pin Complex] wcaps 0x40778d: 8-Channels Digital Amp-Out CP
Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1
Amp-Out vals: [0x00 0x00]
Pincap 0x0b000094: OUT Detect HBR HDMI DP
Pin Default 0x18560010: [Jack] Digital Out at Int HDMI
Conn = Digital, Color = Unknown
DefAssociation = 0x1, Sequence = 0x0
Pin-ctls: 0x00:
Unsolicited: tag=00, enabled=0
Power states: D0 D3 EPSS
Power: setting=D0, actual=D0
Devices: 0
Connection: 0
In-driver Connection: 3
0x02 0x03 0x04
Node 0x06 [Pin Complex] wcaps 0x40778d: 8-Channels Digital Amp-Out CP
Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1
Amp-Out vals: [0x00 0x00]
Pincap 0x0b000094: OUT Detect HBR HDMI DP
Pin Default 0x18560010: [Jack] Digital Out at Int HDMI
Conn = Digital, Color = Unknown
DefAssociation = 0x1, Sequence = 0x0
Pin-ctls: 0x00:
Unsolicited: tag=00, enabled=0
Power states: D0 D3 EPSS
Power: setting=D0, actual=D0
Devices: 0
Connection: 0
In-driver Connection: 3
0x02 0x03 0x04
Node 0x07 [Pin Complex] wcaps 0x40778d: 8-Channels Digital Amp-Out CP
Amp-Out caps: ofs=0x00, nsteps=0x00, stepsize=0x00, mute=1
Amp-Out vals: [0x00 0x00]
Pincap 0x0b000094: OUT Detect HBR HDMI DP
Pin Default 0x18560010: [Jack] Digital Out at Int HDMI
Conn = Digital, Color = Unknown
DefAssociation = 0x1, Sequence = 0x0
Pin-ctls: 0x00:
Unsolicited: tag=00, enabled=0
Power states: D0 D3 EPSS
Power: setting=D0, actual=D0
Devices: 0
Connection: 0
In-driver Connection: 3
0x02 0x03 0x04
Node 0x08 [Vendor Defined Widget] wcaps 0xf00000: Mono
____________________________________________
*** /proc/asound/card0/eld#2.0
*** ls: -rw-r--r-- 1 root root 0 2019-08-15 12:43:54.694993055 -0400 /proc/asound/card0/eld#2.0
monitor_present 0
eld_valid 0
____________________________________________
*** /proc/asound/card0/eld#2.1
*** ls: -rw-r--r-- 1 root root 0 2019-08-15 12:43:54.698324470 -0400 /proc/asound/card0/eld#2.1
monitor_present 0
eld_valid 0
____________________________________________
*** /proc/asound/card0/eld#2.2
*** ls: -rw-r--r-- 1 root root 0 2019-08-15 12:43:54.701655884 -0400 /proc/asound/card0/eld#2.2
monitor_present 0
eld_valid 0
____________________________________________
*** /proc/asound/card0/eld#2.3
*** ls: -rw-r--r-- 1 root root 0 2019-08-15 12:43:54.704987299 -0400 /proc/asound/card0/eld#2.3
monitor_present 0
eld_valid 0
____________________________________________
*** /proc/asound/card0/eld#2.4
*** ls: -rw-r--r-- 1 root root 0 2019-08-15 12:43:54.704987299 -0400 /proc/asound/card0/eld#2.4
monitor_present 0
eld_valid 0
____________________________________________
*** /proc/asound/card0/eld#2.5
*** ls: -rw-r--r-- 1 root root 0 2019-08-15 12:43:54.708318713 -0400 /proc/asound/card0/eld#2.5
monitor_present 0
eld_valid 0
____________________________________________
*** /proc/asound/card0/eld#2.6
*** ls: -rw-r--r-- 1 root root 0 2019-08-15 12:43:54.711650128 -0400 /proc/asound/card0/eld#2.6
monitor_present 0
eld_valid 0
____________________________________________
*** /proc/asound/card0/eld#2.7
*** ls: -rw-r--r-- 1 root root 0 2019-08-15 12:43:54.714981542 -0400 /proc/asound/card0/eld#2.7
monitor_present 0
eld_valid 0
____________________________________________
*** /proc/asound/card0/eld#2.8
*** ls: -rw-r--r-- 1 root root 0 2019-08-15 12:43:54.714981542 -0400 /proc/asound/card0/eld#2.8
monitor_present 0
eld_valid 0
____________________________________________
*** /sys/bus/pci/devices/0000:01:00.0/power/control
*** ls: -rw-r--r-- 1 root root 4096 2019-08-15 12:43:54.738301444 -0400 /sys/bus/pci/devices/0000:01:00.0/power/control
on
____________________________________________
*** /sys/bus/pci/devices/0000:01:00.0/power/runtime_status
*** ls: -r--r--r-- 1 root root 4096 2019-08-15 12:43:54.741632858 -0400 /sys/bus/pci/devices/0000:01:00.0/power/runtime_status
active
____________________________________________
*** /sys/bus/pci/devices/0000:01:00.0/power/runtime_usage
*** ls: -r--r--r-- 1 root root 4096 2019-08-15 12:43:54.741632858 -0400 /sys/bus/pci/devices/0000:01:00.0/power/runtime_usage
2
____________________________________________
*** ls: lrwxrwxrwx 1 root root 0 2019-08-15 12:42:49.736666761 -0400 /sys/class/drm/card0/device/driver -> ../../../bus/pci/drivers/i915
*** ls: lrwxrwxrwx 1 root root 0 2019-08-15 12:42:51.376666835 -0400 /sys/class/drm/card1/device/driver -> ../../../../bus/pci/drivers/nvidia
*** ls: lrwxrwxrwx 1 root root 0 2019-08-15 12:42:49.736666761 -0400 /sys/class/drm/renderD128/device/driver -> ../../../bus/pci/drivers/i915
*** ls: lrwxrwxrwx 1 root root 0 2019-08-15 12:42:51.376666835 -0400 /sys/class/drm/renderD129/device/driver -> ../../../../bus/pci/drivers/nvidia
____________________________________________
/sbin/vulkaninfo
==========
VULKANINFO
==========
Vulkan Instance Version: 1.1.115
Instance Extensions:
====================
Instance Extensions count = 18
VK_EXT_acquire_xlib_display : extension revision 1
VK_EXT_debug_report : extension revision 9
VK_EXT_debug_utils : extension revision 1
VK_EXT_direct_mode_display : extension revision 1
VK_EXT_display_surface_counter : extension revision 1
VK_KHR_device_group_creation : extension revision 1
VK_KHR_display : extension revision 21
VK_KHR_external_fence_capabilities : extension revision 1
VK_KHR_external_memory_capabilities : extension revision 1
VK_KHR_external_semaphore_capabilities: extension revision 1
VK_KHR_get_display_properties2 : extension revision 1
VK_KHR_get_physical_device_properties2: extension revision 1
VK_KHR_get_surface_capabilities2 : extension revision 1
VK_KHR_surface : extension revision 25
VK_KHR_surface_protected_capabilities: extension revision 1
VK_KHR_wayland_surface : extension revision 6
VK_KHR_xcb_surface : extension revision 6
VK_KHR_xlib_surface : extension revision 6
Layers: count = 0
=======
Presentable Surfaces:
=====================
GPU id : 0 (Intel(R) HD Graphics 520 (Skylake GT2))
Surface type : VK_KHR_xlib_surface
Formats: count = 2
B8G8R8A8_SRGB
B8G8R8A8_UNORM
Present Modes: count = 3
IMMEDIATE_KHR
MAILBOX_KHR
FIFO_KHR
VkSurfaceCapabilitiesKHR:
minImageCount = 2
maxImageCount = 0
currentExtent:
width = 256
height = 256
minImageExtent:
width = 256
height = 256
maxImageExtent:
width = 256
height = 256
maxImageArrayLayers = 1
supportedTransform:
VK_SURFACE_TRANSFORM_IDENTITY_BIT_KHR
currentTransform:
VK_SURFACE_TRANSFORM_IDENTITY_BIT_KHR
supportedCompositeAlpha:
VK_COMPOSITE_ALPHA_OPAQUE_BIT_KHR
VK_COMPOSITE_ALPHA_INHERIT_BIT_KHR
supportedUsageFlags:
VK_IMAGE_USAGE_TRANSFER_SRC_BIT
VK_IMAGE_USAGE_TRANSFER_DST_BIT
VK_IMAGE_USAGE_SAMPLED_BIT
VK_IMAGE_USAGE_STORAGE_BIT
VK_IMAGE_USAGE_COLOR_ATTACHMENT_BIT
VkSurfaceCapabilities2EXT:
supportedSurfaceCounters:
None
GPU id : 0 (Intel(R) HD Graphics 520 (Skylake GT2))
Surface type : VK_KHR_xcb_surface
Formats: count = 2
B8G8R8A8_SRGB
B8G8R8A8_UNORM
Present Modes: count = 3
IMMEDIATE_KHR
MAILBOX_KHR
FIFO_KHR
VkSurfaceCapabilitiesKHR:
minImageCount = 2
maxImageCount = 0
currentExtent:
width = 256
height = 256
minImageExtent:
width = 256
height = 256
maxImageExtent:
width = 256
height = 256
maxImageArrayLayers = 1
supportedTransform:
VK_SURFACE_TRANSFORM_IDENTITY_BIT_KHR
currentTransform:
VK_SURFACE_TRANSFORM_IDENTITY_BIT_KHR
supportedCompositeAlpha:
VK_COMPOSITE_ALPHA_OPAQUE_BIT_KHR
VK_COMPOSITE_ALPHA_INHERIT_BIT_KHR
supportedUsageFlags:
VK_IMAGE_USAGE_TRANSFER_SRC_BIT
VK_IMAGE_USAGE_TRANSFER_DST_BIT
VK_IMAGE_USAGE_SAMPLED_BIT
VK_IMAGE_USAGE_STORAGE_BIT
VK_IMAGE_USAGE_COLOR_ATTACHMENT_BIT
VkSurfaceCapabilities2EXT:
supportedSurfaceCounters:
None
Groups :
========
Device Group Properties (Group 0) :
physicalDeviceCount = 1
Intel(R) HD Graphics 520 (Skylake GT2) (ID: 0)
subsetAllocation = 0
Device Group Present Capabilities (Group 0) :
Intel(R) HD Graphics 520 (Skylake GT2) (ID: 0)
Can present images from the following devices:
Intel(R) HD Graphics 520 (Skylake GT2) (ID: 0)
Present modes:
VK_DEVICE_GROUP_PRESENT_MODE_LOCAL_BIT_KHR
Device Properties and Extensions :
==================================
GPU0
VkPhysicalDeviceProperties:
===========================
apiVersion = 0x401066 (1.1.102)
driverVersion = 79695876 (0x4c01004)
vendorID = 0x8086
deviceID = 0x1916
deviceType = INTEGRATED_GPU
deviceName = Intel(R) HD Graphics 520 (Skylake GT2)
VkPhysicalDeviceLimits:
-----------------------
maxImageDimension1D = 16384
maxImageDimension2D = 16384
maxImageDimension3D = 2048
maxImageDimensionCube = 16384
maxImageArrayLayers = 2048
maxTexelBufferElements = 0x8000000
maxUniformBufferRange = 0x8000000
maxStorageBufferRange = 0x40000000
maxPushConstantsSize = 128
maxMemoryAllocationCount = 4294967295
maxSamplerAllocationCount = 65536
bufferImageGranularity = 0x40
sparseAddressSpaceSize = 0x0
maxBoundDescriptorSets = 8
maxPerStageDescriptorSamplers = 65535
maxPerStageDescriptorUniformBuffers = 64
maxPerStageDescriptorStorageBuffers = 65535
maxPerStageDescriptorSampledImages = 65535
maxPerStageDescriptorStorageImages = 65535
maxPerStageDescriptorInputAttachments = 64
maxPerStageResources = 4294967295
maxDescriptorSetSamplers = 393210
maxDescriptorSetUniformBuffers = 384
maxDescriptorSetUniformBuffersDynamic = 8
maxDescriptorSetStorageBuffers = 393210
maxDescriptorSetStorageBuffersDynamic = 8
maxDescriptorSetSampledImages = 393210
maxDescriptorSetStorageImages = 393210
maxDescriptorSetInputAttachments = 256
maxVertexInputAttributes = 28
maxVertexInputBindings = 28
maxVertexInputAttributeOffset = 0x7ff
maxVertexInputBindingStride = 0x800
maxVertexOutputComponents = 128
maxTessellationGenerationLevel = 64
maxTessellationPatchSize = 32
maxTessellationControlPerVertexInputComponents = 128
maxTessellationControlPerVertexOutputComponents = 128
maxTessellationControlPerPatchOutputComponents = 128
maxTessellationControlTotalOutputComponents = 2048
maxTessellationEvaluationInputComponents = 128
maxTessellationEvaluationOutputComponents = 128
maxGeometryShaderInvocations = 32
maxGeometryInputComponents = 64
maxGeometryOutputComponents = 128
maxGeometryOutputVertices = 256
maxGeometryTotalOutputComponents = 1024
maxFragmentInputComponents = 116
maxFragmentOutputAttachments = 8
maxFragmentDualSrcAttachments = 1
maxFragmentCombinedOutputResources = 8
maxComputeSharedMemorySize = 32768
maxComputeWorkGroupCount[0] = 65535
maxComputeWorkGroupCount[1] = 65535
maxComputeWorkGroupCount[2] = 65535
maxComputeWorkGroupInvocations = 1792
maxComputeWorkGroupSize[0] = 896
maxComputeWorkGroupSize[1] = 896
maxComputeWorkGroupSize[2] = 896
subPixelPrecisionBits = 8
subTexelPrecisionBits = 8
mipmapPrecisionBits = 8
maxDrawIndexedIndexValue = 4294967295
maxDrawIndirectCount = 4294967295
maxSamplerLodBias = 16.000000
maxSamplerAnisotropy = 16.000000
maxViewports = 16
maxViewportDimensions[0] = 16384
maxViewportDimensions[1] = 16384
viewportBoundsRange[0] = -32768.000000
viewportBoundsRange[1] = 32767.000000
viewportSubPixelBits = 13
minMemoryMapAlignment = 4096
minTexelBufferOffsetAlignment = 0x1
minUniformBufferOffsetAlignment = 0x20
minStorageBufferOffsetAlignment = 0x4
minTexelOffset = -8
maxTexelOffset = 7
minTexelGatherOffset = -32
maxTexelGatherOffset = 31
minInterpolationOffset = -0.500000
maxInterpolationOffset = 0.437500
subPixelInterpolationOffsetBits = 4
maxFramebufferWidth = 16384
maxFramebufferHeight = 16384
maxFramebufferLayers = 2048
framebufferColorSampleCounts = 31
framebufferDepthSampleCounts = 31
framebufferStencilSampleCounts = 31
framebufferNoAttachmentsSampleCounts = 31
maxColorAttachments = 8
sampledImageColorSampleCounts = 31
sampledImageDepthSampleCounts = 31
sampledImageStencilSampleCounts = 31
sampledImageIntegerSampleCounts = 1
storageImageSampleCounts = 1
maxSampleMaskWords = 1
timestampComputeAndGraphics = 1
timestampPeriod = 83.333336
maxClipDistances = 8
maxCullDistances = 8
maxCombinedClipAndCullDistances = 8
discreteQueuePriorities = 2
pointSizeRange[0] = 0.125000
pointSizeRange[1] = 255.875000
lineWidthRange[0] = 0.000000
lineWidthRange[1] = 7.992188
pointSizeGranularity = 0.125000
lineWidthGranularity = 0.007812
strictLines = 0
standardSampleLocations = 1
optimalBufferCopyOffsetAlignment = 0x80
optimalBufferCopyRowPitchAlignment = 0x80
nonCoherentAtomSize = 0x40
VkPhysicalDeviceSparseProperties:
---------------------------------
residencyStandard2DBlockShape = 0
residencyStandard2DMultisampleBlockShape = 0
residencyStandard3DBlockShape = 0
residencyAlignedMipSize = 0
residencyNonResidentStrict = 0
VkPhysicalDevicePointClippingProperties:
========================================
pointClippingBehavior = 0
VkPhysicalDevicePushDescriptorProperties:
=========================================
maxPushDescriptors = 32
VkPhysicalDeviceMultiviewProperties:
====================================
maxMultiviewViewCount = 16
maxMultiviewInstanceIndex = 268435455
VkPhysicalDeviceMaintenance3Properties:
=======================================
maxPerSetDescriptors = 1024
maxMemoryAllocationSize = 2147483648
VkPhysicalDeviceIDProperties:
=========================================
deviceUUID = f266f5d1-e7e2-0f15-9db8-b0b96b3aa740
driverUUID = 5dfd58a7-6054-01b2-7e0c-fdeaf8c91f41
deviceLUIDValid = false
VkPhysicalDeviceDriverProperties:
=================================
driverID = 6
driverName = Intel open-source Mesa driver
driverInfo = Mesa 19.1.4
conformanceVersion:
major = 1
minor = 1
subminor = 2
patch = 0
VkPhysicalDevicePCIBusInfoProperties
====================================
pciDomain = 0
pciBus = 0
pciDevice = 2
pciFunction = 0
VkPhysicalDeviceTransformFeedbackProperties
===========================================
maxTransformFeedbackStreams = 4
maxTransformFeedbackBuffers = 4
maxTransformFeedbackBufferSize = 4294967296
maxTransformFeedbackStreamDataSize = 512
maxTransformFeedbackBufferDataSize = 512
maxTransformFeedbackBufferDataStride = 2048
transformFeedbackQueries = 1
transformFeedbackStreamsLinesTriangles = 0
transformFeedbackRasterizationStreamSelect = 0
transformFeedbackDraw = 1
VkPhysicalDeviceDescriptorIndexingProperties
============================================
maxUpdateAfterBindDescriptorsInAllPools = 524288
shaderUniformBufferArrayNonUniformIndexingNative = 0
shaderSampledImageArrayNonUniformIndexingNative = 0
shaderStorageBufferArrayNonUniformIndexingNative = 1
shaderStorageImageArrayNonUniformIndexingNative = 0
shaderInputAttachmentArrayNonUniformIndexingNative = 0
robustBufferAccessUpdateAfterBind = 1
quadDivergentImplicitLod = 0
maxPerStageDescriptorUpdateAfterBindSamplers = 524288
maxPerStageDescriptorUpdateAfterBindUniformBuffers = 64
maxPerStageDescriptorUpdateAfterBindStorageBuffers = 4294967295
maxPerStageDescriptorUpdateAfterBindSampledImages = 524288
maxPerStageDescriptorUpdateAfterBindStorageImages = 524288
maxPerStageDescriptorUpdateAfterBindInputAttachments = 64
maxPerStageUpdateAfterBindResources = 4294967295
maxDescriptorSetUpdateAfterBindSamplers = 524288
maxDescriptorSetUpdateAfterBindUniformBuffers = 384
maxDescriptorSetUpdateAfterBindUniformBuffersDynamic = 8
maxDescriptorSetUpdateAfterBindStorageBuffer = 4294967295
maxDescriptorSetUpdateAfterBindStorageBuffersDynamic = 8
maxDescriptorSetUpdateAfterBindSampledImages = 524288
maxDescriptorSetUpdateAfterBindStorageImages = 524288
maxDescriptorSetUpdateAfterBindInputAttachments = 256
VkPhysicalDeviceDepthStencilResolveProperties
============================================
supportedDepthResolveModes:
VK_RESOLVE_MODE_SAMPLE_ZERO_BIT_KHR
VK_RESOLVE_MODE_AVERAGE_BIT_KHR
VK_RESOLVE_MODE_MIN_BIT_KHR
VK_RESOLVE_MODE_MAX_BIT_KHR
supportedStencilResolveModes:
VK_RESOLVE_MODE_SAMPLE_ZERO_BIT_KHR
VK_RESOLVE_MODE_MIN_BIT_KHR
VK_RESOLVE_MODE_MAX_BIT_KHR
independentResolveNone = 1
independentResolve = 1
Device Extensions count = 58
VK_EXT_buffer_device_address : extension revision 1
VK_EXT_calibrated_timestamps : extension revision 1
VK_EXT_conditional_rendering : extension revision 1
VK_EXT_depth_clip_enable : extension revision 1
VK_EXT_descriptor_indexing : extension revision 2
VK_EXT_display_control : extension revision 1
VK_EXT_external_memory_dma_buf : extension revision 1
VK_EXT_external_memory_host : extension revision 1
VK_EXT_global_priority : extension revision 1
VK_EXT_host_query_reset : extension revision 1
VK_EXT_inline_uniform_block : extension revision 1
VK_EXT_memory_budget : extension revision 1
VK_EXT_pci_bus_info : extension revision 2
VK_EXT_pipeline_creation_feedback : extension revision 1
VK_EXT_post_depth_coverage : extension revision 1
VK_EXT_sampler_filter_minmax : extension revision 1
VK_EXT_scalar_block_layout : extension revision 1
VK_EXT_shader_stencil_export : extension revision 1
VK_EXT_shader_viewport_index_layer : extension revision 1
VK_EXT_transform_feedback : extension revision 1
VK_EXT_vertex_attribute_divisor : extension revision 3
VK_EXT_ycbcr_image_arrays : extension revision 1
VK_GOOGLE_decorate_string : extension revision 1
VK_GOOGLE_hlsl_functionality1 : extension revision 1
VK_KHR_16bit_storage : extension revision 1
VK_KHR_8bit_storage : extension revision 1
VK_KHR_bind_memory2 : extension revision 1
VK_KHR_create_renderpass2 : extension revision 1
VK_KHR_dedicated_allocation : extension revision 1
VK_KHR_depth_stencil_resolve : extension revision 1
VK_KHR_descriptor_update_template : extension revision 1
VK_KHR_device_group : extension revision 1
VK_KHR_draw_indirect_count : extension revision 1
VK_KHR_driver_properties : extension revision 1
VK_KHR_external_fence : extension revision 1
VK_KHR_external_fence_fd : extension revision 1
VK_KHR_external_memory : extension revision 1
VK_KHR_external_memory_fd : extension revision 1
VK_KHR_external_semaphore : extension revision 1
VK_KHR_external_semaphore_fd : extension revision 1
VK_KHR_get_memory_requirements2 : extension revision 1
VK_KHR_image_format_list : extension revision 1
VK_KHR_incremental_present : extension revision 1
VK_KHR_maintenance1 : extension revision 1
VK_KHR_maintenance2 : extension revision 1
VK_KHR_maintenance3 : extension revision 1
VK_KHR_multiview : extension revision 1
VK_KHR_push_descriptor : extension revision 1
VK_KHR_relaxed_block_layout : extension revision 1
VK_KHR_sampler_mirror_clamp_to_edge : extension revision 1
VK_KHR_sampler_ycbcr_conversion : extension revision 1
VK_KHR_shader_atomic_int64 : extension revision 1
VK_KHR_shader_draw_parameters : extension revision 1
VK_KHR_shader_float16_int8 : extension revision 1
VK_KHR_storage_buffer_storage_class : extension revision 1
VK_KHR_swapchain : extension revision 70
VK_KHR_variable_pointers : extension revision 1
VK_NV_compute_shader_derivatives : extension revision 1
VkQueueFamilyProperties[0]:
===========================
queueFlags = GRAPHICS | COMPUTE | TRANSFER
queueCount = 1
timestampValidBits = 36
minImageTransferGranularity = (1, 1, 1)
present support = true
VkPhysicalDeviceMemoryProperties:
=================================
memoryHeapCount = 2
memoryHeaps[0] :
size = 8305147904 (0x1ef068000) (7.73 GiB)
budget = 8304721920
usage = 0
flags:
VK_MEMORY_HEAP_DEVICE_LOCAL_BIT
memoryHeaps[1] :
size = 1073741824 (0x40000000) (1024.00 MiB)
budget = 1073741824
usage = 0
flags:
VK_MEMORY_HEAP_DEVICE_LOCAL_BIT
memoryTypeCount = 2
memoryTypes[0] :
heapIndex = 0
propertyFlags = 0xf:
VK_MEMORY_PROPERTY_DEVICE_LOCAL_BIT
VK_MEMORY_PROPERTY_HOST_VISIBLE_BIT
VK_MEMORY_PROPERTY_HOST_COHERENT_BIT
VK_MEMORY_PROPERTY_HOST_CACHED_BIT
usable for:
OPTIMAL: color images, D16_UNORM, X8_D24_UNORM_PACK32, D32_SFLOAT, S8_UINT, D24_UNORM_S8_UINT, D32_SFLOAT_S8_UINT
LINEAR: color images
memoryTypes[1] :
heapIndex = 1
propertyFlags = 0xf:
VK_MEMORY_PROPERTY_DEVICE_LOCAL_BIT
VK_MEMORY_PROPERTY_HOST_VISIBLE_BIT
VK_MEMORY_PROPERTY_HOST_COHERENT_BIT
VK_MEMORY_PROPERTY_HOST_CACHED_BIT
usable for:
OPTIMAL: color images, D16_UNORM, X8_D24_UNORM_PACK32, D32_SFLOAT, S8_UINT, D24_UNORM_S8_UINT, D32_SFLOAT_S8_UINT
LINEAR: color images
VkPhysicalDeviceFeatures:
=========================
robustBufferAccess = 1
fullDrawIndexUint32 = 1
imageCubeArray = 1
independentBlend = 1
geometryShader = 1
tessellationShader = 1
sampleRateShading = 1
dualSrcBlend = 1
logicOp = 1
multiDrawIndirect = 1
drawIndirectFirstInstance = 1
depthClamp = 1
depthBiasClamp = 1
fillModeNonSolid = 1
depthBounds = 0
wideLines = 1
largePoints = 1
alphaToOne = 1
multiViewport = 1
samplerAnisotropy = 1
textureCompressionETC2 = 1
textureCompressionASTC_LDR = 1
textureCompressionBC = 1
occlusionQueryPrecise = 1
pipelineStatisticsQuery = 1
vertexPipelineStoresAndAtomics = 1
fragmentStoresAndAtomics = 1
shaderTessellationAndGeometryPointSize = 1
shaderImageGatherExtended = 1
shaderStorageImageExtendedFormats = 1
shaderStorageImageMultisample = 0
shaderStorageImageReadWithoutFormat = 0
shaderStorageImageWriteWithoutFormat = 1
shaderUniformBufferArrayDynamicIndexing = 1
shaderSampledImageArrayDynamicIndexing = 1
shaderStorageBufferArrayDynamicIndexing = 1
shaderStorageImageArrayDynamicIndexing = 1
shaderClipDistance = 1
shaderCullDistance = 1
shaderFloat64 = 1
shaderInt64 = 1
shaderInt16 = 1
shaderResourceResidency = 0
shaderResourceMinLod = 1
sparseBinding = 0
sparseResidencyBuffer = 0
sparseResidencyImage2D = 0
sparseResidencyImage3D = 0
sparseResidency2Samples = 0
sparseResidency4Samples = 0
sparseResidency8Samples = 0
sparseResidency16Samples = 0
sparseResidencyAliased = 0
variableMultisampleRate = 1
inheritedQueries = 1
VkPhysicalDevice8BitStorageFeatures:
=====================================
storageBuffer8BitAccess = 1
uniformAndStorageBuffer8BitAccess = 1
storagePushConstant8 = 1
VkPhysicalDevice16BitStorageFeatures:
=====================================
storageBuffer16BitAccess = 1
uniformAndStorageBuffer16BitAccess = 1
storagePushConstant16 = 1
storageInputOutput16 = 0
VkPhysicalDeviceSamplerYcbcrConversionFeatures:
===============================================
samplerYcbcrConversion = 1
VkPhysicalDeviceVariablePointerFeatures:
========================================
variablePointersStorageBuffer = 1
variablePointers = 1
VkPhysicalDeviceMultiviewFeatures:
==================================
multiview = 1
multiviewGeometryShader = 1
multiviewTessellationShader = 1
VkPhysicalDeviceFloat16Int8Features:
====================================
shaderFloat16 = 1
shaderInt8 = 1
VkPhysicalDeviceShaderAtomicInt64Features:
==========================================
shaderBufferInt64Atomics = 1
shaderSharedInt64Atomics = 0
VkPhysicalDeviceTransformFeedbackFeatures:
==========================================
transformFeedback = 1
geometryStreams = 1
VkPhysicalDeviceScalarBlockLayoutFeatures:
==========================================
scalarBlockLayout = 1
VkPhysicalDeviceBufferAddressFeatures:
======================================
bufferDeviceAddress = 1
bufferDeviceAddressCaptureReplay = 0
bufferDeviceAddressMultiDevice = 0
VkPhysicalDeviceDescriptorIndexingFeatures:
=======================================
shaderInputAttachmentArrayDynamicIndexing = 0
shaderUniformTexelBufferArrayDynamicIndexing = 1
shaderStorageTexelBufferArrayDynamicIndexing = 1
shaderUniformBufferArrayNonUniformIndexing = 0
shaderSampledImageArrayNonUniformIndexing = 1
shaderStorageBufferArrayNonUniformIndexing = 1
shaderStorageImageArrayNonUniformIndexing = 1
shaderInputAttachmentArrayNonUniformIndexing = 0
shaderUniformTexelBufferArrayNonUniformIndexing = 1
shaderStorageTexelBufferArrayNonUniformIndexing = 1
descriptorBindingUniformBufferUpdateAfterBind = 0
descriptorBindingSampledImageUpdateAfterBind = 1
descriptorBindingStorageImageUpdateAfterBind = 1
descriptorBindingStorageBufferUpdateAfterBind = 1
descriptorBindingUniformTexelBufferUpdateAfterBind = 1
descriptorBindingStorageTexelBufferUpdateAfterBind = 1
descriptorBindingUpdateUnusedWhilePending = 1
descriptorBindingPartiallyBound = 1
descriptorBindingVariableDescriptorCount = 0
runtimeDescriptorArray = 1
VkPhysicalDeviceYcbcrImageArraysFeatures:
=========================================
ycbcrImageArrays = 1
VkPhysicalDeviceHostQueryResetFeatures:
=======================================
hostQueryReset = 1
Format Properties:
==================
FORMAT_R4G4B4A4_UNORM_PACK16,
FORMAT_B4G4R4A4_UNORM_PACK16:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
VK_FORMAT_FEATURE_UNIFORM_TEXEL_BUFFER_BIT
FORMAT_R5G6B5_UNORM_PACK16,
FORMAT_B5G6R5_UNORM_PACK16,
FORMAT_A1R5G5B5_UNORM_PACK16,
FORMAT_R8G8B8A8_SRGB,
FORMAT_B8G8R8A8_SRGB,
FORMAT_A8B8G8R8_SRGB_PACK32:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BLEND_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BLEND_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
VK_FORMAT_FEATURE_UNIFORM_TEXEL_BUFFER_BIT
FORMAT_R8_UNORM,
FORMAT_R8_SNORM,
FORMAT_R8G8_UNORM,
FORMAT_R8G8_SNORM,
FORMAT_R8G8B8A8_UNORM,
FORMAT_R8G8B8A8_SNORM,
FORMAT_A8B8G8R8_UNORM_PACK32,
FORMAT_A8B8G8R8_SNORM_PACK32,
FORMAT_A2B10G10R10_UNORM_PACK32,
FORMAT_R16_UNORM,
FORMAT_R16_SNORM,
FORMAT_R16_SFLOAT,
FORMAT_R16G16_UNORM,
FORMAT_R16G16_SNORM,
FORMAT_R16G16_SFLOAT,
FORMAT_R16G16B16A16_UNORM,
FORMAT_R16G16B16A16_SNORM,
FORMAT_R16G16B16A16_SFLOAT,
FORMAT_R32_SFLOAT,
FORMAT_R32G32_SFLOAT,
FORMAT_R32G32B32A32_SFLOAT,
FORMAT_B10G11R11_UFLOAT_PACK32:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_STORAGE_IMAGE_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BLEND_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_STORAGE_IMAGE_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BLEND_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
VK_FORMAT_FEATURE_UNIFORM_TEXEL_BUFFER_BIT
VK_FORMAT_FEATURE_STORAGE_TEXEL_BUFFER_BIT
VK_FORMAT_FEATURE_VERTEX_BUFFER_BIT
FORMAT_R8_USCALED,
FORMAT_R8_SSCALED,
FORMAT_R8G8_USCALED,
FORMAT_R8G8_SSCALED,
FORMAT_R8G8B8_USCALED,
FORMAT_R8G8B8_SSCALED,
FORMAT_R8G8B8A8_USCALED,
FORMAT_R8G8B8A8_SSCALED,
FORMAT_A8B8G8R8_USCALED_PACK32,
FORMAT_A8B8G8R8_SSCALED_PACK32,
FORMAT_A2R10G10B10_SNORM_PACK32,
FORMAT_A2R10G10B10_USCALED_PACK32,
FORMAT_A2R10G10B10_SSCALED_PACK32,
FORMAT_A2R10G10B10_UINT_PACK32,
FORMAT_A2R10G10B10_SINT_PACK32,
FORMAT_A2B10G10R10_SNORM_PACK32,
FORMAT_A2B10G10R10_USCALED_PACK32,
FORMAT_A2B10G10R10_SSCALED_PACK32,
FORMAT_A2B10G10R10_SINT_PACK32,
FORMAT_R16_USCALED,
FORMAT_R16_SSCALED,
FORMAT_R16G16_USCALED,
FORMAT_R16G16_SSCALED,
FORMAT_R16G16B16_USCALED,
FORMAT_R16G16B16_SSCALED,
FORMAT_R16G16B16A16_USCALED,
FORMAT_R16G16B16A16_SSCALED,
FORMAT_R64_UINT,
FORMAT_R64_SINT,
FORMAT_R64_SFLOAT,
FORMAT_R64G64_UINT,
FORMAT_R64G64_SINT,
FORMAT_R64G64_SFLOAT,
FORMAT_R64G64B64_UINT,
FORMAT_R64G64B64_SINT,
FORMAT_R64G64B64_SFLOAT,
FORMAT_R64G64B64A64_UINT,
FORMAT_R64G64B64A64_SINT,
FORMAT_R64G64B64A64_SFLOAT:
linearTiling FormatFeatureFlags:
None
optimalTiling FormatFeatureFlags:
None
bufferFeatures FormatFeatureFlags:
VK_FORMAT_FEATURE_VERTEX_BUFFER_BIT
FORMAT_R8_UINT,
FORMAT_R8_SINT,
FORMAT_R8G8_UINT,
FORMAT_R8G8_SINT,
FORMAT_R8G8B8A8_UINT,
FORMAT_R8G8B8A8_SINT,
FORMAT_A8B8G8R8_UINT_PACK32,
FORMAT_A8B8G8R8_SINT_PACK32,
FORMAT_A2B10G10R10_UINT_PACK32,
FORMAT_R16_UINT,
FORMAT_R16_SINT,
FORMAT_R16G16_UINT,
FORMAT_R16G16_SINT,
FORMAT_R16G16B16A16_UINT,
FORMAT_R16G16B16A16_SINT,
FORMAT_R32G32_UINT,
FORMAT_R32G32_SINT,
FORMAT_R32G32B32A32_UINT,
FORMAT_R32G32B32A32_SINT:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_STORAGE_IMAGE_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_STORAGE_IMAGE_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
VK_FORMAT_FEATURE_UNIFORM_TEXEL_BUFFER_BIT
VK_FORMAT_FEATURE_STORAGE_TEXEL_BUFFER_BIT
VK_FORMAT_FEATURE_VERTEX_BUFFER_BIT
FORMAT_R8_SRGB,
FORMAT_R8G8B8_SRGB,
FORMAT_E5B9G9R9_UFLOAT_PACK32:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
VK_FORMAT_FEATURE_UNIFORM_TEXEL_BUFFER_BIT
FORMAT_R8G8B8_UNORM,
FORMAT_R16G16B16_UNORM,
FORMAT_R32G32B32_SFLOAT:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
VK_FORMAT_FEATURE_UNIFORM_TEXEL_BUFFER_BIT
VK_FORMAT_FEATURE_VERTEX_BUFFER_BIT
FORMAT_R8G8B8_SNORM,
FORMAT_R16G16B16_SNORM:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
VK_FORMAT_FEATURE_UNIFORM_TEXEL_BUFFER_BIT
VK_FORMAT_FEATURE_VERTEX_BUFFER_BIT
FORMAT_R8G8B8_UINT,
FORMAT_R8G8B8_SINT,
FORMAT_R16G16B16_UINT,
FORMAT_R16G16B16_SINT,
FORMAT_R32G32B32_UINT,
FORMAT_R32G32B32_SINT:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
VK_FORMAT_FEATURE_UNIFORM_TEXEL_BUFFER_BIT
VK_FORMAT_FEATURE_VERTEX_BUFFER_BIT
FORMAT_B8G8R8A8_UNORM,
FORMAT_A2R10G10B10_UNORM_PACK32:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BLEND_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BLEND_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
VK_FORMAT_FEATURE_UNIFORM_TEXEL_BUFFER_BIT
VK_FORMAT_FEATURE_VERTEX_BUFFER_BIT
FORMAT_R16G16B16_SFLOAT:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BLEND_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
VK_FORMAT_FEATURE_UNIFORM_TEXEL_BUFFER_BIT
VK_FORMAT_FEATURE_VERTEX_BUFFER_BIT
FORMAT_R32_UINT,
FORMAT_R32_SINT:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_STORAGE_IMAGE_BIT
VK_FORMAT_FEATURE_STORAGE_IMAGE_ATOMIC_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_STORAGE_IMAGE_BIT
VK_FORMAT_FEATURE_STORAGE_IMAGE_ATOMIC_BIT
VK_FORMAT_FEATURE_COLOR_ATTACHMENT_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
VK_FORMAT_FEATURE_UNIFORM_TEXEL_BUFFER_BIT
VK_FORMAT_FEATURE_STORAGE_TEXEL_BUFFER_BIT
VK_FORMAT_FEATURE_STORAGE_TEXEL_BUFFER_ATOMIC_BIT
VK_FORMAT_FEATURE_VERTEX_BUFFER_BIT
FORMAT_D16_UNORM,
FORMAT_X8_D24_UNORM_PACK32,
FORMAT_D32_SFLOAT,
FORMAT_D24_UNORM_S8_UINT,
FORMAT_D32_SFLOAT_S8_UINT:
linearTiling FormatFeatureFlags:
None
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_DEPTH_STENCIL_ATTACHMENT_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
None
FORMAT_S8_UINT:
linearTiling FormatFeatureFlags:
None
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_DEPTH_STENCIL_ATTACHMENT_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
None
FORMAT_BC1_RGB_UNORM_BLOCK,
FORMAT_BC1_RGB_SRGB_BLOCK,
FORMAT_BC1_RGBA_UNORM_BLOCK,
FORMAT_BC1_RGBA_SRGB_BLOCK,
FORMAT_BC2_UNORM_BLOCK,
FORMAT_BC2_SRGB_BLOCK,
FORMAT_BC3_UNORM_BLOCK,
FORMAT_BC3_SRGB_BLOCK,
FORMAT_BC4_UNORM_BLOCK,
FORMAT_BC4_SNORM_BLOCK,
FORMAT_BC5_UNORM_BLOCK,
FORMAT_BC5_SNORM_BLOCK,
FORMAT_BC6H_UFLOAT_BLOCK,
FORMAT_BC6H_SFLOAT_BLOCK,
FORMAT_BC7_UNORM_BLOCK,
FORMAT_BC7_SRGB_BLOCK,
FORMAT_ETC2_R8G8B8_UNORM_BLOCK,
FORMAT_ETC2_R8G8B8_SRGB_BLOCK,
FORMAT_ETC2_R8G8B8A1_UNORM_BLOCK,
FORMAT_ETC2_R8G8B8A1_SRGB_BLOCK,
FORMAT_ETC2_R8G8B8A8_UNORM_BLOCK,
FORMAT_ETC2_R8G8B8A8_SRGB_BLOCK,
FORMAT_EAC_R11_UNORM_BLOCK,
FORMAT_EAC_R11_SNORM_BLOCK,
FORMAT_EAC_R11G11_UNORM_BLOCK,
FORMAT_EAC_R11G11_SNORM_BLOCK:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
None
FORMAT_ASTC_4x4_UNORM_BLOCK,
FORMAT_ASTC_4x4_SRGB_BLOCK,
FORMAT_ASTC_5x4_UNORM_BLOCK,
FORMAT_ASTC_5x4_SRGB_BLOCK,
FORMAT_ASTC_5x5_UNORM_BLOCK,
FORMAT_ASTC_5x5_SRGB_BLOCK,
FORMAT_ASTC_6x5_UNORM_BLOCK,
FORMAT_ASTC_6x5_SRGB_BLOCK,
FORMAT_ASTC_6x6_UNORM_BLOCK,
FORMAT_ASTC_6x6_SRGB_BLOCK,
FORMAT_ASTC_8x5_UNORM_BLOCK,
FORMAT_ASTC_8x5_SRGB_BLOCK,
FORMAT_ASTC_8x6_UNORM_BLOCK,
FORMAT_ASTC_8x6_SRGB_BLOCK,
FORMAT_ASTC_8x8_UNORM_BLOCK,
FORMAT_ASTC_8x8_SRGB_BLOCK,
FORMAT_ASTC_10x5_UNORM_BLOCK,
FORMAT_ASTC_10x5_SRGB_BLOCK,
FORMAT_ASTC_10x6_UNORM_BLOCK,
FORMAT_ASTC_10x6_SRGB_BLOCK,
FORMAT_ASTC_10x8_UNORM_BLOCK,
FORMAT_ASTC_10x8_SRGB_BLOCK,
FORMAT_ASTC_10x10_UNORM_BLOCK,
FORMAT_ASTC_10x10_SRGB_BLOCK,
FORMAT_ASTC_12x10_UNORM_BLOCK,
FORMAT_ASTC_12x10_SRGB_BLOCK,
FORMAT_ASTC_12x12_UNORM_BLOCK,
FORMAT_ASTC_12x12_SRGB_BLOCK:
linearTiling FormatFeatureFlags:
None
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_BLIT_SRC_BIT
VK_FORMAT_FEATURE_BLIT_DST_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
None
FORMAT_G8B8G8R8_422_UNORM,
FORMAT_B8G8R8G8_422_UNORM:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
None
FORMAT_G8_B8_R8_3PLANE_420_UNORM,
FORMAT_G8_B8R8_2PLANE_420_UNORM,
FORMAT_G8_B8_R8_3PLANE_422_UNORM,
FORMAT_G8_B8R8_2PLANE_422_UNORM,
FORMAT_G16_B16_R16_3PLANE_420_UNORM,
FORMAT_G16_B16R16_2PLANE_420_UNORM,
FORMAT_G16_B16_R16_3PLANE_422_UNORM,
FORMAT_G16_B16R16_2PLANE_422_UNORM:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
None
FORMAT_G8_B8_R8_3PLANE_444_UNORM,
FORMAT_G16_B16_R16_3PLANE_444_UNORM:
linearTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
optimalTiling FormatFeatureFlags:
VK_FORMAT_FEATURE_SAMPLED_IMAGE_BIT
VK_FORMAT_FEATURE_SAMPLED_IMAGE_FILTER_LINEAR_BIT
VK_FORMAT_FEATURE_TRANSFER_SRC_BIT_KHR
VK_FORMAT_FEATURE_TRANSFER_DST_BIT_KHR
bufferFeatures FormatFeatureFlags:
None
Unsupported formats:
FORMAT_UNDEFINED
FORMAT_R4G4_UNORM_PACK8
FORMAT_R5G5B5A1_UNORM_PACK16
FORMAT_B5G5R5A1_UNORM_PACK16
FORMAT_R8G8_SRGB
FORMAT_B8G8R8_UNORM
FORMAT_B8G8R8_SNORM
FORMAT_B8G8R8_USCALED
FORMAT_B8G8R8_SSCALED
FORMAT_B8G8R8_UINT
FORMAT_B8G8R8_SINT
FORMAT_B8G8R8_SRGB
FORMAT_B8G8R8A8_SNORM
FORMAT_B8G8R8A8_USCALED
FORMAT_B8G8R8A8_SSCALED
FORMAT_B8G8R8A8_UINT
FORMAT_B8G8R8A8_SINT
FORMAT_D16_UNORM_S8_UINT
FORMAT_R10X6_UNORM_PACK16
FORMAT_R10X6G10X6_UNORM_2PACK16
FORMAT_R10X6G10X6B10X6A10X6_UNORM_4PACK16
FORMAT_G10X6B10X6G10X6R10X6_422_UNORM_4PACK16
FORMAT_B10X6G10X6R10X6G10X6_422_UNORM_4PACK16
FORMAT_G10X6_B10X6_R10X6_3PLANE_420_UNORM_3PACK16
FORMAT_G10X6_B10X6R10X6_2PLANE_420_UNORM_3PACK16
FORMAT_G10X6_B10X6_R10X6_3PLANE_422_UNORM_3PACK16
FORMAT_G10X6_B10X6R10X6_2PLANE_422_UNORM_3PACK16
FORMAT_G10X6_B10X6_R10X6_3PLANE_444_UNORM_3PACK16
FORMAT_R12X4_UNORM_PACK16
FORMAT_R12X4G12X4_UNORM_2PACK16
FORMAT_R12X4G12X4B12X4A12X4_UNORM_4PACK16
FORMAT_G12X4B12X4G12X4R12X4_422_UNORM_4PACK16
FORMAT_B12X4G12X4R12X4G12X4_422_UNORM_4PACK16
FORMAT_G12X4_B12X4_R12X4_3PLANE_420_UNORM_3PACK16
FORMAT_G12X4_B12X4R12X4_2PLANE_420_UNORM_3PACK16
FORMAT_G12X4_B12X4_R12X4_3PLANE_422_UNORM_3PACK16
FORMAT_G12X4_B12X4R12X4_2PLANE_422_UNORM_3PACK16
FORMAT_G12X4_B12X4_R12X4_3PLANE_444_UNORM_3PACK16
FORMAT_G16B16G16R16_422_UNORM
FORMAT_B16G16R16G16_422_UNORM
____________________________________________
/sbin/nvidia-smi --query
==============NVSMI LOG==============
Timestamp : Thu Aug 15 12:43:55 2019
Driver Version : 435.17
CUDA Version : 10.1
Attached GPUs : 1
GPU 00000000:01:00.0
Product Name : GeForce 940MX
Product Brand : GeForce
Display Mode : Disabled
Display Active : Disabled
Persistence Mode : Disabled
Accounting Mode : Disabled
Accounting Mode Buffer Size : 4000
Driver Model
Current : N/A
Pending : N/A
Serial Number : N/A
GPU UUID : GPU-889a6906-fbde-e8fa-d88a-411f9c2cbf4e
Minor Number : 0
VBIOS Version : 82.08.5D.00.05
MultiGPU Board : No
Board ID : 0x100
GPU Part Number : N/A
Inforom Version
Image Version : N/A
OEM Object : N/A
ECC Object : N/A
Power Management Object : N/A
GPU Operation Mode
Current : N/A
Pending : N/A
GPU Virtualization Mode
Virtualization mode : None
IBMNPU
Relaxed Ordering Mode : N/A
PCI
Bus : 0x01
Device : 0x00
Domain : 0x0000
Device Id : 0x134D10DE
Bus Id : 00000000:01:00.0
Sub System Id : 0xC142144D
GPU Link Info
PCIe Generation
Max : 3
Current : 3
Link Width
Max : 4x
Current : 4x
Bridge Chip
Type : N/A
Firmware : N/A
Replays Since Reset : 0
Replay Number Rollovers : 0
Tx Throughput : 0 KB/s
Rx Throughput : 0 KB/s
Fan Speed : N/A
Performance State : P0
Clocks Throttle Reasons
Idle : Not Active
Applications Clocks Setting : Active
SW Power Cap : Not Active
HW Slowdown : Not Active
HW Thermal Slowdown : N/A
HW Power Brake Slowdown : N/A
Sync Boost : Not Active
SW Thermal Slowdown : Not Active
Display Clock Setting : Not Active
FB Memory Usage
Total : 2004 MiB
Used : 0 MiB
Free : 2004 MiB
BAR1 Memory Usage
Total : 256 MiB
Used : 1 MiB
Free : 255 MiB
Compute Mode : Default
Utilization
Gpu : 1 %
Memory : 0 %
Encoder : N/A
Decoder : N/A
Encoder Stats
Active Sessions : 0
Average FPS : 0
Average Latency : 0
FBC Stats
Active Sessions : 0
Average FPS : 0
Average Latency : 0
Ecc Mode
Current : N/A
Pending : N/A
ECC Errors
Volatile
Single Bit
Device Memory : N/A
Register File : N/A
L1 Cache : N/A
L2 Cache : N/A
Texture Memory : N/A
Texture Shared : N/A
CBU : N/A
Total : N/A
Double Bit
Device Memory : N/A
Register File : N/A
L1 Cache : N/A
L2 Cache : N/A
Texture Memory : N/A
Texture Shared : N/A
CBU : N/A
Total : N/A
Aggregate
Single Bit
Device Memory : N/A
Register File : N/A
L1 Cache : N/A
L2 Cache : N/A
Texture Memory : N/A
Texture Shared : N/A
CBU : N/A
Total : N/A
Double Bit
Device Memory : N/A
Register File : N/A
L1 Cache : N/A
L2 Cache : N/A
Texture Memory : N/A
Texture Shared : N/A
CBU : N/A
Total : N/A
Retired Pages
Single Bit ECC : N/A
Double Bit ECC : N/A
Pending Page Blacklist : N/A
Temperature
GPU Current Temp : 53 C
GPU Shutdown Temp : 99 C
GPU Slowdown Temp : 94 C
GPU Max Operating Temp : 90 C
Memory Current Temp : N/A
Memory Max Operating Temp : N/A
Power Readings
Power Management : N/A
Power Draw : N/A
Power Limit : N/A
Default Power Limit : N/A
Enforced Power Limit : N/A
Min Power Limit : N/A
Max Power Limit : N/A
Clocks
Graphics : 1124 MHz
SM : 1124 MHz
Memory : 900 MHz
Video : 1101 MHz
Applications Clocks
Graphics : 1124 MHz
Memory : 900 MHz
Default Applications Clocks
Graphics : 1122 MHz
Memory : 900 MHz
Max Clocks
Graphics : 1241 MHz
SM : 1241 MHz
Memory : 900 MHz
Video : 1216 MHz
Max Customer Boost Clocks
Graphics : N/A
Clock Policy
Auto Boost : N/A
Auto Boost Default : N/A
Processes : None
/sbin/nvidia-smi --query --unit
==============NVSMI LOG==============
Timestamp : Thu Aug 15 12:43:55 2019
Driver Version : 435.17
CUDA Version : 10.1
HIC Info : N/A
Attached Units : 0
____________________________________________
base64 "nvidia-nvml-temp2530.log"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____________________________________________
/sbin/nvidia-debugdump -D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Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment