Navigation Menu

Skip to content

Instantly share code, notes, and snippets.

@corecode
Created February 22, 2019 12:19
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save corecode/9a4d54c71b0a8b76a97ace0db40ecc13 to your computer and use it in GitHub Desktop.
Save corecode/9a4d54c71b0a8b76a97ace0db40ecc13 to your computer and use it in GitHub Desktop.
CELL CascadeBuf
IOPATH I O 103.252:180.527:278.135 127.835:223.509:344.358
IOPATH I O 210:210:210 260:260:260
CELL CascadeMux
IOPATH I O 0:0:0 0:0:0
CELL CEMux
IOPATH I O 260.588:455.615:701.96 181.92:318.071:490.048
IOPATH I O 530:530:530 370:370:370
CELL ClkMux
IOPATH I O 329.422:575.966:887.384 344.172:601.755:927.118
IOPATH I O 670:670:670 700:700:700
CELL DummyBuf
IOPATH I O 0:0:0 0:0:0
CELL gio2CtrlBuf
IOPATH I O 0:0:0 0:0:0
CELL Glb2LocalMux
IOPATH I O 216.337:378.246:582.76 196.67:343.86:529.781
IOPATH I O 440:440:440 400:400:400
CELL GlobalMux
IOPATH I O 93.4182:163.334:251.646 103.252:180.527:278.135
IOPATH I O 190:190:190 210:210:210
CELL ICE_CARRY_IN_MUX
IOPATH carryinitin carryinitout 420:420:420 340:340:340
CELL ICE_GB
IOPATH USERSIGNALTOGLOBALBUFFER GLOBALBUFFEROUTPUT 1200:1200:1200 600:600:600
CELL InMux
IOPATH I O 245.837:429.825:662.227 186.836:326.667:503.292
IOPATH I O 500:500:500 380:380:380
CELL INV
IOPATH I O 0:0:0 0:0:0
CELL IO_PAD
IOPATH DIN PACKAGEPIN 2291.5:2291.5:2291.5 2353.2:2353.2:2353.2
IOPATH OE PACKAGEPIN 1973:1973:1973 1942:1942:1942
IOPATH OE PACKAGEPIN 2291.5:2291.5:2291.5 2353.2:2353.2:2353.2
IOPATH PACKAGEPIN DOUT 590:590:590 540:540:540
CELL IO_PAD_I3C
IOPATH DIN PACKAGEPIN 0:0:0 0:0:0
IOPATH OE PACKAGEPIN 0:0:0 0:0:0
IOPATH PACKAGEPIN DOUT 0:0:0 0:0:0
CELL IO_PAD_OD
IOPATH DIN PACKAGEPIN 0:0:0 0:0:0
IOPATH OE PACKAGEPIN 0:0:0 0:0:0
IOPATH PACKAGEPIN DOUT 0:0:0 0:0:0
CELL IoInMux
IOPATH I O 245.837:429.825:662.227 186.836:326.667:503.292
IOPATH I O 500:500:500 380:380:380
CELL IoSpan4Mux
IOPATH I O 231.087:404.036:622.493 275.338:481.404:741.694
IOPATH I O 470:470:470 560:560:560
CELL LocalMux
IOPATH I O 408.09:713.51:1099.3 285.171:498.597:768.183
IOPATH I O 830:830:830 580:580:580
CELL LogicCell40
HOLD negedge:ce posedge:clk 0:0:0
HOLD negedge:in0 posedge:clk 0:0:0
HOLD negedge:in1 posedge:clk 0:0:0
HOLD negedge:in2 posedge:clk 0:0:0
HOLD negedge:in3 posedge:clk 0:0:0
HOLD negedge:sr posedge:clk -390:-390:-390
HOLD posedge:ce posedge:clk 0:0:0
HOLD posedge:in0 posedge:clk 0:0:0
HOLD posedge:in1 posedge:clk 0:0:0
HOLD posedge:in2 posedge:clk 0:0:0
HOLD posedge:in3 posedge:clk 0:0:0
HOLD posedge:sr posedge:clk -360:-360:-360
RECOVERY negedge:sr posedge:clk 320:320:320
RECOVERY posedge:sr posedge:clk 0:0:0
REMOVAL negedge:sr posedge:clk 0:0:0
REMOVAL posedge:sr posedge:clk 0:0:0
SETUP negedge:ce posedge:clk 0:0:0
SETUP negedge:in0 posedge:clk 800:800:800
SETUP negedge:in1 posedge:clk 760:760:760
SETUP negedge:in2 posedge:clk 650:650:650
SETUP negedge:in3 posedge:clk 440:440:440
SETUP negedge:sr posedge:clk 290:290:290
SETUP posedge:ce posedge:clk 0:0:0
SETUP posedge:in0 posedge:clk 930:930:930
SETUP posedge:in1 posedge:clk 800:800:800
SETUP posedge:in2 posedge:clk 740:740:740
SETUP posedge:in3 posedge:clk 550:550:550
SETUP posedge:sr posedge:clk 400:400:400
IOPATH carryin carryout 103.252:180.527:278.135 103.252:180.527:278.135
IOPATH carryin carryout 210:210:210 210:210:210
IOPATH in0 lcout 462.174:808.071:1244.99 476.925:833.861:1284.72
IOPATH in0 lcout 940:940:940 970:970:970
IOPATH in0 ltout 314.672:550.176:847.65 334.339:584.562:900.628
IOPATH in0 ltout 640:640:640 680:680:680
IOPATH in1 carryout 250.754:438.422:675.471 245.837:429.825:662.227
IOPATH in1 carryout 510:510:510 500:500:500
IOPATH in1 lcout 437.591:765.089:1178.76 457.258:799.475:1231.74
IOPATH in1 lcout 890:890:890 930:930:930
IOPATH in1 ltout 275.338:481.404:741.694 329.422:575.966:887.384
IOPATH in1 ltout 560:560:560 670:670:670
IOPATH in2 carryout 226.17:395.439:609.249 132.752:232.106:357.602
IOPATH in2 carryout 460:460:460 270:270:270
IOPATH in2 lcout 437.591:765.089:1178.76 447.424:782.282:1205.25
IOPATH in2 lcout 890:890:890 910:910:910
IOPATH in2 ltout 260.588:455.615:701.96 290.088:507.194:781.428
IOPATH in2 ltout 530:530:530 590:590:590
IOPATH in3 lcout 319.589:558.773:860.895 324.505:567.369:874.139
IOPATH in3 lcout 650:650:650 660:660:660
IOPATH in3 ltout 216.337:378.246:582.76 226.17:395.439:609.249
IOPATH in3 ltout 440:440:440 460:460:460
IOPATH posedge:clk lcout 1050:1050:1050 1050:1050:1050
IOPATH sr lcout 0:0:0 1200:1200:1200
IOPATH sr lcout 854.3:854.3:854.3 0:0:0
CELL Odrv4
IOPATH I O 221.254:386.843:596.004 240.921:421.229:648.982
IOPATH I O 450:450:450 490:490:490
CELL Odrv12
IOPATH I O 398.257:696.317:1072.81 457.258:799.475:1231.74
IOPATH I O 810:810:810 930:930:930
CELL PLL40
HOLD negedge:SDI negedge:SCLK 0:0:0
HOLD posedge:SDI negedge:SCLK 0:0:0
SETUP negedge:SDI negedge:SCLK 491.675:859.651:1324.45
SETUP negedge:SDI negedge:SCLK 1000:1000:1000
SETUP posedge:SDI negedge:SCLK 491.675:859.651:1324.45
SETUP posedge:SDI negedge:SCLK 1000:1000:1000
IOPATH negedge:SCLK SDO 491.675:859.651:1324.45 491.675:859.651:1324.45
IOPATH negedge:SCLK SDO 1000:1000:1000 1000:1000:1000
IOPATH PLLIN PLLOUTCORE *:*:* *:*:*
IOPATH PLLIN PLLOUTGLOBAL *:*:* *:*:*
CELL PLL40_2
HOLD negedge:SDI negedge:SCLK 0:0:0
HOLD posedge:SDI negedge:SCLK 0:0:0
SETUP negedge:SDI negedge:SCLK 491.675:859.651:1324.45
SETUP negedge:SDI negedge:SCLK 1000:1000:1000
SETUP posedge:SDI negedge:SCLK 491.675:859.651:1324.45
SETUP posedge:SDI negedge:SCLK 1000:1000:1000
IOPATH negedge:SCLK SDO 491.675:859.651:1324.45 491.675:859.651:1324.45
IOPATH negedge:SCLK SDO 1000:1000:1000 1000:1000:1000
IOPATH PLLIN PLLOUTCOREA *:*:* *:*:*
IOPATH PLLIN PLLOUTCOREB *:*:* *:*:*
IOPATH PLLIN PLLOUTGLOBALA *:*:* *:*:*
IOPATH PLLIN PLLOUTGLOBALB *:*:* *:*:*
CELL PLL40_2F
HOLD negedge:SDI negedge:SCLK 0:0:0
HOLD posedge:SDI negedge:SCLK 0:0:0
SETUP negedge:SDI negedge:SCLK 491.675:859.651:1324.45
SETUP negedge:SDI negedge:SCLK 1000:1000:1000
SETUP posedge:SDI negedge:SCLK 491.675:859.651:1324.45
SETUP posedge:SDI negedge:SCLK 1000:1000:1000
IOPATH negedge:SCLK SDO 491.675:859.651:1324.45 491.675:859.651:1324.45
IOPATH negedge:SCLK SDO 1000:1000:1000 1000:1000:1000
IOPATH PLLIN PLLOUTCOREA *:*:* *:*:*
IOPATH PLLIN PLLOUTCOREB *:*:* *:*:*
IOPATH PLLIN PLLOUTGLOBALA *:*:* *:*:*
IOPATH PLLIN PLLOUTGLOBALB *:*:* *:*:*
CELL PRE_IO
HOLD negedge:CLOCKENABLE posedge:INPUTCLK 0:0:0
HOLD negedge:CLOCKENABLE posedge:OUTPUTCLK 0:0:0
HOLD negedge:DOUT0 posedge:OUTPUTCLK 0:0:0
HOLD negedge:DOUT1 negedge:OUTPUTCLK 0:0:0
HOLD negedge:PADIN negedge:INPUTCLK 0:0:0
HOLD negedge:PADIN posedge:INPUTCLK 0:0:0
HOLD posedge:CLOCKENABLE posedge:INPUTCLK 0:0:0
HOLD posedge:CLOCKENABLE posedge:OUTPUTCLK 0:0:0
HOLD posedge:DOUT0 posedge:OUTPUTCLK 0:0:0
HOLD posedge:DOUT1 negedge:OUTPUTCLK 0:0:0
HOLD posedge:PADIN negedge:INPUTCLK 0:0:0
HOLD posedge:PADIN posedge:INPUTCLK 0:0:0
SETUP negedge:CLOCKENABLE posedge:INPUTCLK 69.3753:121.297:186.88
SETUP negedge:CLOCKENABLE posedge:INPUTCLK 141.1:141.1:141.1
SETUP negedge:CLOCKENABLE posedge:OUTPUTCLK 141.1:141.1:141.1
SETUP negedge:DOUT0 posedge:OUTPUTCLK 141.1:141.1:141.1
SETUP negedge:DOUT1 negedge:OUTPUTCLK 141.1:141.1:141.1
SETUP negedge:PADIN negedge:INPUTCLK 1546.86:2704.55:4166.86
SETUP negedge:PADIN negedge:INPUTCLK 3146.1:3146.1:3146.1
SETUP negedge:PADIN posedge:INPUTCLK 3146.1:3146.1:3146.1
SETUP posedge:CLOCKENABLE posedge:INPUTCLK 75.3246:131.698:202.906
SETUP posedge:CLOCKENABLE posedge:INPUTCLK 153.2:153.2:153.2
SETUP posedge:CLOCKENABLE posedge:OUTPUTCLK 153.2:153.2:153.2
SETUP posedge:DOUT0 posedge:OUTPUTCLK 153.2:153.2:153.2
SETUP posedge:DOUT1 negedge:OUTPUTCLK 153.2:153.2:153.2
SETUP posedge:PADIN negedge:INPUTCLK 1552.81:2714.95:4182.89
SETUP posedge:PADIN negedge:INPUTCLK 3158.2:3158.2:3158.2
SETUP posedge:PADIN posedge:INPUTCLK 3158.2:3158.2:3158.2
IOPATH DOUT0 PADOUT 280.255:490.001:754.939 285.171:498.597:768.183
IOPATH DOUT0 PADOUT 570:570:570 580:580:580
IOPATH LATCHINPUTVALUE DIN0 492.3:492.3:492.3 531.8:531.8:531.8
IOPATH negedge:INPUTCLK DIN1 369.74:646.457:995.989 295.988:517.51:797.321
IOPATH negedge:INPUTCLK DIN1 752:752:752 602:602:602
IOPATH negedge:OUTPUTCLK PADOUT 1002:1002:1002 1159:1159:1159
IOPATH OUTPUTENABLE PADOEN 344.3:344.3:344.3 403.3:403.3:403.3
IOPATH PADIN DIN0 181.92:318.071:490.048 181.92:318.071:490.048
IOPATH PADIN DIN0 370:370:370 370:370:370
IOPATH posedge:INPUTCLK DIN0 759:759:759 667:667:667
IOPATH posedge:OUTPUTCLK PADOUT 1067:1067:1067 1152:1152:1152
CELL PRE_IO_GBUF
IOPATH PADSIGNALTOGLOBALBUFFER GLOBALBUFFEROUTPUT 1622.53:2836.85:4370.7 1106.27:1934.21:2980.02
IOPATH PADSIGNALTOGLOBALBUFFER GLOBALBUFFEROUTPUT 3300:3300:3300 2250:2250:2250
CELL SB_MAC16_ACC_U_32P32_ALL_PIPELINE
HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246
HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741
HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99
HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2
HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945
HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875
HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084
HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786
HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124
HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61
HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622
HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057
HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708
HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794
HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812
HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546
HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98
HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575
HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028
HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318
HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007
HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98
HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743
HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609
HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274
HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668
HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88
HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905
HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213
HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869
HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724
HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759
HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851
HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756
HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689
HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143
HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019
HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19
HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487
HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38
HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834
HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059
HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288
HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096
HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083
HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184
HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033
HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949
HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169
HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937
HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235
HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549
HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429
HOLD negedge:CI posedge:CLK -166.545:-166.545:-166.545
HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878
HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317
HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125
HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239
HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004
HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749
HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895
HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237
HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011
HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363
HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158
HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257
HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946
HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826
HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441
HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634
HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001
HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226
HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282
HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798
HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665
HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322
HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322
HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459
HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852
HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475
HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36
HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946
HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919
HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172
HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888
HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785
HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088
HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14
HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736
HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36
HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019
HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029
HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173
HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435
HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268
HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656
HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102
HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267
HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178
HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66
HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52
HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363
HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228
HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105
HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81
HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215
HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332
HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53
HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905
HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892
HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57
HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313
HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675
HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519
HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574
HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811
HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306
HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812
HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702
HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862
HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001
HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338
HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318
HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393
HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921
HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495
HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588
HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582
HOLD posedge:CI posedge:CLK -159.601:-159.601:-159.601
HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936
HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924
HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822
HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464
HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375
HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025
HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529
HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477
HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339
HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361
HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15
HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024
HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327
HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007
HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511
HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689
HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151
HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891
HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884
HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27
HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913
RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559
RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107
RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935
RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441
RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0
RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0
RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0
RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0
SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473
SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881
SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986
SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638
SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238
SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595
SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652
SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925
SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479
SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901
SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604
SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005
SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201
SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344
SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514
SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39
SETUP negedge:ADDSUBBOT posedge:CLK 1955.16:1955.16:1955.16
SETUP negedge:ADDSUBTOP posedge:CLK 1386.42:1386.42:1386.42
SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142
SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502
SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051
SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579
SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621
SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745
SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918
SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289
SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367
SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684
SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778
SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205
SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766
SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714
SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597
SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967
SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196
SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441
SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088
SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488
SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958
SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861
SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449
SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561
SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749
SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793
SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198
SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708
SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965
SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909
SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105
SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516
SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482
SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58
SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583
SETUP negedge:CI posedge:CLK 1279.42:1279.42:1279.42
SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447
SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711
SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393
SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595
SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159
SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55
SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331
SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414
SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946
SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741
SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825
SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672
SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497
SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431
SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009
SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209
SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354
SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725
SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298
SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673
SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817
SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512
SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696
SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595
SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939
SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245
SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992
SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085
SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191
SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441
SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648
SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25
SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189
SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236
SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669
SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47
SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253
SETUP posedge:ADDSUBBOT posedge:CLK 1997.36:1997.36:1997.36
SETUP posedge:ADDSUBTOP posedge:CLK 1424.31:1424.31:1424.31
SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311
SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762
SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073
SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814
SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415
SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082
SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634
SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568
SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846
SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375
SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785
SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875
SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631
SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556
SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908
SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683
SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426
SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104
SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649
SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462
SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661
SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11
SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401
SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085
SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064
SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84
SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954
SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79
SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967
SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927
SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279
SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749
SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83
SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046
SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68
SETUP posedge:CI posedge:CLK 1198.87:1198.87:1198.87
SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413
SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733
SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518
SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675
SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605
SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758
SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128
SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187
SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692
SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364
SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081
SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016
SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487
SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07
SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539
SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431
SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771
SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816
SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37
SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775
SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118
IOPATH ADDSUBBOT ACCUMCO 2267.19:2267.19:2267.19 2503.75:2503.75:2503.75
IOPATH ADDSUBBOT CO 2839.59:2839.59:2839.59 3049.73:3049.73:3049.73
IOPATH ADDSUBTOP ACCUMCO 1607.9:1607.9:1607.9 1895.47:1895.47:1895.47
IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368
IOPATH CI ACCUMCO 1219.89:1219.89:1219.89 1283.26:1283.26:1283.26
IOPATH CI CO 1792.3:1792.3:1792.3 1829.25:1829.25:1829.25
IOPATH posedge:CLK ACCUMCO 2882.62:2882.62:2882.62 3128.68:3128.68:3128.68
IOPATH posedge:CLK CO 3455.02:3455.02:3455.02 3674.66:3674.66:3674.66
IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88
IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269
IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73
IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37
IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14
IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45
IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64
IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21
IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36
IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28
IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95
IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98
IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69
IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91
IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2
IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376
IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56
IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63
IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77
IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97
IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91
IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98
IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92
IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86
IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81
IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54
IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67
IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48
IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94
IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65
IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97
IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95
IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04
CELL SB_MAC16_ACC_U_32P32_BYPASS
HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246
HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741
HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99
HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2
HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945
HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875
HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084
HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786
HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124
HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61
HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622
HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057
HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708
HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794
HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812
HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546
HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98
HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403
HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028
HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318
HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007
HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98
HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743
HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609
HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274
HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668
HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88
HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905
HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213
HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869
HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724
HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759
HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851
HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756
HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689
HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143
HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019
HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19
HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487
HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38
HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834
HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059
HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288
HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096
HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083
HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184
HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033
HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949
HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169
HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937
HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235
HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549
HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429
HOLD negedge:CI posedge:CLK -182.352:-182.352:-182.352
HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878
HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317
HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125
HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239
HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004
HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749
HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895
HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237
HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011
HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363
HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158
HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257
HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946
HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826
HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441
HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634
HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001
HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226
HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282
HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798
HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665
HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322
HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322
HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459
HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852
HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475
HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36
HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946
HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919
HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172
HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888
HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785
HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088
HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14
HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736
HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36
HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019
HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029
HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971
HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435
HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268
HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656
HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102
HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267
HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178
HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66
HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52
HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363
HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228
HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105
HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81
HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215
HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332
HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53
HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905
HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892
HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57
HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313
HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675
HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519
HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574
HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811
HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306
HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812
HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702
HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862
HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001
HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338
HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318
HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393
HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921
HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495
HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588
HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582
HOLD posedge:CI posedge:CLK -178.334:-178.334:-178.334
HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936
HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924
HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822
HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464
HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375
HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025
HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529
HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477
HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339
HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361
HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15
HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024
HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327
HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971
HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511
HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689
HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151
HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891
HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884
HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188
HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45
RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559
RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859
RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935
RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441
RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0
RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0
RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0
RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0
SETUP negedge:A[0] posedge:CLK 1574.37:1574.37:1574.37
SETUP negedge:A[1] posedge:CLK 1676.94:1676.94:1676.94
SETUP negedge:A[2] posedge:CLK 1686.38:1686.38:1686.38
SETUP negedge:A[3] posedge:CLK 1718.39:1718.39:1718.39
SETUP negedge:A[4] posedge:CLK 1819.53:1819.53:1819.53
SETUP negedge:A[5] posedge:CLK 1908.04:1908.04:1908.04
SETUP negedge:A[6] posedge:CLK 1819.68:1819.68:1819.68
SETUP negedge:A[7] posedge:CLK 1848.48:1848.48:1848.48
SETUP negedge:A[8] posedge:CLK 1532.57:1532.57:1532.57
SETUP negedge:A[9] posedge:CLK 1702.81:1702.81:1702.81
SETUP negedge:A[10] posedge:CLK 1634.61:1634.61:1634.61
SETUP negedge:A[11] posedge:CLK 1639.84:1639.84:1639.84
SETUP negedge:A[12] posedge:CLK 1710.5:1710.5:1710.5
SETUP negedge:A[13] posedge:CLK 1736.25:1736.25:1736.25
SETUP negedge:A[14] posedge:CLK 1755.84:1755.84:1755.84
SETUP negedge:A[15] posedge:CLK 1769.23:1769.23:1769.23
SETUP negedge:ADDSUBBOT posedge:CLK 1951.91:1951.91:1951.91
SETUP negedge:ADDSUBTOP posedge:CLK 1386.27:1386.27:1386.27
SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142
SETUP negedge:B[0] posedge:CLK 1971.76:1971.76:1971.76
SETUP negedge:B[1] posedge:CLK 1969.4:1969.4:1969.4
SETUP negedge:B[2] posedge:CLK 1931.07:1931.07:1931.07
SETUP negedge:B[3] posedge:CLK 1989.46:1989.46:1989.46
SETUP negedge:B[4] posedge:CLK 2000.11:2000.11:2000.11
SETUP negedge:B[5] posedge:CLK 1977.32:1977.32:1977.32
SETUP negedge:B[6] posedge:CLK 1940.61:1940.61:1940.61
SETUP negedge:B[7] posedge:CLK 1902.5:1902.5:1902.5
SETUP negedge:B[8] posedge:CLK 1856.62:1856.62:1856.62
SETUP negedge:B[9] posedge:CLK 2011.33:2011.33:2011.33
SETUP negedge:B[10] posedge:CLK 1888.62:1888.62:1888.62
SETUP negedge:B[11] posedge:CLK 2052.77:2052.77:2052.77
SETUP negedge:B[12] posedge:CLK 1975.68:1975.68:1975.68
SETUP negedge:B[13] posedge:CLK 1912.68:1912.68:1912.68
SETUP negedge:B[14] posedge:CLK 1817.14:1817.14:1817.14
SETUP negedge:B[15] posedge:CLK 1855.75:1855.75:1855.75
SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441
SETUP negedge:C[0] posedge:CLK 171.761:171.761:171.761
SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027
SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816
SETUP negedge:C[3] posedge:CLK 138.86:138.86:138.86
SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551
SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498
SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777
SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388
SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784
SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056
SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101
SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454
SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937
SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873
SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409
SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212
SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583
SETUP negedge:CI posedge:CLK 1273.34:1273.34:1273.34
SETUP negedge:D[0] posedge:CLK 219.957:219.957:219.957
SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659
SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382
SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268
SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297
SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515
SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316
SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094
SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08
SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146
SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736
SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403
SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62
SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478
SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064
SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673
SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354
SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586
SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055
SETUP negedge:OLOADBOT posedge:CLK 343.244:343.244:343.244
SETUP negedge:OLOADTOP posedge:CLK 278.585:278.585:278.585
SETUP posedge:A[0] posedge:CLK 1556.35:1556.35:1556.35
SETUP posedge:A[1] posedge:CLK 1661.81:1661.81:1661.81
SETUP posedge:A[2] posedge:CLK 1683.35:1683.35:1683.35
SETUP posedge:A[3] posedge:CLK 1703.43:1703.43:1703.43
SETUP posedge:A[4] posedge:CLK 1796.34:1796.34:1796.34
SETUP posedge:A[5] posedge:CLK 1960.79:1960.79:1960.79
SETUP posedge:A[6] posedge:CLK 1810.74:1810.74:1810.74
SETUP posedge:A[7] posedge:CLK 1833.36:1833.36:1833.36
SETUP posedge:A[8] posedge:CLK 1530.44:1530.44:1530.44
SETUP posedge:A[9] posedge:CLK 1700.76:1700.76:1700.76
SETUP posedge:A[10] posedge:CLK 1627.23:1627.23:1627.23
SETUP posedge:A[11] posedge:CLK 1661.81:1661.81:1661.81
SETUP posedge:A[12] posedge:CLK 1737.18:1737.18:1737.18
SETUP posedge:A[13] posedge:CLK 1782.81:1782.81:1782.81
SETUP posedge:A[14] posedge:CLK 1804.37:1804.37:1804.37
SETUP posedge:A[15] posedge:CLK 1817.18:1817.18:1817.18
SETUP posedge:ADDSUBBOT posedge:CLK 1994.11:1994.11:1994.11
SETUP posedge:ADDSUBTOP posedge:CLK 1424.02:1424.02:1424.02
SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311
SETUP posedge:B[0] posedge:CLK 1930.8:1930.8:1930.8
SETUP posedge:B[1] posedge:CLK 2084.86:2084.86:2084.86
SETUP posedge:B[2] posedge:CLK 2006.63:2006.63:2006.63
SETUP posedge:B[3] posedge:CLK 2049.9:2049.9:2049.9
SETUP posedge:B[4] posedge:CLK 1960:1960:1960
SETUP posedge:B[5] posedge:CLK 2035.05:2035.05:2035.05
SETUP posedge:B[6] posedge:CLK 1992.81:1992.81:1992.81
SETUP posedge:B[7] posedge:CLK 1946.79:1946.79:1946.79
SETUP posedge:B[8] posedge:CLK 1860.3:1860.3:1860.3
SETUP posedge:B[9] posedge:CLK 2056.41:2056.41:2056.41
SETUP posedge:B[10] posedge:CLK 1976.05:1976.05:1976.05
SETUP posedge:B[11] posedge:CLK 2022.13:2022.13:2022.13
SETUP posedge:B[12] posedge:CLK 1922.54:1922.54:1922.54
SETUP posedge:B[13] posedge:CLK 1931.69:1931.69:1931.69
SETUP posedge:B[14] posedge:CLK 1829.22:1829.22:1829.22
SETUP posedge:B[15] posedge:CLK 2081.46:2081.46:2081.46
SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104
SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16
SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895
SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564
SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993
SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047
SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838
SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905
SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751
SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633
SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902
SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447
SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205
SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376
SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815
SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507
SETUP posedge:C[15] posedge:CLK 132.912:132.912:132.912
SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68
SETUP posedge:CI posedge:CLK 1188.68:1188.68:1188.68
SETUP posedge:D[0] posedge:CLK 194.203:194.203:194.203
SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974
SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722
SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899
SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714
SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082
SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907
SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309
SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909
SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989
SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218
SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786
SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135
SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753
SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767
SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627
SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771
SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636
SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167
SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775
SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65
IOPATH A[0] ACCUMCO 1481.77:1481.77:1481.77 1938.69:1938.69:1938.69
IOPATH A[0] CO 2054.17:2054.17:2054.17 2484.67:2484.67:2484.67
IOPATH A[1] ACCUMCO 1720.71:1720.71:1720.71 1998.85:1998.85:1998.85
IOPATH A[1] CO 2293.11:2293.11:2293.11 2544.84:2544.84:2544.84
IOPATH A[2] ACCUMCO 1658.54:1658.54:1658.54 1871.3:1871.3:1871.3
IOPATH A[2] CO 2230.95:2230.95:2230.95 2417.28:2417.28:2417.28
IOPATH A[3] ACCUMCO 1571.35:1571.35:1571.35 1800.27:1800.27:1800.27
IOPATH A[3] CO 2143.75:2143.75:2143.75 2346.26:2346.26:2346.26
IOPATH A[4] ACCUMCO 1608.77:1608.77:1608.77 2005.48:2005.48:2005.48
IOPATH A[4] CO 2181.17:2181.17:2181.17 2551.46:2551.46:2551.46
IOPATH A[5] ACCUMCO 1541.99:1541.99:1541.99 1839.14:1839.14:1839.14
IOPATH A[5] CO 2114.39:2114.39:2114.39 2385.13:2385.13:2385.13
IOPATH A[6] ACCUMCO 1688.25:1688.25:1688.25 1939.64:1939.64:1939.64
IOPATH A[6] CO 2260.65:2260.65:2260.65 2485.63:2485.63:2485.63
IOPATH A[7] ACCUMCO 1619.47:1619.47:1619.47 1860.55:1860.55:1860.55
IOPATH A[7] CO 2191.88:2191.88:2191.88 2406.54:2406.54:2406.54
IOPATH A[8] ACCUMCO 1575.02:1575.02:1575.02 1835.69:1835.69:1835.69
IOPATH A[8] CO 2147.43:2147.43:2147.43 2381.67:2381.67:2381.67
IOPATH A[9] ACCUMCO 1699.9:1699.9:1699.9 1958.06:1958.06:1958.06
IOPATH A[9] CO 2272.3:2272.3:2272.3 2504.04:2504.04:2504.04
IOPATH A[10] ACCUMCO 1580.73:1580.73:1580.73 1733.85:1733.85:1733.85
IOPATH A[10] CO 2153.13:2153.13:2153.13 2279.83:2279.83:2279.83
IOPATH A[11] ACCUMCO 1431.19:1431.19:1431.19 1593.46:1593.46:1593.46
IOPATH A[11] CO 2003.6:2003.6:2003.6 2139.45:2139.45:2139.45
IOPATH A[12] ACCUMCO 1429.52:1429.52:1429.52 1626.47:1626.47:1626.47
IOPATH A[12] CO 2001.92:2001.92:2001.92 2172.46:2172.46:2172.46
IOPATH A[13] ACCUMCO 1491.26:1491.26:1491.26 1661.08:1661.08:1661.08
IOPATH A[13] CO 2063.66:2063.66:2063.66 2207.06:2207.06:2207.06
IOPATH A[14] ACCUMCO 1561.46:1561.46:1561.46 1649.43:1649.43:1649.43
IOPATH A[14] CO 2133.86:2133.86:2133.86 2195.42:2195.42:2195.42
IOPATH A[15] ACCUMCO 1643.64:1643.64:1643.64 1808.14:1808.14:1808.14
IOPATH A[15] CO 2216.04:2216.04:2216.04 2354.13:2354.13:2354.13
IOPATH A[15] SIGNEXTOUT 885.756:885.756:885.756 834.238:834.238:834.238
IOPATH ADDSUBBOT ACCUMCO 2253.92:2253.92:2253.92 2498.2:2498.2:2498.2
IOPATH ADDSUBBOT CO 2826.33:2826.33:2826.33 3044.19:3044.19:3044.19
IOPATH ADDSUBTOP ACCUMCO 1607.41:1607.41:1607.41 1894.98:1894.98:1894.98
IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106
IOPATH B[0] ACCUMCO 2194.56:2194.56:2194.56 2460.21:2460.21:2460.21
IOPATH B[0] CO 2766.96:2766.96:2766.96 3006.2:3006.2:3006.2
IOPATH B[1] ACCUMCO 2259.17:2259.17:2259.17 2520.34:2520.34:2520.34
IOPATH B[1] CO 2831.58:2831.58:2831.58 3066.33:3066.33:3066.33
IOPATH B[2] ACCUMCO 2258.15:2258.15:2258.15 2486.01:2486.01:2486.01
IOPATH B[2] CO 2830.56:2830.56:2830.56 3032:3032:3032
IOPATH B[3] ACCUMCO 2131.59:2131.59:2131.59 2371.61:2371.61:2371.61
IOPATH B[3] CO 2704:2704:2704 2917.59:2917.59:2917.59
IOPATH B[4] ACCUMCO 2256.15:2256.15:2256.15 2508.41:2508.41:2508.41
IOPATH B[4] CO 2828.55:2828.55:2828.55 3054.4:3054.4:3054.4
IOPATH B[5] ACCUMCO 2253.09:2253.09:2253.09 2567.81:2567.81:2567.81
IOPATH B[5] CO 2825.49:2825.49:2825.49 3113.8:3113.8:3113.8
IOPATH B[6] ACCUMCO 2225.34:2225.34:2225.34 2496:2496:2496
IOPATH B[6] CO 2797.75:2797.75:2797.75 3041.99:3041.99:3041.99
IOPATH B[7] ACCUMCO 2174.43:2174.43:2174.43 2417.76:2417.76:2417.76
IOPATH B[7] CO 2746.84:2746.84:2746.84 2963.74:2963.74:2963.74
IOPATH B[8] ACCUMCO 2093.22:2093.22:2093.22 2264.47:2264.47:2264.47
IOPATH B[8] CO 2665.63:2665.63:2665.63 2810.46:2810.46:2810.46
IOPATH B[9] ACCUMCO 2194.25:2194.25:2194.25 2316.12:2316.12:2316.12
IOPATH B[9] CO 2766.65:2766.65:2766.65 2862.11:2862.11:2862.11
IOPATH B[10] ACCUMCO 2145.64:2145.64:2145.64 2269.49:2269.49:2269.49
IOPATH B[10] CO 2718.05:2718.05:2718.05 2815.48:2815.48:2815.48
IOPATH B[11] ACCUMCO 2247.87:2247.87:2247.87 2337.51:2337.51:2337.51
IOPATH B[11] CO 2820.27:2820.27:2820.27 2883.49:2883.49:2883.49
IOPATH B[12] ACCUMCO 2275.08:2275.08:2275.08 2466.88:2466.88:2466.88
IOPATH B[12] CO 2847.48:2847.48:2847.48 3012.87:3012.87:3012.87
IOPATH B[13] ACCUMCO 2155.04:2155.04:2155.04 2345.71:2345.71:2345.71
IOPATH B[13] CO 2727.44:2727.44:2727.44 2891.7:2891.7:2891.7
IOPATH B[14] ACCUMCO 2114.35:2114.35:2114.35 2217.9:2217.9:2217.9
IOPATH B[14] CO 2686.76:2686.76:2686.76 2763.89:2763.89:2763.89
IOPATH B[15] ACCUMCO 2339.95:2339.95:2339.95 2480.28:2480.28:2480.28
IOPATH B[15] CO 2912.35:2912.35:2912.35 3026.27:3026.27:3026.27
IOPATH CI ACCUMCO 1202.55:1202.55:1202.55 1272.89:1272.89:1272.89
IOPATH CI CO 1774.95:1774.95:1774.95 1818.88:1818.88:1818.88
IOPATH posedge:CLK ACCUMCO 2626.57:2626.57:2626.57 2846.62:2846.62:2846.62
IOPATH posedge:CLK CO 3198.97:3198.97:3198.97 3392.61:3392.61:3392.61
IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49
IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269
IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73
IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37
IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14
IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45
IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64
IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21
IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36
IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03
IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95
IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62
IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69
IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56
IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3
IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376
IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62
IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7
IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22
IOPATH posedge:CLK O[19] 1381.9:1381.9:1381.9 1497.63:1497.63:1497.63
IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69
IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86
IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92
IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86
IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3
IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54
IOPATH posedge:CLK O[26] 1239.33:1239.33:1239.33 1368.51:1368.51:1368.51
IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48
IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94
IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33
IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97
IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95
CELL SB_MAC16_ADS_U_32P32_ALL_PIPELINE
HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246
HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741
HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99
HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2
HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945
HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875
HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084
HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786
HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124
HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61
HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622
HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057
HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708
HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794
HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812
HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546
HOLD negedge:ADDSUBBOT posedge:CLK 68.653:68.653:68.653
HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575
HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028
HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318
HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007
HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98
HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743
HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609
HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274
HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668
HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88
HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905
HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213
HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869
HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724
HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759
HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851
HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756
HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689
HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143
HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019
HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19
HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487
HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38
HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834
HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059
HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288
HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096
HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083
HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184
HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033
HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949
HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169
HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937
HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235
HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549
HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429
HOLD negedge:CI posedge:CLK -166.545:-166.545:-166.545
HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878
HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317
HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125
HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239
HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004
HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749
HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895
HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237
HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011
HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363
HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158
HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257
HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946
HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826
HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441
HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634
HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001
HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226
HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282
HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798
HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665
HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322
HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322
HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459
HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852
HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475
HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36
HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946
HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919
HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172
HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888
HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785
HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088
HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14
HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736
HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36
HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019
HOLD posedge:ADDSUBBOT posedge:CLK 57.867:57.867:57.867
HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173
HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435
HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268
HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656
HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102
HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267
HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178
HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66
HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52
HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363
HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228
HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105
HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81
HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215
HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332
HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53
HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905
HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892
HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57
HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313
HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675
HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519
HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574
HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811
HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306
HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812
HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702
HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862
HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001
HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338
HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318
HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393
HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921
HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495
HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588
HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582
HOLD posedge:CI posedge:CLK -159.601:-159.601:-159.601
HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936
HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924
HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822
HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464
HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375
HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025
HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529
HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477
HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339
HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361
HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15
HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024
HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327
HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007
HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511
HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689
HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151
HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891
HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884
HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27
HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913
RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559
RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107
RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935
RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441
RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0
RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0
RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0
RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0
SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473
SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881
SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986
SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638
SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238
SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595
SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652
SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925
SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479
SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901
SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604
SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005
SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201
SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344
SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514
SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39
SETUP negedge:ADDSUBBOT posedge:CLK 1955.16:1955.16:1955.16
SETUP negedge:ADDSUBTOP posedge:CLK 1386.42:1386.42:1386.42
SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142
SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502
SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051
SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579
SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621
SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745
SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918
SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289
SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367
SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684
SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778
SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205
SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766
SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714
SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597
SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967
SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196
SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441
SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088
SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488
SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958
SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861
SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449
SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561
SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749
SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793
SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198
SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708
SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965
SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909
SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105
SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516
SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482
SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58
SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583
SETUP negedge:CI posedge:CLK 1279.42:1279.42:1279.42
SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447
SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711
SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393
SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595
SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159
SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55
SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331
SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414
SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946
SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741
SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825
SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672
SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497
SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431
SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009
SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209
SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354
SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725
SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298
SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673
SETUP negedge:OLOADTOP posedge:CLK 278.306:278.306:278.306
SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512
SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696
SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595
SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939
SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245
SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992
SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085
SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191
SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441
SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648
SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25
SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189
SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236
SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669
SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47
SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253
SETUP posedge:ADDSUBBOT posedge:CLK 1997.36:1997.36:1997.36
SETUP posedge:ADDSUBTOP posedge:CLK 1424.31:1424.31:1424.31
SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311
SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762
SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073
SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814
SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415
SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082
SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634
SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568
SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846
SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375
SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785
SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875
SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631
SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556
SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908
SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683
SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426
SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104
SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649
SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462
SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661
SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11
SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401
SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085
SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064
SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84
SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954
SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79
SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967
SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927
SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279
SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749
SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83
SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046
SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68
SETUP posedge:CI posedge:CLK 1198.87:1198.87:1198.87
SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413
SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733
SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518
SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675
SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605
SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758
SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128
SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187
SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692
SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364
SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081
SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016
SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487
SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07
SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539
SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431
SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771
SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816
SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37
SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775
SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118
IOPATH ADDSUBBOT ACCUMCO 2267.19:2267.19:2267.19 2503.75:2503.75:2503.75
IOPATH ADDSUBBOT CO 2839.59:2839.59:2839.59 3049.73:3049.73:3049.73
IOPATH ADDSUBTOP ACCUMCO 1607.9:1607.9:1607.9 1895.47:1895.47:1895.47
IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378
IOPATH CI ACCUMCO 1219.89:1219.89:1219.89 1283.26:1283.26:1283.26
IOPATH CI CO 1792.3:1792.3:1792.3 1829.25:1829.25:1829.25
IOPATH posedge:CLK ACCUMCO 2882.62:2882.62:2882.62 3128.68:3128.68:3128.68
IOPATH posedge:CLK CO 3455.02:3455.02:3455.02 3674.66:3674.66:3674.66
IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88
IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269
IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73
IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37
IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14
IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45
IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64
IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21
IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36
IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28
IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95
IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98
IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69
IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91
IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2
IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376
IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56
IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63
IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77
IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97
IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91
IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98
IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92
IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86
IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81
IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54
IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67
IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48
IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94
IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65
IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97
IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95
IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04
CELL SB_MAC16_ADS_U_32P32_BYPASS
IOPATH A[0] ACCUMCO 1473.75:1473.75:1473.75 1927.31:1927.31:1927.31
IOPATH A[0] CO 2046.16:2046.16:2046.16 2473.3:2473.3:2473.3
IOPATH A[0] O[16] 1873.55:1873.55:1873.55 2109.41:2109.41:2109.41
IOPATH A[0] O[17] 1998.32:1998.32:1998.32 2206.56:2206.56:2206.56
IOPATH A[0] O[18] 2113.84:2113.84:2113.84 2348.8:2348.8:2348.8
IOPATH A[0] O[19] 2281.86:2281.86:2281.86 2460.91:2460.91:2460.91
IOPATH A[0] O[20] 2420.18:2420.18:2420.18 2591.34:2591.34:2591.34
IOPATH A[0] O[21] 2356.24:2356.24:2356.24 2521.77:2521.77:2521.77
IOPATH A[0] O[22] 2522:2522:2522 2727.94:2727.94:2727.94
IOPATH A[0] O[23] 2582.12:2582.12:2582.12 2759.03:2759.03:2759.03
IOPATH A[0] O[24] 2496.17:2496.17:2496.17 2653.6:2653.6:2653.6
IOPATH A[0] O[25] 2609.16:2609.16:2609.16 2792.12:2792.12:2792.12
IOPATH A[0] O[26] 2772.04:2772.04:2772.04 2972.33:2972.33:2972.33
IOPATH A[0] O[27] 2763.01:2763.01:2763.01 2927.46:2927.46:2927.46
IOPATH A[0] O[28] 2693.81:2693.81:2693.81 2851.37:2851.37:2851.37
IOPATH A[0] O[29] 2778.97:2778.97:2778.97 2939.5:2939.5:2939.5
IOPATH A[0] O[30] 2845.96:2845.96:2845.96 2975.95:2975.95:2975.95
IOPATH A[0] O[31] 2896.37:2896.37:2896.37 2986.49:2986.49:2986.49
IOPATH A[1] ACCUMCO 1711.73:1711.73:1711.73 1984.9:1984.9:1984.9
IOPATH A[1] CO 2284.13:2284.13:2284.13 2530.89:2530.89:2530.89
IOPATH A[1] O[17] 1948.99:1948.99:1948.99 2157.24:2157.24:2157.24
IOPATH A[1] O[18] 2100.64:2100.64:2100.64 2335.59:2335.59:2335.59
IOPATH A[1] O[19] 2268.65:2268.65:2268.65 2444.42:2444.42:2444.42
IOPATH A[1] O[20] 2477.77:2477.77:2477.77 2648.93:2648.93:2648.93
IOPATH A[1] O[21] 2413.84:2413.84:2413.84 2579.37:2579.37:2579.37
IOPATH A[1] O[22] 2579.59:2579.59:2579.59 2785.53:2785.53:2785.53
IOPATH A[1] O[23] 2639.72:2639.72:2639.72 2816.63:2816.63:2816.63
IOPATH A[1] O[24] 2553.77:2553.77:2553.77 2711.2:2711.2:2711.2
IOPATH A[1] O[25] 2666.75:2666.75:2666.75 2849.71:2849.71:2849.71
IOPATH A[1] O[26] 2829.64:2829.64:2829.64 3029.92:3029.92:3029.92
IOPATH A[1] O[27] 2820.6:2820.6:2820.6 2985.06:2985.06:2985.06
IOPATH A[1] O[28] 2751.4:2751.4:2751.4 2908.96:2908.96:2908.96
IOPATH A[1] O[29] 2836.56:2836.56:2836.56 2997.09:2997.09:2997.09
IOPATH A[1] O[30] 2903.55:2903.55:2903.55 3033.54:3033.54:3033.54
IOPATH A[1] O[31] 2953.96:2953.96:2953.96 3044.08:3044.08:3044.08
IOPATH A[2] ACCUMCO 1654.73:1654.73:1654.73 1866.47:1866.47:1866.47
IOPATH A[2] CO 2227.13:2227.13:2227.13 2412.46:2412.46:2412.46
IOPATH A[2] O[18] 1932.5:1932.5:1932.5 2167.45:2167.45:2167.45
IOPATH A[2] O[19] 2135.47:2135.47:2135.47 2311.25:2311.25:2311.25
IOPATH A[2] O[20] 2371.31:2371.31:2371.31 2530.5:2530.5:2530.5
IOPATH A[2] O[21] 2295.28:2295.28:2295.28 2460.93:2460.93:2460.93
IOPATH A[2] O[22] 2461.15:2461.15:2461.15 2667.09:2667.09:2667.09
IOPATH A[2] O[23] 2521.28:2521.28:2521.28 2698.19:2698.19:2698.19
IOPATH A[2] O[24] 2435.33:2435.33:2435.33 2592.76:2592.76:2592.76
IOPATH A[2] O[25] 2548.32:2548.32:2548.32 2731.28:2731.28:2731.28
IOPATH A[2] O[26] 2711.2:2711.2:2711.2 2911.49:2911.49:2911.49
IOPATH A[2] O[27] 2702.17:2702.17:2702.17 2866.62:2866.62:2866.62
IOPATH A[2] O[28] 2632.97:2632.97:2632.97 2790.52:2790.52:2790.52
IOPATH A[2] O[29] 2718.12:2718.12:2718.12 2878.66:2878.66:2878.66
IOPATH A[2] O[30] 2785.12:2785.12:2785.12 2915.11:2915.11:2915.11
IOPATH A[2] O[31] 2835.53:2835.53:2835.53 2925.65:2925.65:2925.65
IOPATH A[3] ACCUMCO 1565.09:1565.09:1565.09 1792.96:1792.96:1792.96
IOPATH A[3] CO 2137.49:2137.49:2137.49 2338.95:2338.95:2338.95
IOPATH A[3] O[19] 1985.7:1985.7:1985.7 2161.47:2161.47:2161.47
IOPATH A[3] O[20] 2286.43:2286.43:2286.43 2457.6:2457.6:2457.6
IOPATH A[3] O[21] 2222.5:2222.5:2222.5 2388.03:2388.03:2388.03
IOPATH A[3] O[22] 2388.25:2388.25:2388.25 2594.19:2594.19:2594.19
IOPATH A[3] O[23] 2448.38:2448.38:2448.38 2625.29:2625.29:2625.29
IOPATH A[3] O[24] 2362.42:2362.42:2362.42 2519.85:2519.85:2519.85
IOPATH A[3] O[25] 2475.41:2475.41:2475.41 2658.37:2658.37:2658.37
IOPATH A[3] O[26] 2638.29:2638.29:2638.29 2838.58:2838.58:2838.58
IOPATH A[3] O[27] 2629.26:2629.26:2629.26 2793.71:2793.71:2793.71
IOPATH A[3] O[28] 2560.06:2560.06:2560.06 2717.62:2717.62:2717.62
IOPATH A[3] O[29] 2645.22:2645.22:2645.22 2805.75:2805.75:2805.75
IOPATH A[3] O[30] 2712.21:2712.21:2712.21 2842.2:2842.2:2842.2
IOPATH A[3] O[31] 2762.62:2762.62:2762.62 2852.74:2852.74:2852.74
IOPATH A[4] ACCUMCO 1596.39:1596.39:1596.39 1990.71:1990.71:1990.71
IOPATH A[4] CO 2168.8:2168.8:2168.8 2536.7:2536.7:2536.7
IOPATH A[4] O[20] 1974.23:1974.23:1974.23 2129.47:2129.47:2129.47
IOPATH A[4] O[21] 1935.69:1935.69:1935.69 2085.19:2085.19:2085.19
IOPATH A[4] O[22] 2087.68:2087.68:2087.68 2278.64:2278.64:2278.64
IOPATH A[4] O[23] 2136.29:2136.29:2136.29 2298.84:2298.84:2298.84
IOPATH A[4] O[24] 2329.39:2329.39:2329.39 2486.82:2486.82:2486.82
IOPATH A[4] O[25] 2442.37:2442.37:2442.37 2625.33:2625.33:2625.33
IOPATH A[4] O[26] 2605.26:2605.26:2605.26 2805.54:2805.54:2805.54
IOPATH A[4] O[27] 2596.22:2596.22:2596.22 2760.67:2760.67:2760.67
IOPATH A[4] O[28] 2526.98:2526.98:2526.98 2684.54:2684.54:2684.54
IOPATH A[4] O[29] 2612.14:2612.14:2612.14 2772.68:2772.68:2772.68
IOPATH A[4] O[30] 2679.14:2679.14:2679.14 2809.12:2809.12:2809.12
IOPATH A[4] O[31] 2729.54:2729.54:2729.54 2819.66:2819.66:2819.66
IOPATH A[5] ACCUMCO 1532.78:1532.78:1532.78 1826.74:1826.74:1826.74
IOPATH A[5] CO 2105.19:2105.19:2105.19 2372.72:2372.72:2372.72
IOPATH A[5] O[21] 1706.96:1706.96:1706.96 1856.46:1856.46:1856.46
IOPATH A[5] O[22] 1895.05:1895.05:1895.05 2086.01:2086.01:2086.01
IOPATH A[5] O[23] 1943.66:1943.66:1943.66 2106.21:2106.21:2106.21
IOPATH A[5] O[24] 2165.43:2165.43:2165.43 2322.85:2322.85:2322.85
IOPATH A[5] O[25] 2278.4:2278.4:2278.4 2461.36:2461.36:2461.36
IOPATH A[5] O[26] 2441.28:2441.28:2441.28 2641.57:2641.57:2641.57
IOPATH A[5] O[27] 2432.25:2432.25:2432.25 2596.7:2596.7:2596.7
IOPATH A[5] O[28] 2363.01:2363.01:2363.01 2520.57:2520.57:2520.57
IOPATH A[5] O[29] 2448.17:2448.17:2448.17 2608.71:2608.71:2608.71
IOPATH A[5] O[30] 2515.17:2515.17:2515.17 2645.15:2645.15:2645.15
IOPATH A[5] O[31] 2565.57:2565.57:2565.57 2655.7:2655.7:2655.7
IOPATH A[6] ACCUMCO 1676.35:1676.35:1676.35 1928.47:1928.47:1928.47
IOPATH A[6] CO 2248.75:2248.75:2248.75 2474.46:2474.46:2474.46
IOPATH A[6] O[22] 1929.48:1929.48:1929.48 2120.44:2120.44:2120.44
IOPATH A[6] O[23] 2013.94:2013.94:2013.94 2176.49:2176.49:2176.49
IOPATH A[6] O[24] 2278.36:2278.36:2278.36 2424.58:2424.58:2424.58
IOPATH A[6] O[25] 2380.14:2380.14:2380.14 2563.1:2563.1:2563.1
IOPATH A[6] O[26] 2543.02:2543.02:2543.02 2743.31:2743.31:2743.31
IOPATH A[6] O[27] 2533.99:2533.99:2533.99 2698.44:2698.44:2698.44
IOPATH A[6] O[28] 2464.75:2464.75:2464.75 2622.31:2622.31:2622.31
IOPATH A[6] O[29] 2549.91:2549.91:2549.91 2710.44:2710.44:2710.44
IOPATH A[6] O[30] 2616.9:2616.9:2616.9 2746.89:2746.89:2746.89
IOPATH A[6] O[31] 2667.31:2667.31:2667.31 2757.43:2757.43:2757.43
IOPATH A[7] ACCUMCO 1609.07:1609.07:1609.07 1852.65:1852.65:1852.65
IOPATH A[7] CO 2181.47:2181.47:2181.47 2398.64:2398.64:2398.64
IOPATH A[7] O[23] 1859.93:1859.93:1859.93 2022.48:2022.48:2022.48
IOPATH A[7] O[24] 2221.66:2221.66:2221.66 2364.02:2364.02:2364.02
IOPATH A[7] O[25] 2320.58:2320.58:2320.58 2488.48:2488.48:2488.48
IOPATH A[7] O[26] 2471.36:2471.36:2471.36 2668.69:2668.69:2668.69
IOPATH A[7] O[27] 2459.37:2459.37:2459.37 2623.82:2623.82:2623.82
IOPATH A[7] O[28] 2390.13:2390.13:2390.13 2547.68:2547.68:2547.68
IOPATH A[7] O[29] 2475.28:2475.28:2475.28 2635.82:2635.82:2635.82
IOPATH A[7] O[30] 2542.28:2542.28:2542.28 2672.27:2672.27:2672.27
IOPATH A[7] O[31] 2592.69:2592.69:2592.69 2682.81:2682.81:2682.81
IOPATH A[8] ACCUMCO 1566.32:1566.32:1566.32 1820.86:1820.86:1820.86
IOPATH A[8] CO 2138.72:2138.72:2138.72 2366.85:2366.85:2366.85
IOPATH A[8] O[24] 1817.85:1817.85:1817.85 1960.21:1960.21:1960.21
IOPATH A[8] O[25] 1954.44:1954.44:1954.44 2121.68:2121.68:2121.68
IOPATH A[8] O[26] 2105.23:2105.23:2105.23 2290.23:2290.23:2290.23
IOPATH A[8] O[27] 2086.3:2086.3:2086.3 2235.19:2235.19:2235.19
IOPATH A[8] O[28] 2288.83:2288.83:2288.83 2446.38:2446.38:2446.38
IOPATH A[8] O[29] 2373.98:2373.98:2373.98 2534.52:2534.52:2534.52
IOPATH A[8] O[30] 2440.98:2440.98:2440.98 2570.97:2570.97:2570.97
IOPATH A[8] O[31] 2491.39:2491.39:2491.39 2581.51:2581.51:2581.51
IOPATH A[9] ACCUMCO 1685.82:1685.82:1685.82 1937.96:1937.96:1937.96
IOPATH A[9] CO 2258.23:2258.23:2258.23 2483.94:2483.94:2483.94
IOPATH A[9] O[25] 1936.15:1936.15:1936.15 2103.39:2103.39:2103.39
IOPATH A[9] O[26] 2123.3:2123.3:2123.3 2308.31:2308.31:2308.31
IOPATH A[9] O[27] 2104.37:2104.37:2104.37 2253.26:2253.26:2253.26
IOPATH A[9] O[28] 2405.93:2405.93:2405.93 2563.48:2563.48:2563.48
IOPATH A[9] O[29] 2491.08:2491.08:2491.08 2651.61:2651.61:2651.61
IOPATH A[9] O[30] 2558.07:2558.07:2558.07 2688.06:2688.06:2688.06
IOPATH A[9] O[31] 2608.48:2608.48:2608.48 2698.6:2698.6:2698.6
IOPATH A[10] ACCUMCO 1570.85:1570.85:1570.85 1726.27:1726.27:1726.27
IOPATH A[10] CO 2143.25:2143.25:2143.25 2272.26:2272.26:2272.26
IOPATH A[10] O[26] 1876.91:1876.91:1876.91 2061.92:2061.92:2061.92
IOPATH A[10] O[27] 1893.72:1893.72:1893.72 2042.61:2042.61:2042.61
IOPATH A[10] O[28] 2184.69:2184.69:2184.69 2342.39:2342.39:2342.39
IOPATH A[10] O[29] 2269.98:2269.98:2269.98 2430.52:2430.52:2430.52
IOPATH A[10] O[30] 2336.98:2336.98:2336.98 2466.97:2466.97:2466.97
IOPATH A[10] O[31] 2387.39:2387.39:2387.39 2477.51:2477.51:2477.51
IOPATH A[11] ACCUMCO 1426.38:1426.38:1426.38 1589.66:1589.66:1589.66
IOPATH A[11] CO 1998.78:1998.78:1998.78 2135.65:2135.65:2135.65
IOPATH A[11] O[27] 1652.87:1652.87:1652.87 1801.77:1801.77:1801.77
IOPATH A[11] O[28] 2019.2:2019.2:2019.2 2174.11:2174.11:2174.11
IOPATH A[11] O[29] 2101.7:2101.7:2101.7 2262.24:2262.24:2262.24
IOPATH A[11] O[30] 2168.7:2168.7:2168.7 2298.69:2298.69:2298.69
IOPATH A[11] O[31] 2219.11:2219.11:2219.11 2309.23:2309.23:2309.23
IOPATH A[12] ACCUMCO 1424.4:1424.4:1424.4 1617.79:1617.79:1617.79
IOPATH A[12] CO 1996.81:1996.81:1996.81 2163.78:2163.78:2163.78
IOPATH A[12] O[28] 1660.43:1660.43:1660.43 1802.15:1802.15:1802.15
IOPATH A[12] O[29] 1769.09:1769.09:1769.09 1913.37:1913.37:1913.37
IOPATH A[12] O[30] 1822.99:1822.99:1822.99 1941.49:1941.49:1941.49
IOPATH A[12] O[31] 1861.91:1861.91:1861.91 1952.71:1952.71:1952.71
IOPATH A[13] ACCUMCO 1485.85:1485.85:1485.85 1645.15:1645.15:1645.15
IOPATH A[13] CO 2058.25:2058.25:2058.25 2191.14:2191.14:2191.14
IOPATH A[13] O[29] 1693.22:1693.22:1693.22 1837.49:1837.49:1837.49
IOPATH A[13] O[30] 1783.13:1783.13:1783.13 1899.84:1899.84:1899.84
IOPATH A[13] O[31] 1828.64:1828.64:1828.64 1912.84:1912.84:1912.84
IOPATH A[14] ACCUMCO 1549.44:1549.44:1549.44 1630.6:1630.6:1630.6
IOPATH A[14] CO 2121.84:2121.84:2121.84 2176.59:2176.59:2176.59
IOPATH A[14] O[30] 1722.21:1722.21:1722.21 1838.92:1838.92:1838.92
IOPATH A[14] O[31] 1803.31:1803.31:1803.31 1887.52:1887.52:1887.52
IOPATH A[15] ACCUMCO 1630.95:1630.95:1630.95 1787.14:1787.14:1787.14
IOPATH A[15] CO 2203.35:2203.35:2203.35 2333.13:2333.13:2333.13
IOPATH A[15] O[31] 1831.86:1831.86:1831.86 1893.08:1893.08:1893.08
IOPATH A[15] SIGNEXTOUT 864.788:864.788:864.788 824.954:824.954:824.954
IOPATH ADDSUBBOT ACCUMCO 2246.92:2246.92:2246.92 2490.81:2490.81:2490.81
IOPATH ADDSUBBOT CO 2819.33:2819.33:2819.33 3036.8:3036.8:3036.8
IOPATH ADDSUBBOT O[0] 1487.51:1487.51:1487.51 1615.96:1615.96:1615.96
IOPATH ADDSUBBOT O[1] 1584.22:1584.22:1584.22 1689.25:1689.25:1689.25
IOPATH ADDSUBBOT O[2] 1707.32:1707.32:1707.32 1832.64:1832.64:1832.64
IOPATH ADDSUBBOT O[3] 1803.68:1803.68:1803.68 1934.88:1934.88:1934.88
IOPATH ADDSUBBOT O[4] 2085.17:2085.17:2085.17 2212.01:2212.01:2212.01
IOPATH ADDSUBBOT O[5] 2209.32:2209.32:2209.32 2356.03:2356.03:2356.03
IOPATH ADDSUBBOT O[6] 2350.55:2350.55:2350.55 2508:2508:2508
IOPATH ADDSUBBOT O[7] 2408.62:2408.62:2408.62 2556.95:2556.95:2556.95
IOPATH ADDSUBBOT O[8] 2398.23:2398.23:2398.23 2578.55:2578.55:2578.55
IOPATH ADDSUBBOT O[9] 2527.92:2527.92:2527.92 2728.01:2728.01:2728.01
IOPATH ADDSUBBOT O[10] 2593.92:2593.92:2593.92 2773.97:2773.97:2773.97
IOPATH ADDSUBBOT O[11] 2820.33:2820.33:2820.33 2994.7:2994.7:2994.7
IOPATH ADDSUBBOT O[12] 2615.3:2615.3:2615.3 2768.67:2768.67:2768.67
IOPATH ADDSUBBOT O[13] 2727.35:2727.35:2727.35 2888.29:2888.29:2888.29
IOPATH ADDSUBBOT O[14] 3052.31:3052.31:3052.31 3231.48:3231.48:3231.48
IOPATH ADDSUBBOT O[15] 2963.14:2963.14:2963.14 3156.5:3156.5:3156.5
IOPATH ADDSUBBOT O[16] 3064.75:3064.75:3064.75 3315.51:3315.51:3315.51
IOPATH ADDSUBBOT O[17] 3164.04:3164.04:3164.04 3387.78:3387.78:3387.78
IOPATH ADDSUBBOT O[18] 3295.03:3295.03:3295.03 3545.48:3545.48:3545.48
IOPATH ADDSUBBOT O[19] 3473.77:3473.77:3473.77 3664.72:3664.72:3664.72
IOPATH ADDSUBBOT O[20] 3349.6:3349.6:3349.6 3520.77:3520.77:3520.77
IOPATH ADDSUBBOT O[21] 3285.67:3285.67:3285.67 3451.2:3451.2:3451.2
IOPATH ADDSUBBOT O[22] 3451.43:3451.43:3451.43 3657.36:3657.36:3657.36
IOPATH ADDSUBBOT O[23] 3511.55:3511.55:3511.55 3688.46:3688.46:3688.46
IOPATH ADDSUBBOT O[24] 3425.64:3425.64:3425.64 3583.07:3583.07:3583.07
IOPATH ADDSUBBOT O[25] 3538.62:3538.62:3538.62 3721.58:3721.58:3721.58
IOPATH ADDSUBBOT O[26] 3701.51:3701.51:3701.51 3901.79:3901.79:3901.79
IOPATH ADDSUBBOT O[27] 3692.47:3692.47:3692.47 3856.93:3856.93:3856.93
IOPATH ADDSUBBOT O[28] 3623.27:3623.27:3623.27 3780.83:3780.83:3780.83
IOPATH ADDSUBBOT O[29] 3708.43:3708.43:3708.43 3868.97:3868.97:3868.97
IOPATH ADDSUBBOT O[30] 3775.43:3775.43:3775.43 3905.41:3905.41:3905.41
IOPATH ADDSUBBOT O[31] 3825.83:3825.83:3825.83 3915.95:3915.95:3915.95
IOPATH ADDSUBTOP ACCUMCO 1606.18:1606.18:1606.18 1892.74:1892.74:1892.74
IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116
IOPATH ADDSUBTOP O[16] 1843.02:1843.02:1843.02 2087.73:2087.73:2087.73
IOPATH ADDSUBTOP O[17] 1967.89:1967.89:1967.89 2184.56:2184.56:2184.56
IOPATH ADDSUBTOP O[18] 2091.82:2091.82:2091.82 2342.27:2342.27:2342.27
IOPATH ADDSUBTOP O[19] 2270.56:2270.56:2270.56 2461.51:2461.51:2461.51
IOPATH ADDSUBTOP O[20] 2385.6:2385.6:2385.6 2556.77:2556.77:2556.77
IOPATH ADDSUBTOP O[21] 2321.67:2321.67:2321.67 2487.2:2487.2:2487.2
IOPATH ADDSUBTOP O[22] 2487.42:2487.42:2487.42 2693.36:2693.36:2693.36
IOPATH ADDSUBTOP O[23] 2547.55:2547.55:2547.55 2724.46:2724.46:2724.46
IOPATH ADDSUBTOP O[24] 2461.6:2461.6:2461.6 2619.03:2619.03:2619.03
IOPATH ADDSUBTOP O[25] 2574.59:2574.59:2574.59 2757.55:2757.55:2757.55
IOPATH ADDSUBTOP O[26] 2737.47:2737.47:2737.47 2937.76:2937.76:2937.76
IOPATH ADDSUBTOP O[27] 2728.44:2728.44:2728.44 2892.89:2892.89:2892.89
IOPATH ADDSUBTOP O[28] 2659.24:2659.24:2659.24 2816.79:2816.79:2816.79
IOPATH ADDSUBTOP O[29] 2744.39:2744.39:2744.39 2904.93:2904.93:2904.93
IOPATH ADDSUBTOP O[30] 2811.39:2811.39:2811.39 2941.38:2941.38:2941.38
IOPATH ADDSUBTOP O[31] 2861.8:2861.8:2861.8 2951.92:2951.92:2951.92
IOPATH B[0] ACCUMCO 2173.89:2173.89:2173.89 2437.17:2437.17:2437.17
IOPATH B[0] CO 2746.3:2746.3:2746.3 2983.16:2983.16:2983.16
IOPATH B[0] O[0] 1616.92:1616.92:1616.92 1766.85:1766.85:1766.85
IOPATH B[0] O[1] 1730.89:1730.89:1730.89 1840.16:1840.16:1840.16
IOPATH B[0] O[2] 1858.23:1858.23:1858.23 1983.54:1983.54:1983.54
IOPATH B[0] O[3] 1954.59:1954.59:1954.59 2085.79:2085.79:2085.79
IOPATH B[0] O[4] 2101.7:2101.7:2101.7 2228.55:2228.55:2228.55
IOPATH B[0] O[5] 2225.85:2225.85:2225.85 2372.56:2372.56:2372.56
IOPATH B[0] O[6] 2367.09:2367.09:2367.09 2524.54:2524.54:2524.54
IOPATH B[0] O[7] 2425.16:2425.16:2425.16 2573.48:2573.48:2573.48
IOPATH B[0] O[8] 2414.76:2414.76:2414.76 2595.09:2595.09:2595.09
IOPATH B[0] O[9] 2544.45:2544.45:2544.45 2744.54:2744.54:2744.54
IOPATH B[0] O[10] 2610.45:2610.45:2610.45 2790.51:2790.51:2790.51
IOPATH B[0] O[11] 2836.86:2836.86:2836.86 3011.24:3011.24:3011.24
IOPATH B[0] O[12] 2631.83:2631.83:2631.83 2785.21:2785.21:2785.21
IOPATH B[0] O[13] 2743.88:2743.88:2743.88 2904.82:2904.82:2904.82
IOPATH B[0] O[14] 3068.84:3068.84:3068.84 3248.01:3248.01:3248.01
IOPATH B[0] O[15] 2979.67:2979.67:2979.67 3173.04:3173.04:3173.04
IOPATH B[0] O[16] 3011.11:3011.11:3011.11 3261.87:3261.87:3261.87
IOPATH B[0] O[17] 3110.39:3110.39:3110.39 3334.13:3334.13:3334.13
IOPATH B[0] O[18] 3241.38:3241.38:3241.38 3491.83:3491.83:3491.83
IOPATH B[0] O[19] 3420.12:3420.12:3420.12 3611.07:3611.07:3611.07
IOPATH B[0] O[20] 3295.95:3295.95:3295.95 3467.12:3467.12:3467.12
IOPATH B[0] O[21] 3232.03:3232.03:3232.03 3397.56:3397.56:3397.56
IOPATH B[0] O[22] 3397.78:3397.78:3397.78 3603.72:3603.72:3603.72
IOPATH B[0] O[23] 3457.91:3457.91:3457.91 3634.82:3634.82:3634.82
IOPATH B[0] O[24] 3371.99:3371.99:3371.99 3529.42:3529.42:3529.42
IOPATH B[0] O[25] 3484.98:3484.98:3484.98 3667.94:3667.94:3667.94
IOPATH B[0] O[26] 3647.86:3647.86:3647.86 3848.15:3848.15:3848.15
IOPATH B[0] O[27] 3638.83:3638.83:3638.83 3803.28:3803.28:3803.28
IOPATH B[0] O[28] 3569.63:3569.63:3569.63 3727.19:3727.19:3727.19
IOPATH B[0] O[29] 3654.78:3654.78:3654.78 3815.32:3815.32:3815.32
IOPATH B[0] O[30] 3721.78:3721.78:3721.78 3851.77:3851.77:3851.77
IOPATH B[0] O[31] 3772.19:3772.19:3772.19 3862.31:3862.31:3862.31
IOPATH B[1] ACCUMCO 2244.46:2244.46:2244.46 2500.09:2500.09:2500.09
IOPATH B[1] CO 2816.86:2816.86:2816.86 3046.08:3046.08:3046.08
IOPATH B[1] O[1] 1624.74:1624.74:1624.74 1759.2:1759.2:1759.2
IOPATH B[1] O[2] 1801.85:1801.85:1801.85 1927.16:1927.16:1927.16
IOPATH B[1] O[3] 1898.2:1898.2:1898.2 2029.41:2029.41:2029.41
IOPATH B[1] O[4] 2164.63:2164.63:2164.63 2291.47:2291.47:2291.47
IOPATH B[1] O[5] 2288.78:2288.78:2288.78 2435.49:2435.49:2435.49
IOPATH B[1] O[6] 2430.02:2430.02:2430.02 2587.47:2587.47:2587.47
IOPATH B[1] O[7] 2488.09:2488.09:2488.09 2636.41:2636.41:2636.41
IOPATH B[1] O[8] 2477.69:2477.69:2477.69 2658.02:2658.02:2658.02
IOPATH B[1] O[9] 2607.38:2607.38:2607.38 2807.47:2807.47:2807.47
IOPATH B[1] O[10] 2673.38:2673.38:2673.38 2853.44:2853.44:2853.44
IOPATH B[1] O[11] 2899.79:2899.79:2899.79 3074.17:3074.17:3074.17
IOPATH B[1] O[12] 2694.76:2694.76:2694.76 2848.13:2848.13:2848.13
IOPATH B[1] O[13] 2806.81:2806.81:2806.81 2967.75:2967.75:2967.75
IOPATH B[1] O[14] 3131.77:3131.77:3131.77 3310.94:3310.94:3310.94
IOPATH B[1] O[15] 3042.6:3042.6:3042.6 3235.97:3235.97:3235.97
IOPATH B[1] O[16] 3074.04:3074.04:3074.04 3324.79:3324.79:3324.79
IOPATH B[1] O[17] 3173.32:3173.32:3173.32 3397.06:3397.06:3397.06
IOPATH B[1] O[18] 3304.31:3304.31:3304.31 3554.76:3554.76:3554.76
IOPATH B[1] O[19] 3483.05:3483.05:3483.05 3674:3674:3674
IOPATH B[1] O[20] 3358.88:3358.88:3358.88 3530.05:3530.05:3530.05
IOPATH B[1] O[21] 3294.95:3294.95:3294.95 3460.48:3460.48:3460.48
IOPATH B[1] O[22] 3460.71:3460.71:3460.71 3666.64:3666.64:3666.64
IOPATH B[1] O[23] 3520.83:3520.83:3520.83 3697.74:3697.74:3697.74
IOPATH B[1] O[24] 3434.92:3434.92:3434.92 3592.35:3592.35:3592.35
IOPATH B[1] O[25] 3547.9:3547.9:3547.9 3730.86:3730.86:3730.86
IOPATH B[1] O[26] 3710.79:3710.79:3710.79 3911.07:3911.07:3911.07
IOPATH B[1] O[27] 3701.75:3701.75:3701.75 3866.21:3866.21:3866.21
IOPATH B[1] O[28] 3632.55:3632.55:3632.55 3790.11:3790.11:3790.11
IOPATH B[1] O[29] 3717.71:3717.71:3717.71 3878.25:3878.25:3878.25
IOPATH B[1] O[30] 3784.7:3784.7:3784.7 3914.69:3914.69:3914.69
IOPATH B[1] O[31] 3835.11:3835.11:3835.11 3925.23:3925.23:3925.23
IOPATH B[2] ACCUMCO 2237.7:2237.7:2237.7 2465.1:2465.1:2465.1
IOPATH B[2] CO 2810.1:2810.1:2810.1 3011.08:3011.08:3011.08
IOPATH B[2] O[2] 1671.3:1671.3:1671.3 1822.61:1822.61:1822.61
IOPATH B[2] O[3] 1818.17:1818.17:1818.17 1949.37:1949.37:1949.37
IOPATH B[2] O[4] 2129.63:2129.63:2129.63 2256.47:2256.47:2256.47
IOPATH B[2] O[5] 2253.78:2253.78:2253.78 2400.49:2400.49:2400.49
IOPATH B[2] O[6] 2395.02:2395.02:2395.02 2552.47:2552.47:2552.47
IOPATH B[2] O[7] 2453.09:2453.09:2453.09 2601.41:2601.41:2601.41
IOPATH B[2] O[8] 2442.69:2442.69:2442.69 2623.02:2623.02:2623.02
IOPATH B[2] O[9] 2572.38:2572.38:2572.38 2772.47:2772.47:2772.47
IOPATH B[2] O[10] 2638.38:2638.38:2638.38 2818.44:2818.44:2818.44
IOPATH B[2] O[11] 2864.79:2864.79:2864.79 3039.17:3039.17:3039.17
IOPATH B[2] O[12] 2659.76:2659.76:2659.76 2813.14:2813.14:2813.14
IOPATH B[2] O[13] 2771.81:2771.81:2771.81 2932.75:2932.75:2932.75
IOPATH B[2] O[14] 3096.77:3096.77:3096.77 3275.94:3275.94:3275.94
IOPATH B[2] O[15] 3007.6:3007.6:3007.6 3200.97:3200.97:3200.97
IOPATH B[2] O[16] 3039.04:3039.04:3039.04 3289.79:3289.79:3289.79
IOPATH B[2] O[17] 3138.32:3138.32:3138.32 3362.06:3362.06:3362.06
IOPATH B[2] O[18] 3269.31:3269.31:3269.31 3519.76:3519.76:3519.76
IOPATH B[2] O[19] 3448.05:3448.05:3448.05 3639:3639:3639
IOPATH B[2] O[20] 3323.88:3323.88:3323.88 3495.05:3495.05:3495.05
IOPATH B[2] O[21] 3259.96:3259.96:3259.96 3425.48:3425.48:3425.48
IOPATH B[2] O[22] 3425.71:3425.71:3425.71 3631.65:3631.65:3631.65
IOPATH B[2] O[23] 3485.83:3485.83:3485.83 3662.75:3662.75:3662.75
IOPATH B[2] O[24] 3399.92:3399.92:3399.92 3557.35:3557.35:3557.35
IOPATH B[2] O[25] 3512.9:3512.9:3512.9 3695.86:3695.86:3695.86
IOPATH B[2] O[26] 3675.79:3675.79:3675.79 3876.07:3876.07:3876.07
IOPATH B[2] O[27] 3666.76:3666.76:3666.76 3831.21:3831.21:3831.21
IOPATH B[2] O[28] 3597.55:3597.55:3597.55 3755.11:3755.11:3755.11
IOPATH B[2] O[29] 3682.71:3682.71:3682.71 3843.25:3843.25:3843.25
IOPATH B[2] O[30] 3749.71:3749.71:3749.71 3879.69:3879.69:3879.69
IOPATH B[2] O[31] 3800.11:3800.11:3800.11 3890.23:3890.23:3890.23
IOPATH B[3] ACCUMCO 2118.7:2118.7:2118.7 2353.52:2353.52:2353.52
IOPATH B[3] CO 2691.11:2691.11:2691.11 2899.51:2899.51:2899.51
IOPATH B[3] O[3] 1604.07:1604.07:1604.07 1759.87:1759.87:1759.87
IOPATH B[3] O[4] 2018.91:2018.91:2018.91 2145.76:2145.76:2145.76
IOPATH B[3] O[5] 2143.06:2143.06:2143.06 2289.77:2289.77:2289.77
IOPATH B[3] O[6] 2284.3:2284.3:2284.3 2441.75:2441.75:2441.75
IOPATH B[3] O[7] 2342.37:2342.37:2342.37 2490.69:2490.69:2490.69
IOPATH B[3] O[8] 2331.97:2331.97:2331.97 2512.3:2512.3:2512.3
IOPATH B[3] O[9] 2461.66:2461.66:2461.66 2661.75:2661.75:2661.75
IOPATH B[3] O[10] 2527.66:2527.66:2527.66 2707.72:2707.72:2707.72
IOPATH B[3] O[11] 2754.07:2754.07:2754.07 2928.45:2928.45:2928.45
IOPATH B[3] O[12] 2549.04:2549.04:2549.04 2702.41:2702.41:2702.41
IOPATH B[3] O[13] 2661.09:2661.09:2661.09 2822.03:2822.03:2822.03
IOPATH B[3] O[14] 2986.05:2986.05:2986.05 3165.22:3165.22:3165.22
IOPATH B[3] O[15] 2896.88:2896.88:2896.88 3090.24:3090.24:3090.24
IOPATH B[3] O[16] 2927.46:2927.46:2927.46 3178.22:3178.22:3178.22
IOPATH B[3] O[17] 3026.74:3026.74:3026.74 3250.48:3250.48:3250.48
IOPATH B[3] O[18] 3157.73:3157.73:3157.73 3408.18:3408.18:3408.18
IOPATH B[3] O[19] 3336.47:3336.47:3336.47 3527.42:3527.42:3527.42
IOPATH B[3] O[20] 3212.3:3212.3:3212.3 3383.47:3383.47:3383.47
IOPATH B[3] O[21] 3148.38:3148.38:3148.38 3313.91:3313.91:3313.91
IOPATH B[3] O[22] 3314.13:3314.13:3314.13 3520.07:3520.07:3520.07
IOPATH B[3] O[23] 3374.26:3374.26:3374.26 3551.17:3551.17:3551.17
IOPATH B[3] O[24] 3288.34:3288.34:3288.34 3445.77:3445.77:3445.77
IOPATH B[3] O[25] 3401.33:3401.33:3401.33 3584.29:3584.29:3584.29
IOPATH B[3] O[26] 3564.21:3564.21:3564.21 3764.5:3764.5:3764.5
IOPATH B[3] O[27] 3555.18:3555.18:3555.18 3719.63:3719.63:3719.63
IOPATH B[3] O[28] 3485.98:3485.98:3485.98 3643.54:3643.54:3643.54
IOPATH B[3] O[29] 3571.13:3571.13:3571.13 3731.67:3731.67:3731.67
IOPATH B[3] O[30] 3638.13:3638.13:3638.13 3768.12:3768.12:3768.12
IOPATH B[3] O[31] 3688.54:3688.54:3688.54 3778.66:3778.66:3778.66
IOPATH B[4] ACCUMCO 2237.36:2237.36:2237.36 2490.99:2490.99:2490.99
IOPATH B[4] CO 2809.76:2809.76:2809.76 3036.97:3036.97:3036.97
IOPATH B[4] O[4] 1703.16:1703.16:1703.16 1855.18:1855.18:1855.18
IOPATH B[4] O[5] 1877.41:1877.41:1877.41 2024.12:2024.12:2024.12
IOPATH B[4] O[6] 2018.65:2018.65:2018.65 2176.1:2176.1:2176.1
IOPATH B[4] O[7] 2076.72:2076.72:2076.72 2225.04:2225.04:2225.04
IOPATH B[4] O[8] 2243.5:2243.5:2243.5 2423.82:2423.82:2423.82
IOPATH B[4] O[9] 2373.18:2373.18:2373.18 2573.27:2573.27:2573.27
IOPATH B[4] O[10] 2439.18:2439.18:2439.18 2619.24:2619.24:2619.24
IOPATH B[4] O[11] 2665.59:2665.59:2665.59 2839.97:2839.97:2839.97
IOPATH B[4] O[12] 2460.52:2460.52:2460.52 2613.9:2613.9:2613.9
IOPATH B[4] O[13] 2572.57:2572.57:2572.57 2733.51:2733.51:2733.51
IOPATH B[4] O[14] 2897.53:2897.53:2897.53 3076.7:3076.7:3076.7
IOPATH B[4] O[15] 2808.36:2808.36:2808.36 3001.73:3001.73:3001.73
IOPATH B[4] O[16] 3064.93:3064.93:3064.93 3315.68:3315.68:3315.68
IOPATH B[4] O[17] 3164.21:3164.21:3164.21 3387.95:3387.95:3387.95
IOPATH B[4] O[18] 3295.2:3295.2:3295.2 3545.65:3545.65:3545.65
IOPATH B[4] O[19] 3473.94:3473.94:3473.94 3664.89:3664.89:3664.89
IOPATH B[4] O[20] 3349.77:3349.77:3349.77 3520.94:3520.94:3520.94
IOPATH B[4] O[21] 3285.84:3285.84:3285.84 3451.38:3451.38:3451.38
IOPATH B[4] O[22] 3451.6:3451.6:3451.6 3657.54:3657.54:3657.54
IOPATH B[4] O[23] 3511.72:3511.72:3511.72 3688.63:3688.63:3688.63
IOPATH B[4] O[24] 3425.81:3425.81:3425.81 3583.24:3583.24:3583.24
IOPATH B[4] O[25] 3538.79:3538.79:3538.79 3721.76:3721.76:3721.76
IOPATH B[4] O[26] 3701.68:3701.68:3701.68 3901.97:3901.97:3901.97
IOPATH B[4] O[27] 3692.64:3692.64:3692.64 3857.1:3857.1:3857.1
IOPATH B[4] O[28] 3623.44:3623.44:3623.44 3781:3781:3781
IOPATH B[4] O[29] 3708.6:3708.6:3708.6 3869.14:3869.14:3869.14
IOPATH B[4] O[30] 3775.6:3775.6:3775.6 3905.59:3905.59:3905.59
IOPATH B[4] O[31] 3826:3826:3826 3916.13:3916.13:3916.13
IOPATH B[5] ACCUMCO 2235.74:2235.74:2235.74 2548.46:2548.46:2548.46
IOPATH B[5] CO 2808.14:2808.14:2808.14 3094.45:3094.45:3094.45
IOPATH B[5] O[5] 1714.18:1714.18:1714.18 1887.16:1887.16:1887.16
IOPATH B[5] O[6] 1906.2:1906.2:1906.2 2063.65:2063.65:2063.65
IOPATH B[5] O[7] 1964.27:1964.27:1964.27 2112.6:2112.6:2112.6
IOPATH B[5] O[8] 2301:2301:2301 2481.32:2481.32:2481.32
IOPATH B[5] O[9] 2430.68:2430.68:2430.68 2630.77:2630.77:2630.77
IOPATH B[5] O[10] 2496.68:2496.68:2496.68 2676.74:2676.74:2676.74
IOPATH B[5] O[11] 2723.09:2723.09:2723.09 2897.47:2897.47:2897.47
IOPATH B[5] O[12] 2518.02:2518.02:2518.02 2671.4:2671.4:2671.4
IOPATH B[5] O[13] 2630.07:2630.07:2630.07 2791.01:2791.01:2791.01
IOPATH B[5] O[14] 2955.03:2955.03:2955.03 3134.2:3134.2:3134.2
IOPATH B[5] O[15] 2865.86:2865.86:2865.86 3059.23:3059.23:3059.23
IOPATH B[5] O[16] 3122.4:3122.4:3122.4 3373.16:3373.16:3373.16
IOPATH B[5] O[17] 3221.69:3221.69:3221.69 3445.42:3445.42:3445.42
IOPATH B[5] O[18] 3352.68:3352.68:3352.68 3603.13:3603.13:3603.13
IOPATH B[5] O[19] 3531.42:3531.42:3531.42 3722.36:3722.36:3722.36
IOPATH B[5] O[20] 3407.24:3407.24:3407.24 3578.42:3578.42:3578.42
IOPATH B[5] O[21] 3343.32:3343.32:3343.32 3508.85:3508.85:3508.85
IOPATH B[5] O[22] 3509.07:3509.07:3509.07 3715.01:3715.01:3715.01
IOPATH B[5] O[23] 3569.2:3569.2:3569.2 3746.11:3746.11:3746.11
IOPATH B[5] O[24] 3483.28:3483.28:3483.28 3640.71:3640.71:3640.71
IOPATH B[5] O[25] 3596.27:3596.27:3596.27 3779.23:3779.23:3779.23
IOPATH B[5] O[26] 3759.15:3759.15:3759.15 3959.44:3959.44:3959.44
IOPATH B[5] O[27] 3750.12:3750.12:3750.12 3914.57:3914.57:3914.57
IOPATH B[5] O[28] 3680.92:3680.92:3680.92 3838.48:3838.48:3838.48
IOPATH B[5] O[29] 3766.07:3766.07:3766.07 3926.61:3926.61:3926.61
IOPATH B[5] O[30] 3833.07:3833.07:3833.07 3963.06:3963.06:3963.06
IOPATH B[5] O[31] 3883.48:3883.48:3883.48 3973.6:3973.6:3973.6
IOPATH B[6] ACCUMCO 2210.53:2210.53:2210.53 2477.51:2477.51:2477.51
IOPATH B[6] CO 2782.93:2782.93:2782.93 3023.5:3023.5:3023.5
IOPATH B[6] O[6] 1748.02:1748.02:1748.02 1931.53:1931.53:1931.53
IOPATH B[6] O[7] 1856.64:1856.64:1856.64 2004.97:2004.97:2004.97
IOPATH B[6] O[8] 2230.05:2230.05:2230.05 2410.37:2410.37:2410.37
IOPATH B[6] O[9] 2359.73:2359.73:2359.73 2559.82:2559.82:2559.82
IOPATH B[6] O[10] 2425.74:2425.74:2425.74 2605.79:2605.79:2605.79
IOPATH B[6] O[11] 2652.14:2652.14:2652.14 2826.52:2826.52:2826.52
IOPATH B[6] O[12] 2447.08:2447.08:2447.08 2600.45:2600.45:2600.45
IOPATH B[6] O[13] 2559.13:2559.13:2559.13 2720.06:2720.06:2720.06
IOPATH B[6] O[14] 2884.09:2884.09:2884.09 3063.26:3063.26:3063.26
IOPATH B[6] O[15] 2794.92:2794.92:2794.92 2988.28:2988.28:2988.28
IOPATH B[6] O[16] 3051.45:3051.45:3051.45 3302.21:3302.21:3302.21
IOPATH B[6] O[17] 3150.74:3150.74:3150.74 3374.47:3374.47:3374.47
IOPATH B[6] O[18] 3281.73:3281.73:3281.73 3532.18:3532.18:3532.18
IOPATH B[6] O[19] 3460.47:3460.47:3460.47 3651.41:3651.41:3651.41
IOPATH B[6] O[20] 3336.29:3336.29:3336.29 3507.47:3507.47:3507.47
IOPATH B[6] O[21] 3272.37:3272.37:3272.37 3437.9:3437.9:3437.9
IOPATH B[6] O[22] 3438.12:3438.12:3438.12 3644.06:3644.06:3644.06
IOPATH B[6] O[23] 3498.25:3498.25:3498.25 3675.16:3675.16:3675.16
IOPATH B[6] O[24] 3412.34:3412.34:3412.34 3569.76:3569.76:3569.76
IOPATH B[6] O[25] 3525.32:3525.32:3525.32 3708.28:3708.28:3708.28
IOPATH B[6] O[26] 3688.2:3688.2:3688.2 3888.49:3888.49:3888.49
IOPATH B[6] O[27] 3679.17:3679.17:3679.17 3843.62:3843.62:3843.62
IOPATH B[6] O[28] 3609.97:3609.97:3609.97 3767.53:3767.53:3767.53
IOPATH B[6] O[29] 3695.13:3695.13:3695.13 3855.66:3855.66:3855.66
IOPATH B[6] O[30] 3762.12:3762.12:3762.12 3892.11:3892.11:3892.11
IOPATH B[6] O[31] 3812.53:3812.53:3812.53 3902.65:3902.65:3902.65
IOPATH B[7] ACCUMCO 2154.2:2154.2:2154.2 2390.41:2390.41:2390.41
IOPATH B[7] CO 2726.61:2726.61:2726.61 2936.4:2936.4:2936.4
IOPATH B[7] O[7] 1683.68:1683.68:1683.68 1856.36:1856.36:1856.36
IOPATH B[7] O[8] 2144.55:2144.55:2144.55 2324.87:2324.87:2324.87
IOPATH B[7] O[9] 2274.23:2274.23:2274.23 2474.32:2474.32:2474.32
IOPATH B[7] O[10] 2340.23:2340.23:2340.23 2520.29:2520.29:2520.29
IOPATH B[7] O[11] 2566.64:2566.64:2566.64 2741.02:2741.02:2741.02
IOPATH B[7] O[12] 2361.57:2361.57:2361.57 2514.94:2514.94:2514.94
IOPATH B[7] O[13] 2473.62:2473.62:2473.62 2634.56:2634.56:2634.56
IOPATH B[7] O[14] 2798.58:2798.58:2798.58 2977.75:2977.75:2977.75
IOPATH B[7] O[15] 2709.41:2709.41:2709.41 2902.78:2902.78:2902.78
IOPATH B[7] O[16] 2964.35:2964.35:2964.35 3215.11:3215.11:3215.11
IOPATH B[7] O[17] 3063.63:3063.63:3063.63 3287.37:3287.37:3287.37
IOPATH B[7] O[18] 3194.62:3194.62:3194.62 3445.07:3445.07:3445.07
IOPATH B[7] O[19] 3373.36:3373.36:3373.36 3564.31:3564.31:3564.31
IOPATH B[7] O[20] 3249.19:3249.19:3249.19 3420.36:3420.36:3420.36
IOPATH B[7] O[21] 3185.27:3185.27:3185.27 3350.8:3350.8:3350.8
IOPATH B[7] O[22] 3351.02:3351.02:3351.02 3556.96:3556.96:3556.96
IOPATH B[7] O[23] 3411.15:3411.15:3411.15 3588.06:3588.06:3588.06
IOPATH B[7] O[24] 3325.23:3325.23:3325.23 3482.66:3482.66:3482.66
IOPATH B[7] O[25] 3438.22:3438.22:3438.22 3621.18:3621.18:3621.18
IOPATH B[7] O[26] 3601.1:3601.1:3601.1 3801.39:3801.39:3801.39
IOPATH B[7] O[27] 3592.07:3592.07:3592.07 3756.52:3756.52:3756.52
IOPATH B[7] O[28] 3522.87:3522.87:3522.87 3680.43:3680.43:3680.43
IOPATH B[7] O[29] 3608.02:3608.02:3608.02 3768.56:3768.56:3768.56
IOPATH B[7] O[30] 3675.02:3675.02:3675.02 3805.01:3805.01:3805.01
IOPATH B[7] O[31] 3725.43:3725.43:3725.43 3815.55:3815.55:3815.55
IOPATH B[8] ACCUMCO 2082.38:2082.38:2082.38 2250.27:2250.27:2250.27
IOPATH B[8] CO 2654.79:2654.79:2654.79 2796.26:2796.26:2796.26
IOPATH B[8] O[8] 1671.5:1671.5:1671.5 1844.09:1844.09:1844.09
IOPATH B[8] O[9] 1825.3:1825.3:1825.3 2018.23:2018.23:2018.23
IOPATH B[8] O[10] 1884.14:1884.14:1884.14 2064.2:2064.2:2064.2
IOPATH B[8] O[11] 2110.55:2110.55:2110.55 2284.93:2284.93:2284.93
IOPATH B[8] O[12] 2138.04:2138.04:2138.04 2291.31:2291.31:2291.31
IOPATH B[8] O[13] 2250:2250:2250 2410.94:2410.94:2410.94
IOPATH B[8] O[14] 2574.96:2574.96:2574.96 2754.13:2754.13:2754.13
IOPATH B[8] O[15] 2485.79:2485.79:2485.79 2679.15:2679.15:2679.15
IOPATH B[8] O[16] 2824.21:2824.21:2824.21 3074.97:3074.97:3074.97
IOPATH B[8] O[17] 2923.5:2923.5:2923.5 3147.24:3147.24:3147.24
IOPATH B[8] O[18] 3054.49:3054.49:3054.49 3304.94:3304.94:3304.94
IOPATH B[8] O[19] 3233.23:3233.23:3233.23 3424.18:3424.18:3424.18
IOPATH B[8] O[20] 3109.06:3109.06:3109.06 3280.23:3280.23:3280.23
IOPATH B[8] O[21] 3045.13:3045.13:3045.13 3210.66:3210.66:3210.66
IOPATH B[8] O[22] 3210.89:3210.89:3210.89 3416.82:3416.82:3416.82
IOPATH B[8] O[23] 3271.01:3271.01:3271.01 3447.92:3447.92:3447.92
IOPATH B[8] O[24] 3185.1:3185.1:3185.1 3342.52:3342.52:3342.52
IOPATH B[8] O[25] 3298.08:3298.08:3298.08 3481.04:3481.04:3481.04
IOPATH B[8] O[26] 3460.97:3460.97:3460.97 3661.25:3661.25:3661.25
IOPATH B[8] O[27] 3451.93:3451.93:3451.93 3616.38:3616.38:3616.38
IOPATH B[8] O[28] 3382.73:3382.73:3382.73 3540.29:3540.29:3540.29
IOPATH B[8] O[29] 3467.89:3467.89:3467.89 3628.42:3628.42:3628.42
IOPATH B[8] O[30] 3534.88:3534.88:3534.88 3664.87:3664.87:3664.87
IOPATH B[8] O[31] 3585.29:3585.29:3585.29 3675.41:3675.41:3675.41
IOPATH B[9] ACCUMCO 2180.6:2180.6:2180.6 2302:2302:2302
IOPATH B[9] CO 2753:2753:2753 2847.99:2847.99:2847.99
IOPATH B[9] O[9] 1789.81:1789.81:1789.81 1973.74:1973.74:1973.74
IOPATH B[9] O[10] 1879.05:1879.05:1879.05 2043.83:2043.83:2043.83
IOPATH B[9] O[11] 2093.51:2093.51:2093.51 2250.18:2250.18:2250.18
IOPATH B[9] O[12] 2189.76:2189.76:2189.76 2343.04:2343.04:2343.04
IOPATH B[9] O[13] 2301.72:2301.72:2301.72 2462.66:2462.66:2462.66
IOPATH B[9] O[14] 2626.68:2626.68:2626.68 2805.86:2805.86:2805.86
IOPATH B[9] O[15] 2537.52:2537.52:2537.52 2730.88:2730.88:2730.88
IOPATH B[9] O[16] 2882.91:2882.91:2882.91 3126.7:3126.7:3126.7
IOPATH B[9] O[17] 2975.23:2975.23:2975.23 3198.96:3198.96:3198.96
IOPATH B[9] O[18] 3106.22:3106.22:3106.22 3356.67:3356.67:3356.67
IOPATH B[9] O[19] 3284.96:3284.96:3284.96 3475.91:3475.91:3475.91
IOPATH B[9] O[20] 3160.78:3160.78:3160.78 3331.96:3331.96:3331.96
IOPATH B[9] O[21] 3096.86:3096.86:3096.86 3262.39:3262.39:3262.39
IOPATH B[9] O[22] 3262.61:3262.61:3262.61 3468.55:3468.55:3468.55
IOPATH B[9] O[23] 3322.74:3322.74:3322.74 3499.65:3499.65:3499.65
IOPATH B[9] O[24] 3236.83:3236.83:3236.83 3394.26:3394.26:3394.26
IOPATH B[9] O[25] 3349.81:3349.81:3349.81 3532.77:3532.77:3532.77
IOPATH B[9] O[26] 3512.69:3512.69:3512.69 3712.98:3712.98:3712.98
IOPATH B[9] O[27] 3503.66:3503.66:3503.66 3668.12:3668.12:3668.12
IOPATH B[9] O[28] 3434.46:3434.46:3434.46 3592.02:3592.02:3592.02
IOPATH B[9] O[29] 3519.62:3519.62:3519.62 3680.15:3680.15:3680.15
IOPATH B[9] O[30] 3586.61:3586.61:3586.61 3716.6:3716.6:3716.6
IOPATH B[9] O[31] 3637.02:3637.02:3637.02 3727.14:3727.14:3727.14
IOPATH B[10] ACCUMCO 2131.7:2131.7:2131.7 2257.38:2257.38:2257.38
IOPATH B[10] CO 2704.11:2704.11:2704.11 2803.36:2803.36:2803.36
IOPATH B[10] O[10] 1704.15:1704.15:1704.15 1886.27:1886.27:1886.27
IOPATH B[10] O[11] 1957.26:1957.26:1957.26 2131.63:2131.63:2131.63
IOPATH B[10] O[12] 2118.23:2118.23:2118.23 2271.51:2271.51:2271.51
IOPATH B[10] O[13] 2230.19:2230.19:2230.19 2391.13:2391.13:2391.13
IOPATH B[10] O[14] 2555.15:2555.15:2555.15 2734.32:2734.32:2734.32
IOPATH B[10] O[15] 2465.98:2465.98:2465.98 2659.35:2659.35:2659.35
IOPATH B[10] O[16] 2834.01:2834.01:2834.01 3082.08:3082.08:3082.08
IOPATH B[10] O[17] 2930.6:2930.6:2930.6 3154.34:3154.34:3154.34
IOPATH B[10] O[18] 3061.59:3061.59:3061.59 3312.04:3312.04:3312.04
IOPATH B[10] O[19] 3240.33:3240.33:3240.33 3431.28:3431.28:3431.28
IOPATH B[10] O[20] 3116.16:3116.16:3116.16 3287.33:3287.33:3287.33
IOPATH B[10] O[21] 3052.24:3052.24:3052.24 3217.77:3217.77:3217.77
IOPATH B[10] O[22] 3217.99:3217.99:3217.99 3423.93:3423.93:3423.93
IOPATH B[10] O[23] 3278.12:3278.12:3278.12 3455.03:3455.03:3455.03
IOPATH B[10] O[24] 3192.2:3192.2:3192.2 3349.63:3349.63:3349.63
IOPATH B[10] O[25] 3305.19:3305.19:3305.19 3488.15:3488.15:3488.15
IOPATH B[10] O[26] 3468.07:3468.07:3468.07 3668.36:3668.36:3668.36
IOPATH B[10] O[27] 3459.04:3459.04:3459.04 3623.49:3623.49:3623.49
IOPATH B[10] O[28] 3389.83:3389.83:3389.83 3547.39:3547.39:3547.39
IOPATH B[10] O[29] 3474.99:3474.99:3474.99 3635.53:3635.53:3635.53
IOPATH B[10] O[30] 3541.99:3541.99:3541.99 3671.98:3671.98:3671.98
IOPATH B[10] O[31] 3592.39:3592.39:3592.39 3682.52:3682.52:3682.52
IOPATH B[11] ACCUMCO 2230.14:2230.14:2230.14 2319.93:2319.93:2319.93
IOPATH B[11] CO 2802.55:2802.55:2802.55 2865.92:2865.92:2865.92
IOPATH B[11] O[11] 1953.7:1953.7:1953.7 2110.38:2110.38:2110.38
IOPATH B[11] O[12] 2188.36:2188.36:2188.36 2326.76:2326.76:2326.76
IOPATH B[11] O[13] 2286.82:2286.82:2286.82 2433.22:2433.22:2433.22
IOPATH B[11] O[14] 2597.15:2597.15:2597.15 2776.41:2776.41:2776.41
IOPATH B[11] O[15] 2508.07:2508.07:2508.07 2701.44:2701.44:2701.44
IOPATH B[11] O[16] 2932.45:2932.45:2932.45 3168.31:3168.31:3168.31
IOPATH B[11] O[17] 3019.63:3019.63:3019.63 3227.88:3227.88:3227.88
IOPATH B[11] O[18] 3135.08:3135.08:3135.08 3374.6:3374.6:3374.6
IOPATH B[11] O[19] 3303.1:3303.1:3303.1 3493.84:3493.84:3493.84
IOPATH B[11] O[20] 3178.72:3178.72:3178.72 3349.89:3349.89:3349.89
IOPATH B[11] O[21] 3114.79:3114.79:3114.79 3280.32:3280.32:3280.32
IOPATH B[11] O[22] 3280.55:3280.55:3280.55 3486.48:3486.48:3486.48
IOPATH B[11] O[23] 3340.67:3340.67:3340.67 3517.58:3517.58:3517.58
IOPATH B[11] O[24] 3254.76:3254.76:3254.76 3412.19:3412.19:3412.19
IOPATH B[11] O[25] 3367.74:3367.74:3367.74 3550.7:3550.7:3550.7
IOPATH B[11] O[26] 3530.63:3530.63:3530.63 3730.91:3730.91:3730.91
IOPATH B[11] O[27] 3521.59:3521.59:3521.59 3686.05:3686.05:3686.05
IOPATH B[11] O[28] 3452.39:3452.39:3452.39 3609.95:3609.95:3609.95
IOPATH B[11] O[29] 3537.55:3537.55:3537.55 3698.08:3698.08:3698.08
IOPATH B[11] O[30] 3604.55:3604.55:3604.55 3734.53:3734.53:3734.53
IOPATH B[11] O[31] 3654.95:3654.95:3654.95 3745.07:3745.07:3745.07
IOPATH B[12] ACCUMCO 2262.52:2262.52:2262.52 2449.83:2449.83:2449.83
IOPATH B[12] CO 2834.93:2834.93:2834.93 2995.82:2995.82:2995.82
IOPATH B[12] O[12] 1849.2:1849.2:1849.2 1987.6:1987.6:1987.6
IOPATH B[12] O[13] 1984.24:1984.24:1984.24 2129.29:2129.29:2129.29
IOPATH B[12] O[14] 2294.58:2294.58:2294.58 2458.88:2458.88:2458.88
IOPATH B[12] O[15] 2192.33:2192.33:2192.33 2373.47:2373.47:2373.47
IOPATH B[12] O[16] 3023.77:3023.77:3023.77 3274.53:3274.53:3274.53
IOPATH B[12] O[17] 3123.06:3123.06:3123.06 3346.8:3346.8:3346.8
IOPATH B[12] O[18] 3254.05:3254.05:3254.05 3504.5:3504.5:3504.5
IOPATH B[12] O[19] 3432.79:3432.79:3432.79 3623.74:3623.74:3623.74
IOPATH B[12] O[20] 3308.61:3308.61:3308.61 3479.79:3479.79:3479.79
IOPATH B[12] O[21] 3244.69:3244.69:3244.69 3410.22:3410.22:3410.22
IOPATH B[12] O[22] 3410.44:3410.44:3410.44 3616.38:3616.38:3616.38
IOPATH B[12] O[23] 3470.57:3470.57:3470.57 3647.48:3647.48:3647.48
IOPATH B[12] O[24] 3384.66:3384.66:3384.66 3542.08:3542.08:3542.08
IOPATH B[12] O[25] 3497.64:3497.64:3497.64 3680.6:3680.6:3680.6
IOPATH B[12] O[26] 3660.53:3660.53:3660.53 3860.81:3860.81:3860.81
IOPATH B[12] O[27] 3651.49:3651.49:3651.49 3815.94:3815.94:3815.94
IOPATH B[12] O[28] 3582.29:3582.29:3582.29 3739.85:3739.85:3739.85
IOPATH B[12] O[29] 3667.45:3667.45:3667.45 3827.98:3827.98:3827.98
IOPATH B[12] O[30] 3734.44:3734.44:3734.44 3864.43:3864.43:3864.43
IOPATH B[12] O[31] 3784.85:3784.85:3784.85 3874.97:3874.97:3874.97
IOPATH B[13] ACCUMCO 2138.46:2138.46:2138.46 2326.82:2326.82:2326.82
IOPATH B[13] CO 2710.87:2710.87:2710.87 2872.8:2872.8:2872.8
IOPATH B[13] O[13] 1720.64:1720.64:1720.64 1877.4:1877.4:1877.4
IOPATH B[13] O[14] 2065.25:2065.25:2065.25 2244.42:2244.42:2244.42
IOPATH B[13] O[15] 1976.08:1976.08:1976.08 2169.44:2169.44:2169.44
IOPATH B[13] O[16] 2900.76:2900.76:2900.76 3151.51:3151.51:3151.51
IOPATH B[13] O[17] 3000.04:3000.04:3000.04 3223.78:3223.78:3223.78
IOPATH B[13] O[18] 3131.03:3131.03:3131.03 3381.48:3381.48:3381.48
IOPATH B[13] O[19] 3309.77:3309.77:3309.77 3500.72:3500.72:3500.72
IOPATH B[13] O[20] 3185.6:3185.6:3185.6 3356.77:3356.77:3356.77
IOPATH B[13] O[21] 3121.68:3121.68:3121.68 3287.2:3287.2:3287.2
IOPATH B[13] O[22] 3287.43:3287.43:3287.43 3493.37:3493.37:3493.37
IOPATH B[13] O[23] 3347.55:3347.55:3347.55 3524.47:3524.47:3524.47
IOPATH B[13] O[24] 3261.64:3261.64:3261.64 3419.07:3419.07:3419.07
IOPATH B[13] O[25] 3374.62:3374.62:3374.62 3557.58:3557.58:3557.58
IOPATH B[13] O[26] 3537.51:3537.51:3537.51 3737.8:3737.8:3737.8
IOPATH B[13] O[27] 3528.47:3528.47:3528.47 3692.93:3692.93:3692.93
IOPATH B[13] O[28] 3459.27:3459.27:3459.27 3616.83:3616.83:3616.83
IOPATH B[13] O[29] 3544.43:3544.43:3544.43 3704.97:3704.97:3704.97
IOPATH B[13] O[30] 3611.43:3611.43:3611.43 3741.41:3741.41:3741.41
IOPATH B[13] O[31] 3661.83:3661.83:3661.83 3751.95:3751.95:3751.95
IOPATH B[14] ACCUMCO 2102.15:2102.15:2102.15 2206.85:2206.85:2206.85
IOPATH B[14] CO 2674.55:2674.55:2674.55 2752.84:2752.84:2752.84
IOPATH B[14] O[14] 1893.12:1893.12:1893.12 2057.42:2057.42:2057.42
IOPATH B[14] O[15] 1826.54:1826.54:1826.54 2002.41:2002.41:2002.41
IOPATH B[14] O[16] 2804.45:2804.45:2804.45 3040.31:3040.31:3040.31
IOPATH B[14] O[17] 2891.63:2891.63:2891.63 3103.84:3103.84:3103.84
IOPATH B[14] O[18] 3011.07:3011.07:3011.07 3261.52:3261.52:3261.52
IOPATH B[14] O[19] 3189.81:3189.81:3189.81 3380.76:3380.76:3380.76
IOPATH B[14] O[20] 3065.64:3065.64:3065.64 3236.81:3236.81:3236.81
IOPATH B[14] O[21] 3001.71:3001.71:3001.71 3167.24:3167.24:3167.24
IOPATH B[14] O[22] 3167.47:3167.47:3167.47 3373.4:3373.4:3373.4
IOPATH B[14] O[23] 3227.59:3227.59:3227.59 3404.5:3404.5:3404.5
IOPATH B[14] O[24] 3141.68:3141.68:3141.68 3299.11:3299.11:3299.11
IOPATH B[14] O[25] 3254.66:3254.66:3254.66 3437.62:3437.62:3437.62
IOPATH B[14] O[26] 3417.55:3417.55:3417.55 3617.83:3617.83:3617.83
IOPATH B[14] O[27] 3408.51:3408.51:3408.51 3572.97:3572.97:3572.97
IOPATH B[14] O[28] 3339.31:3339.31:3339.31 3496.87:3496.87:3496.87
IOPATH B[14] O[29] 3424.47:3424.47:3424.47 3585:3585:3585
IOPATH B[14] O[30] 3491.47:3491.47:3491.47 3621.45:3621.45:3621.45
IOPATH B[14] O[31] 3541.87:3541.87:3541.87 3631.99:3631.99:3631.99
IOPATH B[15] ACCUMCO 2323.19:2323.19:2323.19 2463.13:2463.13:2463.13
IOPATH B[15] CO 2895.59:2895.59:2895.59 3009.12:3009.12:3009.12
IOPATH B[15] O[15] 1981.1:1981.1:1981.1 2199.56:2199.56:2199.56
IOPATH B[15] O[16] 3037.07:3037.07:3037.07 3287.83:3287.83:3287.83
IOPATH B[15] O[17] 3136.36:3136.36:3136.36 3360.1:3360.1:3360.1
IOPATH B[15] O[18] 3267.35:3267.35:3267.35 3517.8:3517.8:3517.8
IOPATH B[15] O[19] 3446.09:3446.09:3446.09 3637.04:3637.04:3637.04
IOPATH B[15] O[20] 3321.92:3321.92:3321.92 3493.09:3493.09:3493.09
IOPATH B[15] O[21] 3257.99:3257.99:3257.99 3423.52:3423.52:3423.52
IOPATH B[15] O[22] 3423.75:3423.75:3423.75 3629.68:3629.68:3629.68
IOPATH B[15] O[23] 3483.87:3483.87:3483.87 3660.78:3660.78:3660.78
IOPATH B[15] O[24] 3397.96:3397.96:3397.96 3555.39:3555.39:3555.39
IOPATH B[15] O[25] 3510.94:3510.94:3510.94 3693.9:3693.9:3693.9
IOPATH B[15] O[26] 3673.83:3673.83:3673.83 3874.11:3874.11:3874.11
IOPATH B[15] O[27] 3664.79:3664.79:3664.79 3829.25:3829.25:3829.25
IOPATH B[15] O[28] 3595.59:3595.59:3595.59 3753.15:3753.15:3753.15
IOPATH B[15] O[29] 3680.75:3680.75:3680.75 3841.29:3841.29:3841.29
IOPATH B[15] O[30] 3747.75:3747.75:3747.75 3877.73:3877.73:3877.73
IOPATH B[15] O[31] 3798.15:3798.15:3798.15 3888.27:3888.27:3888.27
IOPATH C[0] ACCUMCO 1419.09:1419.09:1419.09 1811.28:1811.28:1811.28
IOPATH C[0] CO 1991.5:1991.5:1991.5 2357.27:2357.27:2357.27
IOPATH C[0] O[16] 1794.63:1794.63:1794.63 2039.34:2039.34:2039.34
IOPATH C[0] O[17] 1919.5:1919.5:1919.5 2136.17:2136.17:2136.17
IOPATH C[0] O[18] 2043.43:2043.43:2043.43 2293.88:2293.88:2293.88
IOPATH C[0] O[19] 2222.17:2222.17:2222.17 2413.12:2413.12:2413.12
IOPATH C[0] O[20] 2304.14:2304.14:2304.14 2475.31:2475.31:2475.31
IOPATH C[0] O[21] 2240.21:2240.21:2240.21 2405.74:2405.74:2405.74
IOPATH C[0] O[22] 2405.96:2405.96:2405.96 2611.9:2611.9:2611.9
IOPATH C[0] O[23] 2466.09:2466.09:2466.09 2643:2643:2643
IOPATH C[0] O[24] 2380.14:2380.14:2380.14 2537.57:2537.57:2537.57
IOPATH C[0] O[25] 2493.12:2493.12:2493.12 2676.09:2676.09:2676.09
IOPATH C[0] O[26] 2656.01:2656.01:2656.01 2856.3:2856.3:2856.3
IOPATH C[0] O[27] 2646.98:2646.98:2646.98 2811.43:2811.43:2811.43
IOPATH C[0] O[28] 2577.77:2577.77:2577.77 2735.33:2735.33:2735.33
IOPATH C[0] O[29] 2662.93:2662.93:2662.93 2823.47:2823.47:2823.47
IOPATH C[0] O[30] 2729.93:2729.93:2729.93 2859.92:2859.92:2859.92
IOPATH C[0] O[31] 2780.33:2780.33:2780.33 2870.46:2870.46:2870.46
IOPATH C[1] ACCUMCO 1602.24:1602.24:1602.24 1888.81:1888.81:1888.81
IOPATH C[1] CO 2174.65:2174.65:2174.65 2434.79:2434.79:2434.79
IOPATH C[1] O[17] 1839.51:1839.51:1839.51 2053.37:2053.37:2053.37
IOPATH C[1] O[18] 1991.22:1991.22:1991.22 2235.89:2235.89:2235.89
IOPATH C[1] O[19] 2164.17:2164.17:2164.17 2355.12:2355.12:2355.12
IOPATH C[1] O[20] 2381.67:2381.67:2381.67 2552.84:2552.84:2552.84
IOPATH C[1] O[21] 2317.74:2317.74:2317.74 2483.27:2483.27:2483.27
IOPATH C[1] O[22] 2483.49:2483.49:2483.49 2689.43:2689.43:2689.43
IOPATH C[1] O[23] 2543.62:2543.62:2543.62 2720.53:2720.53:2720.53
IOPATH C[1] O[24] 2457.67:2457.67:2457.67 2615.1:2615.1:2615.1
IOPATH C[1] O[25] 2570.65:2570.65:2570.65 2753.62:2753.62:2753.62
IOPATH C[1] O[26] 2733.54:2733.54:2733.54 2933.83:2933.83:2933.83
IOPATH C[1] O[27] 2724.5:2724.5:2724.5 2888.96:2888.96:2888.96
IOPATH C[1] O[28] 2655.3:2655.3:2655.3 2812.86:2812.86:2812.86
IOPATH C[1] O[29] 2740.46:2740.46:2740.46 2901:2901:2901
IOPATH C[1] O[30] 2807.46:2807.46:2807.46 2937.45:2937.45:2937.45
IOPATH C[1] O[31] 2857.86:2857.86:2857.86 2947.99:2947.99:2947.99
IOPATH C[2] ACCUMCO 1542.97:1542.97:1542.97 1785.4:1785.4:1785.4
IOPATH C[2] CO 2115.38:2115.38:2115.38 2331.39:2331.39:2331.39
IOPATH C[2] O[18] 1820.77:1820.77:1820.77 2058.4:2058.4:2058.4
IOPATH C[2] O[19] 2023.83:2023.83:2023.83 2201.73:2201.73:2201.73
IOPATH C[2] O[20] 2278.27:2278.27:2278.27 2449.44:2449.44:2449.44
IOPATH C[2] O[21] 2214.34:2214.34:2214.34 2379.87:2379.87:2379.87
IOPATH C[2] O[22] 2380.09:2380.09:2380.09 2586.03:2586.03:2586.03
IOPATH C[2] O[23] 2440.22:2440.22:2440.22 2617.13:2617.13:2617.13
IOPATH C[2] O[24] 2354.27:2354.27:2354.27 2511.7:2511.7:2511.7
IOPATH C[2] O[25] 2467.25:2467.25:2467.25 2650.21:2650.21:2650.21
IOPATH C[2] O[26] 2630.14:2630.14:2630.14 2830.42:2830.42:2830.42
IOPATH C[2] O[27] 2621.1:2621.1:2621.1 2785.56:2785.56:2785.56
IOPATH C[2] O[28] 2551.9:2551.9:2551.9 2709.46:2709.46:2709.46
IOPATH C[2] O[29] 2637.06:2637.06:2637.06 2797.59:2797.59:2797.59
IOPATH C[2] O[30] 2704.05:2704.05:2704.05 2834.04:2834.04:2834.04
IOPATH C[2] O[31] 2754.46:2754.46:2754.46 2844.58:2844.58:2844.58
IOPATH C[3] ACCUMCO 1481.12:1481.12:1481.12 1739.98:1739.98:1739.98
IOPATH C[3] CO 2053.53:2053.53:2053.53 2285.97:2285.97:2285.97
IOPATH C[3] O[19] 1901.8:1901.8:1901.8 2090.53:2090.53:2090.53
IOPATH C[3] O[20] 2233.45:2233.45:2233.45 2404.62:2404.62:2404.62
IOPATH C[3] O[21] 2169.52:2169.52:2169.52 2335.05:2335.05:2335.05
IOPATH C[3] O[22] 2335.27:2335.27:2335.27 2541.21:2541.21:2541.21
IOPATH C[3] O[23] 2395.4:2395.4:2395.4 2572.31:2572.31:2572.31
IOPATH C[3] O[24] 2309.44:2309.44:2309.44 2466.87:2466.87:2466.87
IOPATH C[3] O[25] 2422.43:2422.43:2422.43 2605.39:2605.39:2605.39
IOPATH C[3] O[26] 2585.31:2585.31:2585.31 2785.6:2785.6:2785.6
IOPATH C[3] O[27] 2576.28:2576.28:2576.28 2740.73:2740.73:2740.73
IOPATH C[3] O[28] 2507.08:2507.08:2507.08 2664.64:2664.64:2664.64
IOPATH C[3] O[29] 2592.24:2592.24:2592.24 2752.77:2752.77:2752.77
IOPATH C[3] O[30] 2659.23:2659.23:2659.23 2789.22:2789.22:2789.22
IOPATH C[3] O[31] 2709.64:2709.64:2709.64 2799.76:2799.76:2799.76
IOPATH C[4] ACCUMCO 1492.98:1492.98:1492.98 1845.62:1845.62:1845.62
IOPATH C[4] CO 2065.38:2065.38:2065.38 2391.61:2391.61:2391.61
IOPATH C[4] O[20] 1870.7:1870.7:1870.7 2032.24:2032.24:2032.24
IOPATH C[4] O[21] 1832.25:1832.25:1832.25 1987.49:1987.49:1987.49
IOPATH C[4] O[22] 1987.71:1987.71:1987.71 2193.65:2193.65:2193.65
IOPATH C[4] O[23] 2047.84:2047.84:2047.84 2224.75:2224.75:2224.75
IOPATH C[4] O[24] 2184.3:2184.3:2184.3 2341.72:2341.72:2341.72
IOPATH C[4] O[25] 2297.27:2297.27:2297.27 2480.24:2480.24:2480.24
IOPATH C[4] O[26] 2460.16:2460.16:2460.16 2660.45:2660.45:2660.45
IOPATH C[4] O[27] 2451.13:2451.13:2451.13 2615.58:2615.58:2615.58
IOPATH C[4] O[28] 2381.89:2381.89:2381.89 2539.45:2539.45:2539.45
IOPATH C[4] O[29] 2467.05:2467.05:2467.05 2627.58:2627.58:2627.58
IOPATH C[4] O[30] 2534.04:2534.04:2534.04 2664.03:2664.03:2664.03
IOPATH C[4] O[31] 2584.45:2584.45:2584.45 2674.57:2674.57:2674.57
IOPATH C[5] ACCUMCO 1510.36:1510.36:1510.36 1834.88:1834.88:1834.88
IOPATH C[5] CO 2082.76:2082.76:2082.76 2380.87:2380.87:2380.87
IOPATH C[5] O[21] 1684.53:1684.53:1684.53 1839.9:1839.9:1839.9
IOPATH C[5] O[22] 1872.71:1872.71:1872.71 2070.8:2070.8:2070.8
IOPATH C[5] O[23] 1924.99:1924.99:1924.99 2101.9:2101.9:2101.9
IOPATH C[5] O[24] 2173.57:2173.57:2173.57 2331:2331:2331
IOPATH C[5] O[25] 2286.55:2286.55:2286.55 2469.51:2469.51:2469.51
IOPATH C[5] O[26] 2449.43:2449.43:2449.43 2649.72:2649.72:2649.72
IOPATH C[5] O[27] 2440.4:2440.4:2440.4 2604.85:2604.85:2604.85
IOPATH C[5] O[28] 2371.16:2371.16:2371.16 2528.72:2528.72:2528.72
IOPATH C[5] O[29] 2456.32:2456.32:2456.32 2616.86:2616.86:2616.86
IOPATH C[5] O[30] 2523.32:2523.32:2523.32 2653.3:2653.3:2653.3
IOPATH C[5] O[31] 2573.72:2573.72:2573.72 2663.84:2663.84:2663.84
IOPATH C[6] ACCUMCO 1504.31:1504.31:1504.31 1786.71:1786.71:1786.71
IOPATH C[6] CO 2076.71:2076.71:2076.71 2332.69:2332.69:2332.69
IOPATH C[6] O[22] 1757.48:1757.48:1757.48 1952.53:1952.53:1952.53
IOPATH C[6] O[23] 1842.03:1842.03:1842.03 2008.05:2008.05:2008.05
IOPATH C[6] O[24] 2125.39:2125.39:2125.39 2282.82:2282.82:2282.82
IOPATH C[6] O[25] 2238.37:2238.37:2238.37 2421.33:2421.33:2421.33
IOPATH C[6] O[26] 2401.26:2401.26:2401.26 2601.54:2601.54:2601.54
IOPATH C[6] O[27] 2392.22:2392.22:2392.22 2556.67:2556.67:2556.67
IOPATH C[6] O[28] 2322.98:2322.98:2322.98 2480.54:2480.54:2480.54
IOPATH C[6] O[29] 2408.14:2408.14:2408.14 2568.68:2568.68:2568.68
IOPATH C[6] O[30] 2475.14:2475.14:2475.14 2605.12:2605.12:2605.12
IOPATH C[6] O[31] 2525.54:2525.54:2525.54 2615.66:2615.66:2615.66
IOPATH C[7] ACCUMCO 1437.6:1437.6:1437.6 1712.07:1712.07:1712.07
IOPATH C[7] CO 2010.01:2010.01:2010.01 2258.06:2258.06:2258.06
IOPATH C[7] O[23] 1688.55:1688.55:1688.55 1863.36:1863.36:1863.36
IOPATH C[7] O[24] 2051.96:2051.96:2051.96 2209.38:2209.38:2209.38
IOPATH C[7] O[25] 2164.93:2164.93:2164.93 2347.89:2347.89:2347.89
IOPATH C[7] O[26] 2327.82:2327.82:2327.82 2528.1:2528.1:2528.1
IOPATH C[7] O[27] 2318.78:2318.78:2318.78 2483.24:2483.24:2483.24
IOPATH C[7] O[28] 2249.54:2249.54:2249.54 2407.1:2407.1:2407.1
IOPATH C[7] O[29] 2334.7:2334.7:2334.7 2495.23:2495.23:2495.23
IOPATH C[7] O[30] 2401.69:2401.69:2401.69 2531.68:2531.68:2531.68
IOPATH C[7] O[31] 2452.1:2452.1:2452.1 2542.22:2542.22:2542.22
IOPATH C[8] ACCUMCO 1416.96:1416.96:1416.96 1632.52:1632.52:1632.52
IOPATH C[8] CO 1989.36:1989.36:1989.36 2178.51:2178.51:2178.51
IOPATH C[8] O[24] 1668.35:1668.35:1668.35 1816.04:1816.04:1816.04
IOPATH C[8] O[25] 1805.04:1805.04:1805.04 1979.35:1979.35:1979.35
IOPATH C[8] O[26] 1959.27:1959.27:1959.27 2159.56:2159.56:2159.56
IOPATH C[8] O[27] 1950.24:1950.24:1950.24 2114.69:2114.69:2114.69
IOPATH C[8] O[28] 2100.49:2100.49:2100.49 2258.05:2258.05:2258.05
IOPATH C[8] O[29] 2185.64:2185.64:2185.64 2346.18:2346.18:2346.18
IOPATH C[8] O[30] 2252.64:2252.64:2252.64 2382.63:2382.63:2382.63
IOPATH C[8] O[31] 2303.05:2303.05:2303.05 2393.17:2393.17:2393.17
IOPATH C[9] ACCUMCO 1413.27:1413.27:1413.27 1655.36:1655.36:1655.36
IOPATH C[9] CO 1985.68:1985.68:1985.68 2201.35:2201.35:2201.35
IOPATH C[9] O[25] 1663.42:1663.42:1663.42 1838.08:1838.08:1838.08
IOPATH C[9] O[26] 1850.78:1850.78:1850.78 2043.25:2043.25:2043.25
IOPATH C[9] O[27] 1833.93:1833.93:1833.93 1998.38:1998.38:1998.38
IOPATH C[9] O[28] 2123.33:2123.33:2123.33 2280.88:2280.88:2280.88
IOPATH C[9] O[29] 2208.48:2208.48:2208.48 2369.01:2369.01:2369.01
IOPATH C[9] O[30] 2275.47:2275.47:2275.47 2405.46:2405.46:2405.46
IOPATH C[9] O[31] 2325.88:2325.88:2325.88 2416:2416:2416
IOPATH C[10] ACCUMCO 1439.36:1439.36:1439.36 1625.49:1625.49:1625.49
IOPATH C[10] CO 2011.76:2011.76:2011.76 2171.47:2171.47:2171.47
IOPATH C[10] O[26] 1745.33:1745.33:1745.33 1934.52:1934.52:1934.52
IOPATH C[10] O[27] 1762.22:1762.22:1762.22 1914.07:1914.07:1914.07
IOPATH C[10] O[28] 2084.01:2084.01:2084.01 2241.57:2241.57:2241.57
IOPATH C[10] O[29] 2169.16:2169.16:2169.16 2329.7:2329.7:2329.7
IOPATH C[10] O[30] 2236.16:2236.16:2236.16 2366.15:2366.15:2366.15
IOPATH C[10] O[31] 2286.57:2286.57:2286.57 2376.69:2376.69:2376.69
IOPATH C[11] ACCUMCO 1375.39:1375.39:1375.39 1569.95:1569.95:1569.95
IOPATH C[11] CO 1947.8:1947.8:1947.8 2115.94:2115.94:2115.94
IOPATH C[11] O[27] 1601.96:1601.96:1601.96 1764.23:1764.23:1764.23
IOPATH C[11] O[28] 1996.83:1996.83:1996.83 2154.38:2154.38:2154.38
IOPATH C[11] O[29] 2081.98:2081.98:2081.98 2242.52:2242.52:2242.52
IOPATH C[11] O[30] 2148.98:2148.98:2148.98 2278.96:2278.96:2278.96
IOPATH C[11] O[31] 2199.38:2199.38:2199.38 2289.5:2289.5:2289.5
IOPATH C[12] ACCUMCO 1384.11:1384.11:1384.11 1542.03:1542.03:1542.03
IOPATH C[12] CO 1956.51:1956.51:1956.51 2088.02:2088.02:2088.02
IOPATH C[12] O[28] 1619.99:1619.99:1619.99 1773.03:1773.03:1773.03
IOPATH C[12] O[29] 1728.76:1728.76:1728.76 1886:1886:1886
IOPATH C[12] O[30] 1792.46:1792.46:1792.46 1922.44:1922.44:1922.44
IOPATH C[12] O[31] 1842.86:1842.86:1842.86 1932.99:1932.99:1932.99
IOPATH C[13] ACCUMCO 1451.99:1451.99:1451.99 1622.28:1622.28:1622.28
IOPATH C[13] CO 2024.39:2024.39:2024.39 2168.27:2168.27:2168.27
IOPATH C[13] O[29] 1659.3:1659.3:1659.3 1805.2:1805.2:1805.2
IOPATH C[13] O[30] 1749.29:1749.29:1749.29 1866.4:1866.4:1866.4
IOPATH C[13] O[31] 1786.82:1786.82:1786.82 1879.01:1879.01:1879.01
IOPATH C[14] ACCUMCO 1417.99:1417.99:1417.99 1529.3:1529.3:1529.3
IOPATH C[14] CO 1990.39:1990.39:1990.39 2075.29:2075.29:2075.29
IOPATH C[14] O[30] 1590.67:1590.67:1590.67 1707.02:1707.02:1707.02
IOPATH C[14] O[31] 1671.85:1671.85:1671.85 1756.05:1756.05:1756.05
IOPATH C[15] ACCUMCO 1361.42:1361.42:1361.42 1473.03:1473.03:1473.03
IOPATH C[15] CO 1933.83:1933.83:1933.83 2019.01:2019.01:2019.01
IOPATH C[15] O[31] 1512.79:1512.79:1512.79 1605.4:1605.4:1605.4
IOPATH CI ACCUMCO 1203.86:1203.86:1203.86 1274.67:1274.67:1274.67
IOPATH CI CO 1776.26:1776.26:1776.26 1820.66:1820.66:1820.66
IOPATH CI O[0] 1092.74:1092.74:1092.74 1210.39:1210.39:1210.39
IOPATH CI O[1] 1151.6:1151.6:1151.6 1248.6:1248.6:1248.6
IOPATH CI O[2] 1266.66:1266.66:1266.66 1391.98:1391.98:1391.98
IOPATH CI O[3] 1363.02:1363.02:1363.02 1494.23:1494.23:1494.23
IOPATH CI O[4] 1292.14:1292.14:1292.14 1418.99:1418.99:1418.99
IOPATH CI O[5] 1416.3:1416.3:1416.3 1563.01:1563.01:1563.01
IOPATH CI O[6] 1557.53:1557.53:1557.53 1714.98:1714.98:1714.98
IOPATH CI O[7] 1615.6:1615.6:1615.6 1763.93:1763.93:1763.93
IOPATH CI O[8] 1605.23:1605.23:1605.23 1785.55:1785.55:1785.55
IOPATH CI O[9] 1734.92:1734.92:1734.92 1935.01:1935.01:1935.01
IOPATH CI O[10] 1800.92:1800.92:1800.92 1980.98:1980.98:1980.98
IOPATH CI O[11] 2027.33:2027.33:2027.33 2201.7:2201.7:2201.7
IOPATH CI O[12] 1822.3:1822.3:1822.3 1975.67:1975.67:1975.67
IOPATH CI O[13] 1934.35:1934.35:1934.35 2095.29:2095.29:2095.29
IOPATH CI O[14] 2259.31:2259.31:2259.31 2438.48:2438.48:2438.48
IOPATH CI O[15] 2170.14:2170.14:2170.14 2363.5:2363.5:2363.5
IOPATH CI O[16] 1906.16:1906.16:1906.16 2142.02:2142.02:2142.02
IOPATH CI O[17] 1993.34:1993.34:1993.34 2201.59:2201.59:2201.59
IOPATH CI O[18] 2108.79:2108.79:2108.79 2343.75:2343.75:2343.75
IOPATH CI O[19] 2276.81:2276.81:2276.81 2452.58:2452.58:2452.58
IOPATH CI O[20] 2143.8:2143.8:2143.8 2304.63:2304.63:2304.63
IOPATH CI O[21] 2069.53:2069.53:2069.53 2235.06:2235.06:2235.06
IOPATH CI O[22] 2235.28:2235.28:2235.28 2441.22:2441.22:2441.22
IOPATH CI O[23] 2295.41:2295.41:2295.41 2472.32:2472.32:2472.32
IOPATH CI O[24] 2209.5:2209.5:2209.5 2366.92:2366.92:2366.92
IOPATH CI O[25] 2322.48:2322.48:2322.48 2505.44:2505.44:2505.44
IOPATH CI O[26] 2485.36:2485.36:2485.36 2685.65:2685.65:2685.65
IOPATH CI O[27] 2476.33:2476.33:2476.33 2640.78:2640.78:2640.78
IOPATH CI O[28] 2407.13:2407.13:2407.13 2564.69:2564.69:2564.69
IOPATH CI O[29] 2492.29:2492.29:2492.29 2652.82:2652.82:2652.82
IOPATH CI O[30] 2559.28:2559.28:2559.28 2689.27:2689.27:2689.27
IOPATH CI O[31] 2609.69:2609.69:2609.69 2699.81:2699.81:2699.81
IOPATH D[0] ACCUMCO 2039.92:2039.92:2039.92 2355.9:2355.9:2355.9
IOPATH D[0] CO 2612.32:2612.32:2612.32 2901.89:2901.89:2901.89
IOPATH D[0] O[0] 1569.52:1569.52:1569.52 1697.98:1697.98:1697.98
IOPATH D[0] O[1] 1666.23:1666.23:1666.23 1771.27:1771.27:1771.27
IOPATH D[0] O[2] 1789.34:1789.34:1789.34 1914.65:1914.65:1914.65
IOPATH D[0] O[3] 1885.7:1885.7:1885.7 2016.9:2016.9:2016.9
IOPATH D[0] O[4] 2020.43:2020.43:2020.43 2147.28:2147.28:2147.28
IOPATH D[0] O[5] 2144.58:2144.58:2144.58 2291.29:2291.29:2291.29
IOPATH D[0] O[6] 2285.82:2285.82:2285.82 2443.27:2443.27:2443.27
IOPATH D[0] O[7] 2343.89:2343.89:2343.89 2492.21:2492.21:2492.21
IOPATH D[0] O[8] 2333.49:2333.49:2333.49 2513.82:2513.82:2513.82
IOPATH D[0] O[9] 2463.18:2463.18:2463.18 2663.27:2663.27:2663.27
IOPATH D[0] O[10] 2529.19:2529.19:2529.19 2709.24:2709.24:2709.24
IOPATH D[0] O[11] 2755.59:2755.59:2755.59 2929.97:2929.97:2929.97
IOPATH D[0] O[12] 2550.56:2550.56:2550.56 2703.94:2703.94:2703.94
IOPATH D[0] O[13] 2662.61:2662.61:2662.61 2823.55:2823.55:2823.55
IOPATH D[0] O[14] 2987.57:2987.57:2987.57 3166.74:3166.74:3166.74
IOPATH D[0] O[15] 2898.4:2898.4:2898.4 3091.77:3091.77:3091.77
IOPATH D[0] O[16] 2929.84:2929.84:2929.84 3180.6:3180.6:3180.6
IOPATH D[0] O[17] 3029.12:3029.12:3029.12 3252.86:3252.86:3252.86
IOPATH D[0] O[18] 3160.11:3160.11:3160.11 3410.57:3410.57:3410.57
IOPATH D[0] O[19] 3338.86:3338.86:3338.86 3529.8:3529.8:3529.8
IOPATH D[0] O[20] 3214.68:3214.68:3214.68 3385.86:3385.86:3385.86
IOPATH D[0] O[21] 3150.76:3150.76:3150.76 3316.29:3316.29:3316.29
IOPATH D[0] O[22] 3316.51:3316.51:3316.51 3522.45:3522.45:3522.45
IOPATH D[0] O[23] 3376.64:3376.64:3376.64 3553.55:3553.55:3553.55
IOPATH D[0] O[24] 3290.72:3290.72:3290.72 3448.15:3448.15:3448.15
IOPATH D[0] O[25] 3403.71:3403.71:3403.71 3586.67:3586.67:3586.67
IOPATH D[0] O[26] 3566.59:3566.59:3566.59 3766.88:3766.88:3766.88
IOPATH D[0] O[27] 3557.56:3557.56:3557.56 3722.01:3722.01:3722.01
IOPATH D[0] O[28] 3488.36:3488.36:3488.36 3645.92:3645.92:3645.92
IOPATH D[0] O[29] 3573.52:3573.52:3573.52 3734.05:3734.05:3734.05
IOPATH D[0] O[30] 3640.51:3640.51:3640.51 3770.5:3770.5:3770.5
IOPATH D[0] O[31] 3690.92:3690.92:3690.92 3781.04:3781.04:3781.04
IOPATH D[1] ACCUMCO 2202.01:2202.01:2202.01 2418.31:2418.31:2418.31
IOPATH D[1] CO 2774.42:2774.42:2774.42 2964.3:2964.3:2964.3
IOPATH D[1] O[1] 1577.66:1577.66:1577.66 1677.46:1677.46:1677.46
IOPATH D[1] O[2] 1727.25:1727.25:1727.25 1845.41:1845.41:1845.41
IOPATH D[1] O[3] 1816.45:1816.45:1816.45 1947.65:1947.65:1947.65
IOPATH D[1] O[4] 2082.85:2082.85:2082.85 2209.69:2209.69:2209.69
IOPATH D[1] O[5] 2207:2207:2207 2353.71:2353.71:2353.71
IOPATH D[1] O[6] 2348.23:2348.23:2348.23 2505.68:2505.68:2505.68
IOPATH D[1] O[7] 2406.3:2406.3:2406.3 2554.63:2554.63:2554.63
IOPATH D[1] O[8] 2395.91:2395.91:2395.91 2576.23:2576.23:2576.23
IOPATH D[1] O[9] 2525.6:2525.6:2525.6 2725.69:2725.69:2725.69
IOPATH D[1] O[10] 2591.6:2591.6:2591.6 2771.66:2771.66:2771.66
IOPATH D[1] O[11] 2818.01:2818.01:2818.01 2992.38:2992.38:2992.38
IOPATH D[1] O[12] 2612.98:2612.98:2612.98 2766.35:2766.35:2766.35
IOPATH D[1] O[13] 2725.03:2725.03:2725.03 2885.97:2885.97:2885.97
IOPATH D[1] O[14] 3049.99:3049.99:3049.99 3229.16:3229.16:3229.16
IOPATH D[1] O[15] 2960.82:2960.82:2960.82 3154.18:3154.18:3154.18
IOPATH D[1] O[16] 2992.25:2992.25:2992.25 3243.01:3243.01:3243.01
IOPATH D[1] O[17] 3091.54:3091.54:3091.54 3315.27:3315.27:3315.27
IOPATH D[1] O[18] 3222.53:3222.53:3222.53 3472.98:3472.98:3472.98
IOPATH D[1] O[19] 3401.27:3401.27:3401.27 3592.22:3592.22:3592.22
IOPATH D[1] O[20] 3277.09:3277.09:3277.09 3448.27:3448.27:3448.27
IOPATH D[1] O[21] 3213.17:3213.17:3213.17 3378.7:3378.7:3378.7
IOPATH D[1] O[22] 3378.92:3378.92:3378.92 3584.86:3584.86:3584.86
IOPATH D[1] O[23] 3439.05:3439.05:3439.05 3615.96:3615.96:3615.96
IOPATH D[1] O[24] 3353.14:3353.14:3353.14 3510.56:3510.56:3510.56
IOPATH D[1] O[25] 3466.12:3466.12:3466.12 3649.08:3649.08:3649.08
IOPATH D[1] O[26] 3629.01:3629.01:3629.01 3829.29:3829.29:3829.29
IOPATH D[1] O[27] 3619.97:3619.97:3619.97 3784.42:3784.42:3784.42
IOPATH D[1] O[28] 3550.77:3550.77:3550.77 3708.33:3708.33:3708.33
IOPATH D[1] O[29] 3635.93:3635.93:3635.93 3796.46:3796.46:3796.46
IOPATH D[1] O[30] 3702.92:3702.92:3702.92 3832.91:3832.91:3832.91
IOPATH D[1] O[31] 3753.33:3753.33:3753.33 3843.45:3843.45:3843.45
IOPATH D[2] ACCUMCO 2162.98:2162.98:2162.98 2336.7:2336.7:2336.7
IOPATH D[2] CO 2735.39:2735.39:2735.39 2882.68:2882.68:2882.68
IOPATH D[2] O[2] 1578.26:1578.26:1578.26 1694.2:1694.2:1694.2
IOPATH D[2] O[3] 1699.35:1699.35:1699.35 1820.95:1820.95:1820.95
IOPATH D[2] O[4] 2001.23:2001.23:2001.23 2128.07:2128.07:2128.07
IOPATH D[2] O[5] 2125.38:2125.38:2125.38 2272.09:2272.09:2272.09
IOPATH D[2] O[6] 2266.62:2266.62:2266.62 2424.07:2424.07:2424.07
IOPATH D[2] O[7] 2324.69:2324.69:2324.69 2473.01:2473.01:2473.01
IOPATH D[2] O[8] 2314.29:2314.29:2314.29 2494.62:2494.62:2494.62
IOPATH D[2] O[9] 2443.98:2443.98:2443.98 2644.07:2644.07:2644.07
IOPATH D[2] O[10] 2509.98:2509.98:2509.98 2690.04:2690.04:2690.04
IOPATH D[2] O[11] 2736.39:2736.39:2736.39 2910.77:2910.77:2910.77
IOPATH D[2] O[12] 2531.36:2531.36:2531.36 2684.74:2684.74:2684.74
IOPATH D[2] O[13] 2643.41:2643.41:2643.41 2804.35:2804.35:2804.35
IOPATH D[2] O[14] 2968.37:2968.37:2968.37 3147.54:3147.54:3147.54
IOPATH D[2] O[15] 2879.2:2879.2:2879.2 3072.57:3072.57:3072.57
IOPATH D[2] O[16] 2910.64:2910.64:2910.64 3161.39:3161.39:3161.39
IOPATH D[2] O[17] 3009.92:3009.92:3009.92 3233.66:3233.66:3233.66
IOPATH D[2] O[18] 3140.91:3140.91:3140.91 3391.36:3391.36:3391.36
IOPATH D[2] O[19] 3319.65:3319.65:3319.65 3510.6:3510.6:3510.6
IOPATH D[2] O[20] 3195.48:3195.48:3195.48 3366.65:3366.65:3366.65
IOPATH D[2] O[21] 3131.56:3131.56:3131.56 3297.09:3297.09:3297.09
IOPATH D[2] O[22] 3297.31:3297.31:3297.31 3503.25:3503.25:3503.25
IOPATH D[2] O[23] 3357.43:3357.43:3357.43 3534.34:3534.34:3534.34
IOPATH D[2] O[24] 3271.52:3271.52:3271.52 3428.95:3428.95:3428.95
IOPATH D[2] O[25] 3384.5:3384.5:3384.5 3567.47:3567.47:3567.47
IOPATH D[2] O[26] 3547.39:3547.39:3547.39 3747.68:3747.68:3747.68
IOPATH D[2] O[27] 3538.35:3538.35:3538.35 3702.81:3702.81:3702.81
IOPATH D[2] O[28] 3469.15:3469.15:3469.15 3626.71:3626.71:3626.71
IOPATH D[2] O[29] 3554.31:3554.31:3554.31 3714.85:3714.85:3714.85
IOPATH D[2] O[30] 3621.31:3621.31:3621.31 3751.29:3751.29:3751.29
IOPATH D[2] O[31] 3671.71:3671.71:3671.71 3761.83:3761.83:3761.83
IOPATH D[3] ACCUMCO 2089.97:2089.97:2089.97 2284.42:2284.42:2284.42
IOPATH D[3] CO 2662.37:2662.37:2662.37 2830.4:2830.4:2830.4
IOPATH D[3] O[3] 1559.4:1559.4:1559.4 1690.72:1690.72:1690.72
IOPATH D[3] O[4] 1949.81:1949.81:1949.81 2076.65:2076.65:2076.65
IOPATH D[3] O[5] 2073.96:2073.96:2073.96 2220.67:2220.67:2220.67
IOPATH D[3] O[6] 2215.2:2215.2:2215.2 2372.65:2372.65:2372.65
IOPATH D[3] O[7] 2273.27:2273.27:2273.27 2421.59:2421.59:2421.59
IOPATH D[3] O[8] 2262.87:2262.87:2262.87 2443.19:2443.19:2443.19
IOPATH D[3] O[9] 2392.56:2392.56:2392.56 2592.65:2592.65:2592.65
IOPATH D[3] O[10] 2458.56:2458.56:2458.56 2638.61:2638.61:2638.61
IOPATH D[3] O[11] 2684.97:2684.97:2684.97 2859.34:2859.34:2859.34
IOPATH D[3] O[12] 2479.94:2479.94:2479.94 2633.31:2633.31:2633.31
IOPATH D[3] O[13] 2591.98:2591.98:2591.98 2752.93:2752.93:2752.93
IOPATH D[3] O[14] 2916.95:2916.95:2916.95 3096.12:3096.12:3096.12
IOPATH D[3] O[15] 2827.78:2827.78:2827.78 3021.14:3021.14:3021.14
IOPATH D[3] O[16] 2858.36:2858.36:2858.36 3109.11:3109.11:3109.11
IOPATH D[3] O[17] 2957.64:2957.64:2957.64 3181.38:3181.38:3181.38
IOPATH D[3] O[18] 3088.63:3088.63:3088.63 3339.08:3339.08:3339.08
IOPATH D[3] O[19] 3267.37:3267.37:3267.37 3458.32:3458.32:3458.32
IOPATH D[3] O[20] 3143.2:3143.2:3143.2 3314.37:3314.37:3314.37
IOPATH D[3] O[21] 3079.28:3079.28:3079.28 3244.81:3244.81:3244.81
IOPATH D[3] O[22] 3245.03:3245.03:3245.03 3450.97:3450.97:3450.97
IOPATH D[3] O[23] 3305.15:3305.15:3305.15 3482.07:3482.07:3482.07
IOPATH D[3] O[24] 3219.24:3219.24:3219.24 3376.67:3376.67:3376.67
IOPATH D[3] O[25] 3332.22:3332.22:3332.22 3515.19:3515.19:3515.19
IOPATH D[3] O[26] 3495.11:3495.11:3495.11 3695.4:3695.4:3695.4
IOPATH D[3] O[27] 3486.07:3486.07:3486.07 3650.53:3650.53:3650.53
IOPATH D[3] O[28] 3416.87:3416.87:3416.87 3574.43:3574.43:3574.43
IOPATH D[3] O[29] 3502.03:3502.03:3502.03 3662.57:3662.57:3662.57
IOPATH D[3] O[30] 3569.03:3569.03:3569.03 3699.01:3699.01:3699.01
IOPATH D[3] O[31] 3619.43:3619.43:3619.43 3709.55:3709.55:3709.55
IOPATH D[4] ACCUMCO 2121.5:2121.5:2121.5 2388.24:2388.24:2388.24
IOPATH D[4] CO 2693.91:2693.91:2693.91 2934.23:2934.23:2934.23
IOPATH D[4] O[4] 1593.48:1593.48:1593.48 1716.48:1716.48:1716.48
IOPATH D[4] O[5] 1740.38:1740.38:1740.38 1885.4:1885.4:1885.4
IOPATH D[4] O[6] 1879.93:1879.93:1879.93 2037.38:2037.38:2037.38
IOPATH D[4] O[7] 1938:1938:1938 2086.32:2086.32:2086.32
IOPATH D[4] O[8] 2140.76:2140.76:2140.76 2321.07:2321.07:2321.07
IOPATH D[4] O[9] 2270.43:2270.43:2270.43 2470.53:2470.53:2470.53
IOPATH D[4] O[10] 2336.44:2336.44:2336.44 2516.49:2516.49:2516.49
IOPATH D[4] O[11] 2562.85:2562.85:2562.85 2737.22:2737.22:2737.22
IOPATH D[4] O[12] 2357.78:2357.78:2357.78 2511.15:2511.15:2511.15
IOPATH D[4] O[13] 2469.83:2469.83:2469.83 2630.77:2630.77:2630.77
IOPATH D[4] O[14] 2794.79:2794.79:2794.79 2973.96:2973.96:2973.96
IOPATH D[4] O[15] 2705.62:2705.62:2705.62 2898.99:2898.99:2898.99
IOPATH D[4] O[16] 2962.18:2962.18:2962.18 3212.94:3212.94:3212.94
IOPATH D[4] O[17] 3061.47:3061.47:3061.47 3285.2:3285.2:3285.2
IOPATH D[4] O[18] 3192.46:3192.46:3192.46 3442.91:3442.91:3442.91
IOPATH D[4] O[19] 3371.2:3371.2:3371.2 3562.14:3562.14:3562.14
IOPATH D[4] O[20] 3247.02:3247.02:3247.02 3418.2:3418.2:3418.2
IOPATH D[4] O[21] 3183.1:3183.1:3183.1 3348.63:3348.63:3348.63
IOPATH D[4] O[22] 3348.85:3348.85:3348.85 3554.79:3554.79:3554.79
IOPATH D[4] O[23] 3408.98:3408.98:3408.98 3585.89:3585.89:3585.89
IOPATH D[4] O[24] 3323.06:3323.06:3323.06 3480.49:3480.49:3480.49
IOPATH D[4] O[25] 3436.05:3436.05:3436.05 3619.01:3619.01:3619.01
IOPATH D[4] O[26] 3598.93:3598.93:3598.93 3799.22:3799.22:3799.22
IOPATH D[4] O[27] 3589.9:3589.9:3589.9 3754.35:3754.35:3754.35
IOPATH D[4] O[28] 3520.7:3520.7:3520.7 3678.26:3678.26:3678.26
IOPATH D[4] O[29] 3605.86:3605.86:3605.86 3766.39:3766.39:3766.39
IOPATH D[4] O[30] 3672.85:3672.85:3672.85 3802.84:3802.84:3802.84
IOPATH D[4] O[31] 3723.26:3723.26:3723.26 3813.38:3813.38:3813.38
IOPATH D[5] ACCUMCO 2183:2183:2183 2441.91:2441.91:2441.91
IOPATH D[5] CO 2755.41:2755.41:2755.41 2987.89:2987.89:2987.89
IOPATH D[5] O[5] 1644.75:1644.75:1644.75 1780.64:1780.64:1780.64
IOPATH D[5] O[6] 1808.82:1808.82:1808.82 1957.12:1957.12:1957.12
IOPATH D[5] O[7] 1857.32:1857.32:1857.32 2006.06:2006.06:2006.06
IOPATH D[5] O[8] 2194.44:2194.44:2194.44 2374.76:2374.76:2374.76
IOPATH D[5] O[9] 2324.12:2324.12:2324.12 2524.21:2524.21:2524.21
IOPATH D[5] O[10] 2390.13:2390.13:2390.13 2570.18:2570.18:2570.18
IOPATH D[5] O[11] 2616.53:2616.53:2616.53 2790.91:2790.91:2790.91
IOPATH D[5] O[12] 2411.47:2411.47:2411.47 2564.84:2564.84:2564.84
IOPATH D[5] O[13] 2523.52:2523.52:2523.52 2684.46:2684.46:2684.46
IOPATH D[5] O[14] 2848.48:2848.48:2848.48 3027.65:3027.65:3027.65
IOPATH D[5] O[15] 2759.31:2759.31:2759.31 2952.67:2952.67:2952.67
IOPATH D[5] O[16] 3015.85:3015.85:3015.85 3266.6:3266.6:3266.6
IOPATH D[5] O[17] 3115.13:3115.13:3115.13 3338.87:3338.87:3338.87
IOPATH D[5] O[18] 3246.12:3246.12:3246.12 3496.57:3496.57:3496.57
IOPATH D[5] O[19] 3424.86:3424.86:3424.86 3615.81:3615.81:3615.81
IOPATH D[5] O[20] 3300.69:3300.69:3300.69 3471.86:3471.86:3471.86
IOPATH D[5] O[21] 3236.76:3236.76:3236.76 3402.29:3402.29:3402.29
IOPATH D[5] O[22] 3402.52:3402.52:3402.52 3608.46:3608.46:3608.46
IOPATH D[5] O[23] 3462.64:3462.64:3462.64 3639.55:3639.55:3639.55
IOPATH D[5] O[24] 3376.73:3376.73:3376.73 3534.16:3534.16:3534.16
IOPATH D[5] O[25] 3489.71:3489.71:3489.71 3672.67:3672.67:3672.67
IOPATH D[5] O[26] 3652.6:3652.6:3652.6 3852.88:3852.88:3852.88
IOPATH D[5] O[27] 3643.56:3643.56:3643.56 3808.02:3808.02:3808.02
IOPATH D[5] O[28] 3574.36:3574.36:3574.36 3731.92:3731.92:3731.92
IOPATH D[5] O[29] 3659.52:3659.52:3659.52 3820.06:3820.06:3820.06
IOPATH D[5] O[30] 3726.52:3726.52:3726.52 3856.5:3856.5:3856.5
IOPATH D[5] O[31] 3776.92:3776.92:3776.92 3867.04:3867.04:3867.04
IOPATH D[6] ACCUMCO 2120.12:2120.12:2120.12 2334.97:2334.97:2334.97
IOPATH D[6] CO 2692.52:2692.52:2692.52 2880.96:2880.96:2880.96
IOPATH D[6] O[6] 1641.59:1641.59:1641.59 1788.99:1788.99:1788.99
IOPATH D[6] O[7] 1726.25:1726.25:1726.25 1862.41:1862.41:1862.41
IOPATH D[6] O[8] 2087.51:2087.51:2087.51 2267.83:2267.83:2267.83
IOPATH D[6] O[9] 2217.19:2217.19:2217.19 2417.28:2417.28:2417.28
IOPATH D[6] O[10] 2283.2:2283.2:2283.2 2463.25:2463.25:2463.25
IOPATH D[6] O[11] 2509.6:2509.6:2509.6 2683.98:2683.98:2683.98
IOPATH D[6] O[12] 2304.54:2304.54:2304.54 2457.91:2457.91:2457.91
IOPATH D[6] O[13] 2416.59:2416.59:2416.59 2577.53:2577.53:2577.53
IOPATH D[6] O[14] 2741.55:2741.55:2741.55 2920.72:2920.72:2920.72
IOPATH D[6] O[15] 2652.38:2652.38:2652.38 2845.74:2845.74:2845.74
IOPATH D[6] O[16] 2908.91:2908.91:2908.91 3159.67:3159.67:3159.67
IOPATH D[6] O[17] 3008.2:3008.2:3008.2 3231.93:3231.93:3231.93
IOPATH D[6] O[18] 3139.19:3139.19:3139.19 3389.64:3389.64:3389.64
IOPATH D[6] O[19] 3317.93:3317.93:3317.93 3508.87:3508.87:3508.87
IOPATH D[6] O[20] 3193.75:3193.75:3193.75 3364.93:3364.93:3364.93
IOPATH D[6] O[21] 3129.83:3129.83:3129.83 3295.36:3295.36:3295.36
IOPATH D[6] O[22] 3295.58:3295.58:3295.58 3501.52:3501.52:3501.52
IOPATH D[6] O[23] 3355.71:3355.71:3355.71 3532.62:3532.62:3532.62
IOPATH D[6] O[24] 3269.8:3269.8:3269.8 3427.22:3427.22:3427.22
IOPATH D[6] O[25] 3382.78:3382.78:3382.78 3565.74:3565.74:3565.74
IOPATH D[6] O[26] 3545.66:3545.66:3545.66 3745.95:3745.95:3745.95
IOPATH D[6] O[27] 3536.63:3536.63:3536.63 3701.09:3701.09:3701.09
IOPATH D[6] O[28] 3467.43:3467.43:3467.43 3624.99:3624.99:3624.99
IOPATH D[6] O[29] 3552.59:3552.59:3552.59 3713.12:3713.12:3713.12
IOPATH D[6] O[30] 3619.58:3619.58:3619.58 3749.57:3749.57:3749.57
IOPATH D[6] O[31] 3669.99:3669.99:3669.99 3760.11:3760.11:3760.11
IOPATH D[7] ACCUMCO 2108.67:2108.67:2108.67 2306.64:2306.64:2306.64
IOPATH D[7] CO 2681.07:2681.07:2681.07 2852.63:2852.63:2852.63
IOPATH D[7] O[7] 1626.02:1626.02:1626.02 1772.54:1772.54:1772.54
IOPATH D[7] O[8] 2066.58:2066.58:2066.58 2241.1:2241.1:2241.1
IOPATH D[7] O[9] 2190.46:2190.46:2190.46 2390.56:2390.56:2390.56
IOPATH D[7] O[10] 2256.47:2256.47:2256.47 2436.52:2436.52:2436.52
IOPATH D[7] O[11] 2482.88:2482.88:2482.88 2657.25:2657.25:2657.25
IOPATH D[7] O[12] 2277.8:2277.8:2277.8 2431.18:2431.18:2431.18
IOPATH D[7] O[13] 2389.85:2389.85:2389.85 2550.79:2550.79:2550.79
IOPATH D[7] O[14] 2714.82:2714.82:2714.82 2893.99:2893.99:2893.99
IOPATH D[7] O[15] 2625.64:2625.64:2625.64 2819.01:2819.01:2819.01
IOPATH D[7] O[16] 2880.59:2880.59:2880.59 3131.34:3131.34:3131.34
IOPATH D[7] O[17] 2979.87:2979.87:2979.87 3203.61:3203.61:3203.61
IOPATH D[7] O[18] 3110.86:3110.86:3110.86 3361.31:3361.31:3361.31
IOPATH D[7] O[19] 3289.6:3289.6:3289.6 3480.55:3480.55:3480.55
IOPATH D[7] O[20] 3165.43:3165.43:3165.43 3336.6:3336.6:3336.6
IOPATH D[7] O[21] 3101.5:3101.5:3101.5 3267.03:3267.03:3267.03
IOPATH D[7] O[22] 3267.26:3267.26:3267.26 3473.2:3473.2:3473.2
IOPATH D[7] O[23] 3327.38:3327.38:3327.38 3504.29:3504.29:3504.29
IOPATH D[7] O[24] 3241.47:3241.47:3241.47 3398.9:3398.9:3398.9
IOPATH D[7] O[25] 3354.45:3354.45:3354.45 3537.41:3537.41:3537.41
IOPATH D[7] O[26] 3517.34:3517.34:3517.34 3717.62:3717.62:3717.62
IOPATH D[7] O[27] 3508.3:3508.3:3508.3 3672.76:3672.76:3672.76
IOPATH D[7] O[28] 3439.1:3439.1:3439.1 3596.66:3596.66:3596.66
IOPATH D[7] O[29] 3524.26:3524.26:3524.26 3684.8:3684.8:3684.8
IOPATH D[7] O[30] 3591.26:3591.26:3591.26 3721.24:3721.24:3721.24
IOPATH D[7] O[31] 3641.66:3641.66:3641.66 3731.78:3731.78:3731.78
IOPATH D[8] ACCUMCO 2103.23:2103.23:2103.23 2237.68:2237.68:2237.68
IOPATH D[8] CO 2675.63:2675.63:2675.63 2783.67:2783.67:2783.67
IOPATH D[8] O[8] 1692.2:1692.2:1692.2 1867.38:1867.38:1867.38
IOPATH D[8] O[9] 1846.11:1846.11:1846.11 2041.5:2041.5:2041.5
IOPATH D[8] O[10] 1907.41:1907.41:1907.41 2087.47:2087.47:2087.47
IOPATH D[8] O[11] 2133.82:2133.82:2133.82 2308.2:2308.2:2308.2
IOPATH D[8] O[12] 2125.45:2125.45:2125.45 2278.72:2278.72:2278.72
IOPATH D[8] O[13] 2237.41:2237.41:2237.41 2398.35:2398.35:2398.35
IOPATH D[8] O[14] 2562.37:2562.37:2562.37 2741.54:2741.54:2741.54
IOPATH D[8] O[15] 2473.2:2473.2:2473.2 2666.57:2666.57:2666.57
IOPATH D[8] O[16] 2811.62:2811.62:2811.62 3062.38:3062.38:3062.38
IOPATH D[8] O[17] 2910.91:2910.91:2910.91 3134.65:3134.65:3134.65
IOPATH D[8] O[18] 3041.9:3041.9:3041.9 3292.35:3292.35:3292.35
IOPATH D[8] O[19] 3220.64:3220.64:3220.64 3411.59:3411.59:3411.59
IOPATH D[8] O[20] 3096.47:3096.47:3096.47 3267.64:3267.64:3267.64
IOPATH D[8] O[21] 3032.54:3032.54:3032.54 3198.07:3198.07:3198.07
IOPATH D[8] O[22] 3198.3:3198.3:3198.3 3404.23:3404.23:3404.23
IOPATH D[8] O[23] 3258.42:3258.42:3258.42 3435.33:3435.33:3435.33
IOPATH D[8] O[24] 3172.51:3172.51:3172.51 3329.94:3329.94:3329.94
IOPATH D[8] O[25] 3285.49:3285.49:3285.49 3468.45:3468.45:3468.45
IOPATH D[8] O[26] 3448.38:3448.38:3448.38 3648.66:3648.66:3648.66
IOPATH D[8] O[27] 3439.34:3439.34:3439.34 3603.8:3603.8:3603.8
IOPATH D[8] O[28] 3370.14:3370.14:3370.14 3527.7:3527.7:3527.7
IOPATH D[8] O[29] 3455.3:3455.3:3455.3 3615.84:3615.84:3615.84
IOPATH D[8] O[30] 3522.3:3522.3:3522.3 3652.28:3652.28:3652.28
IOPATH D[8] O[31] 3572.7:3572.7:3572.7 3662.82:3662.82:3662.82
IOPATH D[9] ACCUMCO 2120.66:2120.66:2120.66 2272.18:2272.18:2272.18
IOPATH D[9] CO 2693.06:2693.06:2693.06 2818.17:2818.17:2818.17
IOPATH D[9] O[9] 1729.76:1729.76:1729.76 1921.35:1921.35:1921.35
IOPATH D[9] O[10] 1819.09:1819.09:1819.09 1991.92:1991.92:1991.92
IOPATH D[9] O[11] 2038.27:2038.27:2038.27 2212.65:2212.65:2212.65
IOPATH D[9] O[12] 2159.94:2159.94:2159.94 2313.22:2313.22:2313.22
IOPATH D[9] O[13] 2271.9:2271.9:2271.9 2432.84:2432.84:2432.84
IOPATH D[9] O[14] 2596.86:2596.86:2596.86 2776.04:2776.04:2776.04
IOPATH D[9] O[15] 2507.69:2507.69:2507.69 2701.06:2701.06:2701.06
IOPATH D[9] O[16] 2846.12:2846.12:2846.12 3096.88:3096.88:3096.88
IOPATH D[9] O[17] 2945.4:2945.4:2945.4 3169.14:3169.14:3169.14
IOPATH D[9] O[18] 3076.39:3076.39:3076.39 3326.85:3326.85:3326.85
IOPATH D[9] O[19] 3255.14:3255.14:3255.14 3446.09:3446.09:3446.09
IOPATH D[9] O[20] 3130.96:3130.96:3130.96 3302.14:3302.14:3302.14
IOPATH D[9] O[21] 3067.04:3067.04:3067.04 3232.57:3232.57:3232.57
IOPATH D[9] O[22] 3232.79:3232.79:3232.79 3438.73:3438.73:3438.73
IOPATH D[9] O[23] 3292.92:3292.92:3292.92 3469.83:3469.83:3469.83
IOPATH D[9] O[24] 3207:3207:3207 3364.43:3364.43:3364.43
IOPATH D[9] O[25] 3319.99:3319.99:3319.99 3502.95:3502.95:3502.95
IOPATH D[9] O[26] 3482.87:3482.87:3482.87 3683.16:3683.16:3683.16
IOPATH D[9] O[27] 3473.84:3473.84:3473.84 3638.29:3638.29:3638.29
IOPATH D[9] O[28] 3404.64:3404.64:3404.64 3562.2:3562.2:3562.2
IOPATH D[9] O[29] 3489.8:3489.8:3489.8 3650.33:3650.33:3650.33
IOPATH D[9] O[30] 3556.79:3556.79:3556.79 3686.78:3686.78:3686.78
IOPATH D[9] O[31] 3607.2:3607.2:3607.2 3697.32:3697.32:3697.32
IOPATH D[10] ACCUMCO 2104.36:2104.36:2104.36 2216.31:2216.31:2216.31
IOPATH D[10] CO 2676.76:2676.76:2676.76 2762.3:2762.3:2762.3
IOPATH D[10] O[10] 1676.68:1676.68:1676.68 1845.21:1845.21:1845.21
IOPATH D[10] O[11] 1927.47:1927.47:1927.47 2090.56:2090.56:2090.56
IOPATH D[10] O[12] 2077.19:2077.19:2077.19 2230.46:2230.46:2230.46
IOPATH D[10] O[13] 2189.15:2189.15:2189.15 2350.09:2350.09:2350.09
IOPATH D[10] O[14] 2514.11:2514.11:2514.11 2693.28:2693.28:2693.28
IOPATH D[10] O[15] 2424.94:2424.94:2424.94 2618.31:2618.31:2618.31
IOPATH D[10] O[16] 2806.67:2806.67:2806.67 3042.53:3042.53:3042.53
IOPATH D[10] O[17] 2893.85:2893.85:2893.85 3113.27:3113.27:3113.27
IOPATH D[10] O[18] 3020.53:3020.53:3020.53 3270.98:3270.98:3270.98
IOPATH D[10] O[19] 3199.27:3199.27:3199.27 3390.22:3390.22:3390.22
IOPATH D[10] O[20] 3075.09:3075.09:3075.09 3246.27:3246.27:3246.27
IOPATH D[10] O[21] 3011.17:3011.17:3011.17 3176.7:3176.7:3176.7
IOPATH D[10] O[22] 3176.92:3176.92:3176.92 3382.86:3382.86:3382.86
IOPATH D[10] O[23] 3237.05:3237.05:3237.05 3413.96:3413.96:3413.96
IOPATH D[10] O[24] 3151.14:3151.14:3151.14 3308.57:3308.57:3308.57
IOPATH D[10] O[25] 3264.12:3264.12:3264.12 3447.08:3447.08:3447.08
IOPATH D[10] O[26] 3427.01:3427.01:3427.01 3627.29:3627.29:3627.29
IOPATH D[10] O[27] 3417.97:3417.97:3417.97 3582.43:3582.43:3582.43
IOPATH D[10] O[28] 3348.77:3348.77:3348.77 3506.33:3506.33:3506.33
IOPATH D[10] O[29] 3433.93:3433.93:3433.93 3594.46:3594.46:3594.46
IOPATH D[10] O[30] 3500.92:3500.92:3500.92 3630.91:3630.91:3630.91
IOPATH D[10] O[31] 3551.33:3551.33:3551.33 3641.45:3641.45:3641.45
IOPATH D[11] ACCUMCO 2070.71:2070.71:2070.71 2191.58:2191.58:2191.58
IOPATH D[11] CO 2643.11:2643.11:2643.11 2737.57:2737.57:2737.57
IOPATH D[11] O[11] 1794.33:1794.33:1794.33 1966.76:1966.76:1966.76
IOPATH D[11] O[12] 2032:2032:2032 2185.26:2185.26:2185.26
IOPATH D[11] O[13] 2143.94:2143.94:2143.94 2304.88:2304.88:2304.88
IOPATH D[11] O[14] 2468.9:2468.9:2468.9 2648.08:2648.08:2648.08
IOPATH D[11] O[15] 2379.73:2379.73:2379.73 2573.1:2573.1:2573.1
IOPATH D[11] O[16] 2773.02:2773.02:2773.02 3016.28:3016.28:3016.28
IOPATH D[11] O[17] 2864.8:2864.8:2864.8 3088.54:3088.54:3088.54
IOPATH D[11] O[18] 2995.79:2995.79:2995.79 3246.25:3246.25:3246.25
IOPATH D[11] O[19] 3174.54:3174.54:3174.54 3365.48:3365.48:3365.48
IOPATH D[11] O[20] 3050.36:3050.36:3050.36 3221.54:3221.54:3221.54
IOPATH D[11] O[21] 2986.44:2986.44:2986.44 3151.97:3151.97:3151.97
IOPATH D[11] O[22] 3152.19:3152.19:3152.19 3358.13:3358.13:3358.13
IOPATH D[11] O[23] 3212.32:3212.32:3212.32 3389.23:3389.23:3389.23
IOPATH D[11] O[24] 3126.4:3126.4:3126.4 3283.83:3283.83:3283.83
IOPATH D[11] O[25] 3239.39:3239.39:3239.39 3422.35:3422.35:3422.35
IOPATH D[11] O[26] 3402.27:3402.27:3402.27 3602.56:3602.56:3602.56
IOPATH D[11] O[27] 3393.24:3393.24:3393.24 3557.69:3557.69:3557.69
IOPATH D[11] O[28] 3324.04:3324.04:3324.04 3481.59:3481.59:3481.59
IOPATH D[11] O[29] 3409.2:3409.2:3409.2 3569.73:3569.73:3569.73
IOPATH D[11] O[30] 3476.19:3476.19:3476.19 3606.18:3606.18:3606.18
IOPATH D[11] O[31] 3526.6:3526.6:3526.6 3616.72:3616.72:3616.72
IOPATH D[12] ACCUMCO 2085.75:2085.75:2085.75 2237.18:2237.18:2237.18
IOPATH D[12] CO 2658.16:2658.16:2658.16 2783.16:2783.16:2783.16
IOPATH D[12] O[12] 1672.29:1672.29:1672.29 1815.52:1815.52:1815.52
IOPATH D[12] O[13] 1807.43:1807.43:1807.43 1959.55:1959.55:1959.55
IOPATH D[12] O[14] 2123.57:2123.57:2123.57 2302.74:2302.74:2302.74
IOPATH D[12] O[15] 2034.4:2034.4:2034.4 2227.77:2227.77:2227.77
IOPATH D[12] O[16] 2811.12:2811.12:2811.12 3061.88:3061.88:3061.88
IOPATH D[12] O[17] 2910.4:2910.4:2910.4 3134.14:3134.14:3134.14
IOPATH D[12] O[18] 3041.39:3041.39:3041.39 3291.84:3291.84:3291.84
IOPATH D[12] O[19] 3220.13:3220.13:3220.13 3411.08:3411.08:3411.08
IOPATH D[12] O[20] 3095.96:3095.96:3095.96 3267.13:3267.13:3267.13
IOPATH D[12] O[21] 3032.04:3032.04:3032.04 3197.57:3197.57:3197.57
IOPATH D[12] O[22] 3197.79:3197.79:3197.79 3403.73:3403.73:3403.73
IOPATH D[12] O[23] 3257.91:3257.91:3257.91 3434.82:3434.82:3434.82
IOPATH D[12] O[24] 3172:3172:3172 3329.43:3329.43:3329.43
IOPATH D[12] O[25] 3284.98:3284.98:3284.98 3467.95:3467.95:3467.95
IOPATH D[12] O[26] 3447.87:3447.87:3447.87 3648.15:3648.15:3648.15
IOPATH D[12] O[27] 3438.83:3438.83:3438.83 3603.29:3603.29:3603.29
IOPATH D[12] O[28] 3369.63:3369.63:3369.63 3527.19:3527.19:3527.19
IOPATH D[12] O[29] 3454.79:3454.79:3454.79 3615.33:3615.33:3615.33
IOPATH D[12] O[30] 3521.79:3521.79:3521.79 3651.78:3651.78:3651.78
IOPATH D[12] O[31] 3572.19:3572.19:3572.19 3662.32:3662.32:3662.32
IOPATH D[13] ACCUMCO 2108.93:2108.93:2108.93 2287.07:2287.07:2287.07
IOPATH D[13] CO 2681.34:2681.34:2681.34 2833.06:2833.06:2833.06
IOPATH D[13] O[13] 1691.06:1691.06:1691.06 1837.69:1837.69:1837.69
IOPATH D[13] O[14] 2035.69:2035.69:2035.69 2204.68:2204.68:2204.68
IOPATH D[13] O[15] 1936.33:1936.33:1936.33 2129.7:2129.7:2129.7
IOPATH D[13] O[16] 2861.01:2861.01:2861.01 3111.77:3111.77:3111.77
IOPATH D[13] O[17] 2960.3:2960.3:2960.3 3184.04:3184.04:3184.04
IOPATH D[13] O[18] 3091.29:3091.29:3091.29 3341.74:3341.74:3341.74
IOPATH D[13] O[19] 3270.03:3270.03:3270.03 3460.98:3460.98:3460.98
IOPATH D[13] O[20] 3145.86:3145.86:3145.86 3317.03:3317.03:3317.03
IOPATH D[13] O[21] 3081.93:3081.93:3081.93 3247.46:3247.46:3247.46
IOPATH D[13] O[22] 3247.69:3247.69:3247.69 3453.62:3453.62:3453.62
IOPATH D[13] O[23] 3307.81:3307.81:3307.81 3484.72:3484.72:3484.72
IOPATH D[13] O[24] 3221.9:3221.9:3221.9 3379.33:3379.33:3379.33
IOPATH D[13] O[25] 3334.88:3334.88:3334.88 3517.84:3517.84:3517.84
IOPATH D[13] O[26] 3497.77:3497.77:3497.77 3698.05:3698.05:3698.05
IOPATH D[13] O[27] 3488.73:3488.73:3488.73 3653.19:3653.19:3653.19
IOPATH D[13] O[28] 3419.53:3419.53:3419.53 3577.09:3577.09:3577.09
IOPATH D[13] O[29] 3504.69:3504.69:3504.69 3665.22:3665.22:3665.22
IOPATH D[13] O[30] 3571.68:3571.68:3571.68 3701.67:3701.67:3701.67
IOPATH D[13] O[31] 3622.09:3622.09:3622.09 3712.21:3712.21:3712.21
IOPATH D[14] ACCUMCO 2094.53:2094.53:2094.53 2207:2207:2207
IOPATH D[14] CO 2666.93:2666.93:2666.93 2752.99:2752.99:2752.99
IOPATH D[14] O[14] 1885.41:1885.41:1885.41 2050.52:2050.52:2050.52
IOPATH D[14] O[15] 1818.91:1818.91:1818.91 1999.97:1999.97:1999.97
IOPATH D[14] O[16] 2796.83:2796.83:2796.83 3032.69:3032.69:3032.69
IOPATH D[14] O[17] 2884.01:2884.01:2884.01 3103.96:3103.96:3103.96
IOPATH D[14] O[18] 3011.22:3011.22:3011.22 3261.67:3261.67:3261.67
IOPATH D[14] O[19] 3189.96:3189.96:3189.96 3380.91:3380.91:3380.91
IOPATH D[14] O[20] 3065.78:3065.78:3065.78 3236.96:3236.96:3236.96
IOPATH D[14] O[21] 3001.86:3001.86:3001.86 3167.39:3167.39:3167.39
IOPATH D[14] O[22] 3167.61:3167.61:3167.61 3373.55:3373.55:3373.55
IOPATH D[14] O[23] 3227.74:3227.74:3227.74 3404.65:3404.65:3404.65
IOPATH D[14] O[24] 3141.82:3141.82:3141.82 3299.25:3299.25:3299.25
IOPATH D[14] O[25] 3254.81:3254.81:3254.81 3437.77:3437.77:3437.77
IOPATH D[14] O[26] 3417.69:3417.69:3417.69 3617.98:3617.98:3617.98
IOPATH D[14] O[27] 3408.66:3408.66:3408.66 3573.11:3573.11:3573.11
IOPATH D[14] O[28] 3339.46:3339.46:3339.46 3497.02:3497.02:3497.02
IOPATH D[14] O[29] 3424.62:3424.62:3424.62 3585.15:3585.15:3585.15
IOPATH D[14] O[30] 3491.61:3491.61:3491.61 3621.6:3621.6:3621.6
IOPATH D[14] O[31] 3542.02:3542.02:3542.02 3632.14:3632.14:3632.14
IOPATH D[15] ACCUMCO 2085.15:2085.15:2085.15 2170.79:2170.79:2170.79
IOPATH D[15] CO 2657.55:2657.55:2657.55 2716.78:2716.78:2716.78
IOPATH D[15] O[15] 1716.3:1716.3:1716.3 1907.17:1907.17:1907.17
IOPATH D[15] O[16] 2787.45:2787.45:2787.45 3023.31:3023.31:3023.31
IOPATH D[15] O[17] 2874.63:2874.63:2874.63 3082.88:3082.88:3082.88
IOPATH D[15] O[18] 2990.08:2990.08:2990.08 3225.45:3225.45:3225.45
IOPATH D[15] O[19] 3158.1:3158.1:3158.1 3344.69:3344.69:3344.69
IOPATH D[15] O[20] 3029.57:3029.57:3029.57 3200.74:3200.74:3200.74
IOPATH D[15] O[21] 2965.65:2965.65:2965.65 3131.18:3131.18:3131.18
IOPATH D[15] O[22] 3131.4:3131.4:3131.4 3337.34:3337.34:3337.34
IOPATH D[15] O[23] 3191.53:3191.53:3191.53 3368.44:3368.44:3368.44
IOPATH D[15] O[24] 3105.61:3105.61:3105.61 3263.04:3263.04:3263.04
IOPATH D[15] O[25] 3218.6:3218.6:3218.6 3401.56:3401.56:3401.56
IOPATH D[15] O[26] 3381.48:3381.48:3381.48 3581.77:3581.77:3581.77
IOPATH D[15] O[27] 3372.45:3372.45:3372.45 3536.9:3536.9:3536.9
IOPATH D[15] O[28] 3303.25:3303.25:3303.25 3460.81:3460.81:3460.81
IOPATH D[15] O[29] 3388.4:3388.4:3388.4 3548.94:3548.94:3548.94
IOPATH D[15] O[30] 3455.4:3455.4:3455.4 3585.39:3585.39:3585.39
IOPATH D[15] O[31] 3505.81:3505.81:3505.81 3595.93:3595.93:3595.93
IOPATH OLOADBOT O[0] 930.106:930.106:930.106 1000.14:1000.14:1000.14
IOPATH OLOADBOT O[1] 858.792:858.792:858.792 988.426:988.426:988.426
IOPATH OLOADBOT O[2] 928.829:928.829:928.829 1027.86:1027.86:1027.86
IOPATH OLOADBOT O[3] 757.722:757.722:757.722 850.563:850.563:850.563
IOPATH OLOADBOT O[4] 929.142:929.142:929.142 994.617:994.617:994.617
IOPATH OLOADBOT O[5] 791.375:791.375:791.375 897.445:897.445:897.445
IOPATH OLOADBOT O[6] 984.111:984.111:984.111 1116.85:1116.85:1116.85
IOPATH OLOADBOT O[7] 777.349:777.349:777.349 908.956:908.956:908.956
IOPATH OLOADBOT O[8] 969.707:969.707:969.707 1168.2:1168.2:1168.2
IOPATH OLOADBOT O[9] 870.978:870.978:870.978 1029.46:1029.46:1029.46
IOPATH OLOADBOT O[10] 1004.49:1004.49:1004.49 1120.52:1120.52:1120.52
IOPATH OLOADBOT O[11] 1095.74:1095.74:1095.74 1288.72:1288.72:1288.72
IOPATH OLOADBOT O[12] 929.662:929.662:929.662 1104.66:1104.66:1104.66
IOPATH OLOADBOT O[13] 934.386:934.386:934.386 1117.42:1117.42:1117.42
IOPATH OLOADBOT O[14] 999.221:999.221:999.221 1163.74:1163.74:1163.74
IOPATH OLOADBOT O[15] 1025.74:1025.74:1025.74 1189.82:1189.82:1189.82
IOPATH OLOADTOP O[16] 1123.39:1123.39:1123.39 1301.49:1301.49:1301.49
IOPATH OLOADTOP O[17] 1061.51:1061.51:1061.51 1311.62:1311.62:1311.62
IOPATH OLOADTOP O[18] 960.736:960.736:960.736 1195.65:1195.65:1195.65
IOPATH OLOADTOP O[19] 1075.24:1075.24:1075.24 1220.06:1220.06:1220.06
IOPATH OLOADTOP O[20] 998.828:998.828:998.828 1123.23:1123.23:1123.23
IOPATH OLOADTOP O[21] 947.354:947.354:947.354 1139.75:1139.75:1139.75
IOPATH OLOADTOP O[22] 1067.04:1067.04:1067.04 1200.08:1200.08:1200.08
IOPATH OLOADTOP O[23] 983.054:983.054:983.054 1189.04:1189.04:1189.04
IOPATH OLOADTOP O[24] 815.867:815.867:815.867 962.766:962.766:962.766
IOPATH OLOADTOP O[25] 1029.87:1029.87:1029.87 1181.83:1181.83:1181.83
IOPATH OLOADTOP O[26] 1035.45:1035.45:1035.45 1263.44:1263.44:1263.44
IOPATH OLOADTOP O[27] 997.557:997.557:997.557 1090.52:1090.52:1090.52
IOPATH OLOADTOP O[28] 923.324:923.324:923.324 1109.8:1109.8:1109.8
IOPATH OLOADTOP O[29] 818.752:818.752:818.752 930.026:930.026:930.026
IOPATH OLOADTOP O[30] 945.173:945.173:945.173 1048.45:1048.45:1048.45
IOPATH OLOADTOP O[31] 938.322:938.322:938.322 1033.57:1033.57:1033.57
CELL SB_MAC16_MAC_U_8X8_ALL_PIPELINE
HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246
HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741
HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99
HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2
HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945
HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875
HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084
HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786
HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124
HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61
HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622
HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057
HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708
HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794
HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812
HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546
HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98
HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575
HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028
HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302
HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007
HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006
HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769
HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609
HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274
HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668
HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878
HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905
HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213
HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869
HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724
HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759
HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851
HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754
HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689
HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169
HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019
HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19
HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487
HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38
HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834
HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059
HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288
HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096
HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083
HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184
HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033
HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949
HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169
HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937
HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235
HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549
HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429
HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878
HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317
HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125
HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239
HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004
HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749
HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895
HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237
HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011
HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317
HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158
HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257
HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946
HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826
HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441
HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634
HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001
HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23
HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282
HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798
HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665
HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322
HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322
HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459
HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852
HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475
HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36
HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946
HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919
HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172
HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888
HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785
HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088
HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14
HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736
HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36
HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019
HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029
HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175
HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435
HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228
HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656
HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102
HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305
HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178
HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703
HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52
HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364
HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228
HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105
HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81
HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215
HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332
HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53
HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906
HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892
HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57
HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313
HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675
HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519
HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574
HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811
HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306
HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812
HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702
HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862
HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001
HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338
HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318
HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393
HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921
HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495
HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588
HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582
HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936
HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924
HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822
HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464
HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375
HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025
HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529
HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477
HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339
HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177
HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15
HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024
HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327
HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007
HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511
HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689
HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151
HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889
HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884
HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27
HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919
RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724
RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18
RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935
RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441
RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0
RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0
RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0
RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0
SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473
SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881
SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986
SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638
SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238
SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594
SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652
SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925
SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478
SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9
SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604
SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004
SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212
SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344
SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514
SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389
SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04
SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42
SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142
SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519
SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051
SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492
SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529
SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745
SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83
SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288
SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367
SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683
SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778
SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203
SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766
SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713
SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597
SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964
SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196
SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407
SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088
SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488
SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958
SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861
SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449
SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561
SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749
SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793
SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198
SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708
SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965
SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909
SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105
SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516
SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482
SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58
SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583
SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447
SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711
SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393
SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595
SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159
SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55
SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331
SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414
SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946
SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781
SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825
SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672
SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497
SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431
SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009
SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209
SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354
SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706
SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298
SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702
SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817
SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512
SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696
SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595
SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939
SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245
SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991
SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085
SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191
SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441
SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648
SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249
SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189
SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247
SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668
SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469
SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253
SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14
SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31
SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311
SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943
SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073
SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514
SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154
SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082
SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633
SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568
SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844
SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375
SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787
SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876
SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63
SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556
SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909
SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688
SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426
SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109
SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649
SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462
SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661
SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11
SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401
SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085
SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064
SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84
SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954
SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79
SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967
SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927
SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279
SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749
SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83
SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046
SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68
SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413
SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733
SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518
SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675
SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605
SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758
SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128
SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187
SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692
SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422
SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081
SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016
SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487
SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07
SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539
SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431
SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771
SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787
SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37
SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775
SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117
IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37
IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378
IOPATH posedge:CLK ACCUMCO 2239.12:2239.12:2239.12 2541.75:2541.75:2541.75
IOPATH posedge:CLK CO 2811.52:2811.52:2811.52 3087.74:3087.74:3087.74
IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88
IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269
IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73
IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37
IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14
IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45
IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65
IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21
IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36
IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28
IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86
IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98
IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69
IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91
IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23
IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74
IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56
IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63
IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77
IOPATH posedge:CLK O[19] 1382.82:1382.82:1382.82 1498.84:1498.84:1498.84
IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33
IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98
IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92
IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92
IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81
IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54
IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67
IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48
IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02
IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65
IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97
IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95
IOPATH posedge:CLK SIGNEXTOUT 1254.72:1254.72:1254.72 1376.9:1376.9:1376.9
CELL SB_MAC16_MAC_U_8X8_BYPASS
HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246
HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741
HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99
HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2
HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945
HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875
HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084
HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786
HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124
HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61
HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622
HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057
HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708
HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794
HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812
HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546
HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98
HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403
HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028
HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318
HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007
HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98
HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743
HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609
HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274
HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668
HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88
HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905
HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213
HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869
HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724
HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759
HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851
HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756
HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689
HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143
HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019
HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19
HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487
HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38
HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834
HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059
HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288
HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096
HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083
HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184
HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033
HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949
HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169
HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937
HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235
HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549
HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429
HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878
HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317
HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125
HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239
HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004
HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749
HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895
HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237
HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011
HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363
HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158
HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257
HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946
HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826
HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441
HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634
HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001
HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226
HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282
HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798
HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665
HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322
HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322
HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459
HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852
HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475
HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36
HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946
HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919
HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172
HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888
HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785
HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088
HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14
HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736
HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36
HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019
HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029
HOLD posedge:ADDSUBTOP posedge:CLK 51.972:51.972:51.972
HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435
HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268
HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656
HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102
HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267
HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178
HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66
HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52
HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363
HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228
HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105
HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81
HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215
HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332
HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53
HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905
HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892
HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57
HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313
HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675
HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519
HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574
HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811
HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306
HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812
HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702
HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862
HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001
HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338
HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318
HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393
HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921
HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495
HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588
HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582
HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936
HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924
HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822
HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464
HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375
HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025
HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529
HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477
HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339
HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361
HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15
HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024
HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327
HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971
HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511
HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689
HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151
HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891
HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884
HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188
HOLD posedge:OLOADTOP posedge:CLK 163.456:163.456:163.456
RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559
RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859
RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935
RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441
RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0
RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0
RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0
RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0
SETUP negedge:A[0] posedge:CLK 2620.66:2620.66:2620.66
SETUP negedge:A[1] posedge:CLK 2757.73:2757.73:2757.73
SETUP negedge:A[2] posedge:CLK 2767.16:2767.16:2767.16
SETUP negedge:A[3] posedge:CLK 2799.2:2799.2:2799.2
SETUP negedge:A[4] posedge:CLK 2900.31:2900.31:2900.31
SETUP negedge:A[5] posedge:CLK 2988.82:2988.82:2988.82
SETUP negedge:A[6] posedge:CLK 2900.46:2900.46:2900.46
SETUP negedge:A[7] posedge:CLK 2929.26:2929.26:2929.26
SETUP negedge:A[8] posedge:CLK 2579.34:2579.34:2579.34
SETUP negedge:A[9] posedge:CLK 2759.68:2759.68:2759.68
SETUP negedge:A[10] posedge:CLK 2691.47:2691.47:2691.47
SETUP negedge:A[11] posedge:CLK 2696.7:2696.7:2696.7
SETUP negedge:A[12] posedge:CLK 2767.37:2767.37:2767.37
SETUP negedge:A[13] posedge:CLK 2793.11:2793.11:2793.11
SETUP negedge:A[14] posedge:CLK 2812.7:2812.7:2812.7
SETUP negedge:A[15] posedge:CLK 2826.08:2826.08:2826.08
SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04
SETUP negedge:ADDSUBTOP posedge:CLK 1376.27:1376.27:1376.27
SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142
SETUP negedge:B[0] posedge:CLK 2910.97:2910.97:2910.97
SETUP negedge:B[1] posedge:CLK 3050.18:3050.18:3050.18
SETUP negedge:B[2] posedge:CLK 2975.78:2975.78:2975.78
SETUP negedge:B[3] posedge:CLK 3070.24:3070.24:3070.24
SETUP negedge:B[4] posedge:CLK 2890.04:2890.04:2890.04
SETUP negedge:B[5] posedge:CLK 3003.39:3003.39:3003.39
SETUP negedge:B[6] posedge:CLK 2650.1:2650.1:2650.1
SETUP negedge:B[7] posedge:CLK 2750.06:2750.06:2750.06
SETUP negedge:B[8] posedge:CLK 2841.51:2841.51:2841.51
SETUP negedge:B[9] posedge:CLK 3068.19:3068.19:3068.19
SETUP negedge:B[10] posedge:CLK 2945.48:2945.48:2945.48
SETUP negedge:B[11] posedge:CLK 3109.63:3109.63:3109.63
SETUP negedge:B[12] posedge:CLK 2924.88:2924.88:2924.88
SETUP negedge:B[13] posedge:CLK 2969.53:2969.53:2969.53
SETUP negedge:B[14] posedge:CLK 2575.1:2575.1:2575.1
SETUP negedge:B[15] posedge:CLK 2726.04:2726.04:2726.04
SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441
SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759
SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027
SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816
SETUP negedge:C[3] posedge:CLK 138.868:138.868:138.868
SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551
SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498
SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777
SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388
SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784
SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056
SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101
SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454
SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937
SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873
SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409
SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212
SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583
SETUP negedge:D[0] posedge:CLK 219.968:219.968:219.968
SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659
SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382
SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268
SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297
SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515
SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316
SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094
SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08
SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146
SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736
SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403
SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62
SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478
SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064
SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673
SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354
SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586
SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055
SETUP negedge:OLOADBOT posedge:CLK 343.274:343.274:343.274
SETUP negedge:OLOADTOP posedge:CLK 278.586:278.586:278.586
SETUP posedge:A[0] posedge:CLK 2596.37:2596.37:2596.37
SETUP posedge:A[1] posedge:CLK 2742.59:2742.59:2742.59
SETUP posedge:A[2] posedge:CLK 2764.11:2764.11:2764.11
SETUP posedge:A[3] posedge:CLK 2784.23:2784.23:2784.23
SETUP posedge:A[4] posedge:CLK 2877.13:2877.13:2877.13
SETUP posedge:A[5] posedge:CLK 3041.57:3041.57:3041.57
SETUP posedge:A[6] posedge:CLK 2891.53:2891.53:2891.53
SETUP posedge:A[7] posedge:CLK 2914.14:2914.14:2914.14
SETUP posedge:A[8] posedge:CLK 2574.84:2574.84:2574.84
SETUP posedge:A[9] posedge:CLK 2757.62:2757.62:2757.62
SETUP posedge:A[10] posedge:CLK 2684.09:2684.09:2684.09
SETUP posedge:A[11] posedge:CLK 2718.67:2718.67:2718.67
SETUP posedge:A[12] posedge:CLK 2794.04:2794.04:2794.04
SETUP posedge:A[13] posedge:CLK 2839.67:2839.67:2839.67
SETUP posedge:A[14] posedge:CLK 2861.25:2861.25:2861.25
SETUP posedge:A[15] posedge:CLK 2874.04:2874.04:2874.04
SETUP posedge:ADDSUBBOT posedge:CLK 1413.13:1413.13:1413.13
SETUP posedge:ADDSUBTOP posedge:CLK 1414.03:1414.03:1414.03
SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311
SETUP posedge:B[0] posedge:CLK 3011.59:3011.59:3011.59
SETUP posedge:B[1] posedge:CLK 3165.65:3165.65:3165.65
SETUP posedge:B[2] posedge:CLK 3087.69:3087.69:3087.69
SETUP posedge:B[3] posedge:CLK 3130.68:3130.68:3130.68
SETUP posedge:B[4] posedge:CLK 3006.05:3006.05:3006.05
SETUP posedge:B[5] posedge:CLK 3055.38:3055.38:3055.38
SETUP posedge:B[6] posedge:CLK 2740.67:2740.67:2740.67
SETUP posedge:B[7] posedge:CLK 2849.44:2849.44:2849.44
SETUP posedge:B[8] posedge:CLK 2917.16:2917.16:2917.16
SETUP posedge:B[9] posedge:CLK 3113.27:3113.27:3113.27
SETUP posedge:B[10] posedge:CLK 3032.91:3032.91:3032.91
SETUP posedge:B[11] posedge:CLK 3079.01:3079.01:3079.01
SETUP posedge:B[12] posedge:CLK 2979.4:2979.4:2979.4
SETUP posedge:B[13] posedge:CLK 2975.29:2975.29:2975.29
SETUP posedge:B[14] posedge:CLK 2627.74:2627.74:2627.74
SETUP posedge:B[15] posedge:CLK 2951.33:2951.33:2951.33
SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104
SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16
SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895
SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564
SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993
SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047
SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838
SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905
SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751
SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633
SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902
SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447
SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205
SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376
SETUP posedge:C[13] posedge:CLK 142.829:142.829:142.829
SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507
SETUP posedge:C[15] posedge:CLK 132.915:132.915:132.915
SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68
SETUP posedge:D[0] posedge:CLK 194.218:194.218:194.218
SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974
SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722
SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899
SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714
SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082
SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907
SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309
SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909
SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989
SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218
SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786
SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135
SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753
SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767
SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627
SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771
SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636
SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167
SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775
SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65
IOPATH A[8] ACCUMCO 4348.61:4348.61:4348.61 4563.86:4563.86:4563.86
IOPATH A[8] CO 4921.01:4921.01:4921.01 5109.84:5109.84:5109.84
IOPATH A[8] SIGNEXTOUT 3523.8:3523.8:3523.8 3652.06:3652.06:3652.06
IOPATH A[9] ACCUMCO 4637.93:4637.93:4637.93 4853.35:4853.35:4853.35
IOPATH A[9] CO 5210.34:5210.34:5210.34 5399.34:5399.34:5399.34
IOPATH A[9] SIGNEXTOUT 3813.2:3813.2:3813.2 3941.46:3941.46:3941.46
IOPATH A[10] ACCUMCO 4522.04:4522.04:4522.04 4728.36:4728.36:4728.36
IOPATH A[10] CO 5094.44:5094.44:5094.44 5274.35:5274.35:5274.35
IOPATH A[10] SIGNEXTOUT 3697.26:3697.26:3697.26 3825.52:3825.52:3825.52
IOPATH A[11] ACCUMCO 4530.9:4530.9:4530.9 4787.15:4787.15:4787.15
IOPATH A[11] CO 5103.3:5103.3:5103.3 5333.13:5333.13:5333.13
IOPATH A[11] SIGNEXTOUT 3743.49:3743.49:3743.49 3871.76:3871.76:3871.76
IOPATH A[12] ACCUMCO 4651.03:4651.03:4651.03 4915.27:4915.27:4915.27
IOPATH A[12] CO 5223.44:5223.44:5223.44 5461.26:5461.26:5461.26
IOPATH A[12] SIGNEXTOUT 3871.61:3871.61:3871.61 3999.88:3999.88:3999.88
IOPATH A[13] ACCUMCO 4694.78:4694.78:4694.78 4992.85:4992.85:4992.85
IOPATH A[13] CO 5267.18:5267.18:5267.18 5538.83:5538.83:5538.83
IOPATH A[13] SIGNEXTOUT 3949.19:3949.19:3949.19 4077.46:4077.46:4077.46
IOPATH A[14] ACCUMCO 4728.02:4728.02:4728.02 5024.77:5024.77:5024.77
IOPATH A[14] CO 5300.43:5300.43:5300.43 5570.76:5570.76:5570.76
IOPATH A[14] SIGNEXTOUT 3985.87:3985.87:3985.87 4114.14:4114.14:4114.14
IOPATH A[15] ACCUMCO 4750.93:4750.93:4750.93 5051.31:5051.31:5051.31
IOPATH A[15] CO 5323.33:5323.33:5323.33 5597.29:5597.29:5597.29
IOPATH A[15] SIGNEXTOUT 4007.62:4007.62:4007.62 4135.88:4135.88:4135.88
IOPATH ADDSUBTOP ACCUMCO 1601.28:1601.28:1601.28 1890.89:1890.89:1890.89
IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106
IOPATH B[8] ACCUMCO 4777.11:4777.11:4777.11 5119.93:5119.93:5119.93
IOPATH B[8] CO 5349.51:5349.51:5349.51 5665.92:5665.92:5665.92
IOPATH B[8] SIGNEXTOUT 4080.91:4080.91:4080.91 4209.18:4209.18:4209.18
IOPATH B[9] ACCUMCO 5162.5:5162.5:5162.5 5453.35:5453.35:5453.35
IOPATH B[9] CO 5734.9:5734.9:5734.9 5999.34:5999.34:5999.34
IOPATH B[9] SIGNEXTOUT 4414.32:4414.32:4414.32 4542.58:4542.58:4542.58
IOPATH B[10] ACCUMCO 4953.74:4953.74:4953.74 5316.1:5316.1:5316.1
IOPATH B[10] CO 5526.14:5526.14:5526.14 5862.09:5862.09:5862.09
IOPATH B[10] SIGNEXTOUT 4277.69:4277.69:4277.69 4405.96:4405.96:4405.96
IOPATH B[11] ACCUMCO 5232.92:5232.92:5232.92 5395.07:5395.07:5395.07
IOPATH B[11] CO 5805.32:5805.32:5805.32 5941.05:5941.05:5941.05
IOPATH B[11] SIGNEXTOUT 4408.13:4408.13:4408.13 4536.39:4536.39:4536.39
IOPATH B[12] ACCUMCO 4918.85:4918.85:4918.85 5225.77:5225.77:5225.77
IOPATH B[12] CO 5491.26:5491.26:5491.26 5771.75:5771.75:5771.75
IOPATH B[12] SIGNEXTOUT 4186.72:4186.72:4186.72 4314.99:4314.99:4314.99
IOPATH B[13] ACCUMCO 4994.74:4994.74:4994.74 5241.39:5241.39:5241.39
IOPATH B[13] CO 5567.15:5567.15:5567.15 5787.38:5787.38:5787.38
IOPATH B[13] SIGNEXTOUT 4202.3:4202.3:4202.3 4330.56:4330.56:4330.56
IOPATH B[14] ACCUMCO 4612.23:4612.23:4612.23 4895.33:4895.33:4895.33
IOPATH B[14] CO 5184.64:5184.64:5184.64 5441.31:5441.31:5441.31
IOPATH B[14] SIGNEXTOUT 3856.39:3856.39:3856.39 3984.65:3984.65:3984.65
IOPATH B[15] ACCUMCO 5085.26:5085.26:5085.26 5496.73:5496.73:5496.73
IOPATH B[15] CO 5657.67:5657.67:5657.67 6042.71:6042.71:6042.71
IOPATH B[15] SIGNEXTOUT 4457.61:4457.61:4457.61 4585.88:4585.88:4585.88
IOPATH posedge:CLK ACCUMCO 2021.44:2021.44:2021.44 2326.07:2326.07:2326.07
IOPATH posedge:CLK CO 2593.85:2593.85:2593.85 2872.06:2872.06:2872.06
IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49
IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269
IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73
IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37
IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14
IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45
IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65
IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21
IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36
IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03
IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95
IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62
IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69
IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56
IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3
IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376
IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62
IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7
IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22
IOPATH posedge:CLK O[19] 1381.94:1381.94:1381.94 1497.63:1497.63:1497.63
IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69
IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86
IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92
IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86
IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3
IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54
IOPATH posedge:CLK O[26] 1239.34:1239.34:1239.34 1368.51:1368.51:1368.51
IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48
IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94
IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33
IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97
IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95
CELL SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE
HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246
HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741
HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99
HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2
HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945
HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875
HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084
HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786
HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124
HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61
HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622
HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057
HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708
HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794
HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812
HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546
HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98
HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071
HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028
HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302
HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007
HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006
HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769
HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609
HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274
HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668
HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878
HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905
HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213
HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869
HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724
HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759
HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851
HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754
HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689
HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169
HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019
HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19
HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487
HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38
HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834
HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059
HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288
HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096
HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083
HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184
HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033
HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949
HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169
HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937
HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235
HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549
HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429
HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878
HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317
HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125
HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239
HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004
HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749
HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895
HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237
HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011
HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317
HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158
HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257
HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946
HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826
HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441
HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634
HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001
HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23
HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282
HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798
HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653
HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322
HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322
HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459
HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852
HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475
HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36
HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946
HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919
HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172
HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888
HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785
HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088
HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14
HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736
HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36
HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019
HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029
HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097
HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435
HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228
HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656
HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102
HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305
HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178
HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703
HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52
HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364
HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228
HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105
HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81
HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215
HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332
HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53
HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906
HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892
HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57
HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313
HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675
HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519
HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574
HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811
HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306
HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812
HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702
HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862
HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001
HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338
HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318
HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393
HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921
HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495
HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588
HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582
HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936
HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924
HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822
HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464
HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375
HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025
HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529
HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477
HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339
HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177
HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15
HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024
HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327
HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007
HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511
HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689
HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151
HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889
HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884
HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27
HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086
RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724
RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18
RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935
RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441
RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0
RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0
RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0
RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0
SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473
SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881
SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986
SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638
SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238
SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594
SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652
SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925
SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478
SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9
SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604
SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004
SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212
SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344
SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514
SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389
SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32
SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26
SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142
SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519
SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051
SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492
SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529
SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745
SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83
SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288
SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367
SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683
SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778
SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203
SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766
SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713
SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597
SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964
SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196
SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407
SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088
SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488
SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958
SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861
SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449
SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561
SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749
SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793
SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198
SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708
SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965
SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909
SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105
SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516
SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482
SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58
SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583
SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447
SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711
SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393
SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595
SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159
SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55
SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331
SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414
SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946
SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781
SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825
SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672
SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497
SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431
SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009
SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209
SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354
SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706
SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298
SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695
SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727
SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512
SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696
SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595
SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939
SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245
SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991
SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085
SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191
SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441
SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648
SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249
SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189
SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247
SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668
SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469
SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253
SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52
SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9
SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311
SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943
SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073
SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514
SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154
SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082
SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633
SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568
SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844
SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375
SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787
SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876
SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63
SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556
SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909
SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688
SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426
SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109
SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649
SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462
SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661
SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11
SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401
SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085
SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064
SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84
SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954
SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79
SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967
SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927
SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279
SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749
SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83
SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046
SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68
SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413
SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733
SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518
SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675
SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605
SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758
SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128
SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187
SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692
SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422
SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081
SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016
SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487
SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07
SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539
SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431
SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771
SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787
SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37
SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775
SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711
IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99
IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98
IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57
IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368
IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16
IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15
IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88
IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269
IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73
IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37
IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14
IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45
IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15
IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21
IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36
IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28
IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86
IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98
IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69
IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91
IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23
IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74
IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56
IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59
IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77
IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53
IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33
IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98
IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92
IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92
IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81
IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54
IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93
IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48
IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02
IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65
IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97
IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95
IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92
CELL SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE
HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246
HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741
HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99
HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2
HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945
HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875
HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084
HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786
HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124
HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61
HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622
HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057
HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708
HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794
HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812
HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546
HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98
HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071
HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028
HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302
HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007
HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006
HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769
HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609
HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274
HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668
HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878
HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905
HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213
HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869
HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724
HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759
HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851
HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754
HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689
HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169
HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019
HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19
HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487
HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38
HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834
HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059
HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288
HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096
HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083
HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184
HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033
HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949
HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169
HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937
HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235
HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549
HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429
HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878
HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317
HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125
HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239
HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004
HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749
HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895
HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237
HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011
HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317
HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158
HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257
HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946
HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826
HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441
HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634
HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001
HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23
HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282
HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798
HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653
HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322
HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322
HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459
HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852
HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475
HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36
HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946
HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919
HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172
HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888
HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785
HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088
HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14
HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736
HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36
HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019
HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029
HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097
HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435
HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228
HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656
HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102
HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305
HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178
HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703
HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52
HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364
HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228
HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105
HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81
HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215
HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332
HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53
HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906
HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892
HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57
HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313
HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675
HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519
HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574
HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811
HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306
HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812
HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702
HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862
HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001
HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338
HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318
HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393
HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921
HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495
HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588
HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582
HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936
HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924
HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822
HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464
HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375
HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025
HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529
HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477
HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339
HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177
HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15
HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024
HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327
HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007
HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511
HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689
HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151
HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889
HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884
HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27
HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086
RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724
RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18
RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935
RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441
RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0
RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0
RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0
RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0
SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473
SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881
SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986
SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638
SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238
SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594
SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652
SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925
SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478
SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9
SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604
SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004
SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212
SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344
SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514
SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389
SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32
SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26
SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142
SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519
SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051
SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492
SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529
SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745
SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83
SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288
SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367
SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683
SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778
SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203
SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766
SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713
SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597
SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964
SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196
SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407
SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088
SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488
SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958
SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861
SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449
SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561
SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749
SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793
SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198
SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708
SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965
SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909
SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105
SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516
SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482
SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58
SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583
SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447
SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711
SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393
SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595
SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159
SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55
SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331
SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414
SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946
SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781
SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825
SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672
SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497
SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431
SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009
SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209
SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354
SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706
SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298
SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695
SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727
SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512
SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696
SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595
SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939
SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245
SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991
SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085
SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191
SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441
SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648
SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249
SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189
SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247
SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668
SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469
SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253
SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52
SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9
SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311
SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943
SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073
SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514
SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154
SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082
SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633
SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568
SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844
SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375
SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787
SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876
SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63
SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556
SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909
SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688
SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426
SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109
SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649
SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462
SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661
SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11
SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401
SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085
SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064
SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84
SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954
SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79
SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967
SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927
SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279
SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749
SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83
SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046
SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68
SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413
SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733
SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518
SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675
SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605
SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758
SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128
SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187
SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692
SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422
SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081
SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016
SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487
SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07
SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539
SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431
SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771
SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787
SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37
SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775
SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711
IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99
IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98
IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57
IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368
IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16
IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15
IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88
IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269
IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73
IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37
IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14
IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45
IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15
IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21
IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36
IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28
IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86
IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98
IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69
IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91
IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23
IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74
IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56
IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59
IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77
IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53
IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33
IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98
IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92
IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92
IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81
IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54
IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93
IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48
IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02
IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65
IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97
IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95
IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92
CELL SB_MAC16_MAC_U_16X16_IM_BYPASS
HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246
HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741
HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99
HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2
HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945
HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875
HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084
HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786
HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124
HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61
HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622
HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057
HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708
HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794
HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812
HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546
HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98
HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071
HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028
HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317
HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007
HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006
HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769
HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609
HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274
HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668
HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878
HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905
HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213
HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869
HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724
HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759
HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851
HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754
HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689
HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169
HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019
HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19
HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487
HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38
HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834
HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059
HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288
HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096
HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083
HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184
HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033
HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949
HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169
HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937
HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235
HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549
HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429
HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878
HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317
HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125
HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239
HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004
HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749
HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895
HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237
HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011
HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363
HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158
HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257
HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946
HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826
HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441
HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634
HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001
HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226
HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282
HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798
HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653
HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322
HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322
HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459
HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852
HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475
HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36
HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946
HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919
HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172
HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888
HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785
HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088
HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14
HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736
HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36
HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019
HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029
HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097
HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435
HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269
HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656
HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102
HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305
HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178
HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703
HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52
HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364
HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228
HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105
HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81
HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215
HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332
HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53
HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906
HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892
HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57
HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313
HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675
HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519
HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574
HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811
HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306
HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812
HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702
HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862
HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001
HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338
HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318
HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393
HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921
HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495
HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588
HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582
HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936
HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924
HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822
HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464
HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375
HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025
HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529
HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477
HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339
HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361
HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15
HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024
HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327
HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007
HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511
HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689
HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151
HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891
HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884
HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27
HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086
RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724
RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18
RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935
RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441
RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0
RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0
RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0
RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0
SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473
SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881
SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986
SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638
SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238
SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595
SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652
SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925
SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479
SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901
SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604
SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005
SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201
SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344
SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514
SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39
SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21
SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26
SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142
SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503
SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051
SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492
SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529
SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745
SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83
SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289
SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367
SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684
SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778
SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205
SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766
SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714
SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597
SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965
SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196
SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441
SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088
SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488
SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958
SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861
SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449
SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561
SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749
SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793
SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198
SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708
SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965
SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909
SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105
SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516
SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482
SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58
SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583
SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447
SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711
SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393
SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595
SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159
SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55
SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331
SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414
SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946
SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741
SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825
SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672
SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497
SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431
SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009
SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209
SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354
SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725
SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298
SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695
SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727
SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512
SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696
SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595
SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939
SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245
SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992
SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085
SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191
SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441
SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648
SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25
SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189
SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236
SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669
SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47
SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253
SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4
SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9
SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311
SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76
SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073
SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514
SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154
SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082
SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634
SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568
SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844
SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375
SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787
SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875
SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631
SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556
SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908
SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688
SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426
SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104
SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649
SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462
SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661
SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11
SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401
SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085
SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064
SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84
SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954
SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79
SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967
SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927
SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279
SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749
SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83
SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046
SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68
SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413
SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733
SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518
SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675
SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605
SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758
SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128
SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187
SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692
SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364
SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081
SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016
SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487
SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07
SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539
SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431
SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771
SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816
SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37
SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775
SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711
IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79
IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78
IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57
IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378
IOPATH posedge:CLK ACCUMCO 2790.07:2790.07:2790.07 3051.96:3051.96:3051.96
IOPATH posedge:CLK CO 3362.47:3362.47:3362.47 3597.95:3597.95:3597.95
IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88
IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269
IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73
IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37
IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14
IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45
IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15
IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21
IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36
IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28
IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95
IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98
IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69
IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91
IOPATH posedge:CLK O[14] 1265.7:1265.7:1265.7 1367.56:1367.56:1367.56
IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376
IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56
IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59
IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77
IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53
IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1390.06:1390.06:1390.06
IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98
IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92
IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86
IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81
IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54
IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93
IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48
IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94
IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65
IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97
IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95
IOPATH posedge:CLK SIGNEXTOUT 1340.32:1340.32:1340.32 1272.03:1272.03:1272.03
CELL SB_MAC16_MUL_S_8X8_BYPASS
IOPATH A[0] ACCUMCO 1474.89:1474.89:1474.89 1932.61:1932.61:1932.61
IOPATH A[0] CO 2047.3:2047.3:2047.3 2478.6:2478.6:2478.6
IOPATH A[0] O[0] 1489.5:1489.5:1489.5 1652.11:1652.11:1652.11
IOPATH A[0] O[1] 1632.67:1632.67:1632.67 1783.22:1783.22:1783.22
IOPATH A[0] O[2] 1857.07:1857.07:1857.07 1973.41:1973.41:1973.41
IOPATH A[0] O[3] 2033.42:2033.42:2033.42 2149.23:2149.23:2149.23
IOPATH A[0] O[4] 2185.83:2185.83:2185.83 2299.28:2299.28:2299.28
IOPATH A[0] O[5] 2307.78:2307.78:2307.78 2433.68:2433.68:2433.68
IOPATH A[0] O[6] 2394.92:2394.92:2394.92 2525.49:2525.49:2525.49
IOPATH A[0] O[7] 2483.74:2483.74:2483.74 2588.46:2588.46:2588.46
IOPATH A[0] O[8] 2905.36:2905.36:2905.36 2970.07:2970.07:2970.07
IOPATH A[0] O[9] 2965.08:2965.08:2965.08 3050.8:3050.8:3050.8
IOPATH A[0] O[10] 3085.77:3085.77:3085.77 3164.26:3164.26:3164.26
IOPATH A[0] O[11] 3274.65:3274.65:3274.65 3345.91:3345.91:3345.91
IOPATH A[0] O[12] 3153.83:3153.83:3153.83 3225.49:3225.49:3225.49
IOPATH A[0] O[13] 3203.29:3203.29:3203.29 3274.84:3274.84:3274.84
IOPATH A[0] O[14] 3537.04:3537.04:3537.04 3609.51:3609.51:3609.51
IOPATH A[0] O[15] 3519.13:3519.13:3519.13 3529.43:3529.43:3529.43
IOPATH A[1] ACCUMCO 1713.2:1713.2:1713.2 1993.38:1993.38:1993.38
IOPATH A[1] CO 2285.61:2285.61:2285.61 2539.36:2539.36:2539.36
IOPATH A[1] O[1] 1600.95:1600.95:1600.95 1753.63:1753.63:1753.63
IOPATH A[1] O[2] 1980.49:1980.49:1980.49 2096.82:2096.82:2096.82
IOPATH A[1] O[3] 2156.84:2156.84:2156.84 2272.65:2272.65:2272.65
IOPATH A[1] O[4] 2326.77:2326.77:2326.77 2415.55:2415.55:2415.55
IOPATH A[1] O[5] 2566.81:2566.81:2566.81 2692.7:2692.7:2692.7
IOPATH A[1] O[6] 2653.94:2653.94:2653.94 2784.51:2784.51:2784.51
IOPATH A[1] O[7] 2742.75:2742.75:2742.75 2847.48:2847.48:2847.48
IOPATH A[1] O[8] 3140.04:3140.04:3140.04 3204.74:3204.74:3204.74
IOPATH A[1] O[9] 3199.76:3199.76:3199.76 3285.48:3285.48:3285.48
IOPATH A[1] O[10] 3320.44:3320.44:3320.44 3398.94:3398.94:3398.94
IOPATH A[1] O[11] 3509.33:3509.33:3509.33 3580.58:3580.58:3580.58
IOPATH A[1] O[12] 3388.51:3388.51:3388.51 3460.17:3460.17:3460.17
IOPATH A[1] O[13] 3437.96:3437.96:3437.96 3509.51:3509.51:3509.51
IOPATH A[1] O[14] 3771.72:3771.72:3771.72 3844.18:3844.18:3844.18
IOPATH A[1] O[15] 3753.8:3753.8:3753.8 3764.11:3764.11:3764.11
IOPATH A[2] ACCUMCO 1647.02:1647.02:1647.02 1861.81:1861.81:1861.81
IOPATH A[2] CO 2219.42:2219.42:2219.42 2407.79:2407.79:2407.79
IOPATH A[2] O[2] 1965.61:1965.61:1965.61 2081.93:2081.93:2081.93
IOPATH A[2] O[3] 2141.95:2141.95:2141.95 2257.76:2257.76:2257.76
IOPATH A[2] O[4] 2312.06:2312.06:2312.06 2400.66:2400.66:2400.66
IOPATH A[2] O[5] 2552.11:2552.11:2552.11 2678:2678:2678
IOPATH A[2] O[6] 2639.23:2639.23:2639.23 2769.81:2769.81:2769.81
IOPATH A[2] O[7] 2728.05:2728.05:2728.05 2832.77:2832.77:2832.77
IOPATH A[2] O[8] 3148.64:3148.64:3148.64 3213.34:3213.34:3213.34
IOPATH A[2] O[9] 3208.36:3208.36:3208.36 3294.08:3294.08:3294.08
IOPATH A[2] O[10] 3329.05:3329.05:3329.05 3407.54:3407.54:3407.54
IOPATH A[2] O[11] 3517.93:3517.93:3517.93 3589.18:3589.18:3589.18
IOPATH A[2] O[12] 3397.11:3397.11:3397.11 3468.77:3468.77:3468.77
IOPATH A[2] O[13] 3446.56:3446.56:3446.56 3518.11:3518.11:3518.11
IOPATH A[2] O[14] 3780.32:3780.32:3780.32 3852.78:3852.78:3852.78
IOPATH A[2] O[15] 3762.4:3762.4:3762.4 3772.71:3772.71:3772.71
IOPATH A[3] ACCUMCO 1580.53:1580.53:1580.53 1811.6:1811.6:1811.6
IOPATH A[3] CO 2152.93:2152.93:2152.93 2357.59:2357.59:2357.59
IOPATH A[3] O[3] 1927.3:1927.3:1927.3 2017.27:2017.27:2017.27
IOPATH A[3] O[4] 2335.48:2335.48:2335.48 2423.26:2423.26:2423.26
IOPATH A[3] O[5] 2575.53:2575.53:2575.53 2701.41:2701.41:2701.41
IOPATH A[3] O[6] 2662.65:2662.65:2662.65 2793.22:2793.22:2793.22
IOPATH A[3] O[7] 2751.47:2751.47:2751.47 2856.19:2856.19:2856.19
IOPATH A[3] O[8] 3203.28:3203.28:3203.28 3267.98:3267.98:3267.98
IOPATH A[3] O[9] 3263:3263:3263 3348.72:3348.72:3348.72
IOPATH A[3] O[10] 3383.69:3383.69:3383.69 3462.18:3462.18:3462.18
IOPATH A[3] O[11] 3572.57:3572.57:3572.57 3643.83:3643.83:3643.83
IOPATH A[3] O[12] 3451.75:3451.75:3451.75 3523.41:3523.41:3523.41
IOPATH A[3] O[13] 3501.21:3501.21:3501.21 3572.75:3572.75:3572.75
IOPATH A[3] O[14] 3834.96:3834.96:3834.96 3907.43:3907.43:3907.43
IOPATH A[3] O[15] 3817.04:3817.04:3817.04 3827.35:3827.35:3827.35
IOPATH A[4] ACCUMCO 1603.1:1603.1:1603.1 2004.79:2004.79:2004.79
IOPATH A[4] CO 2175.5:2175.5:2175.5 2550.77:2550.77:2550.77
IOPATH A[4] O[4] 2373.59:2373.59:2373.59 2461.37:2461.37:2461.37
IOPATH A[4] O[5] 2613.63:2613.63:2613.63 2739.52:2739.52:2739.52
IOPATH A[4] O[6] 2700.76:2700.76:2700.76 2831.33:2831.33:2831.33
IOPATH A[4] O[7] 2789.57:2789.57:2789.57 2894.3:2894.3:2894.3
IOPATH A[4] O[8] 3375.92:3375.92:3375.92 3440.62:3440.62:3440.62
IOPATH A[4] O[9] 3435.64:3435.64:3435.64 3521.36:3521.36:3521.36
IOPATH A[4] O[10] 3556.32:3556.32:3556.32 3634.82:3634.82:3634.82
IOPATH A[4] O[11] 3745.21:3745.21:3745.21 3816.46:3816.46:3816.46
IOPATH A[4] O[12] 3624.39:3624.39:3624.39 3696.05:3696.05:3696.05
IOPATH A[4] O[13] 3673.84:3673.84:3673.84 3745.39:3745.39:3745.39
IOPATH A[4] O[14] 4007.6:4007.6:4007.6 4080.06:4080.06:4080.06
IOPATH A[4] O[15] 3989.68:3989.68:3989.68 3999.99:3999.99:3999.99
IOPATH A[5] ACCUMCO 1540.45:1540.45:1540.45 1837.71:1837.71:1837.71
IOPATH A[5] CO 2112.86:2112.86:2112.86 2383.7:2383.7:2383.7
IOPATH A[5] O[5] 2513.61:2513.61:2513.61 2614.32:2614.32:2614.32
IOPATH A[5] O[6] 2718.31:2718.31:2718.31 2857.62:2857.62:2857.62
IOPATH A[5] O[7] 2958.21:2958.21:2958.21 3037.52:3037.52:3037.52
IOPATH A[5] O[8] 3607.5:3607.5:3607.5 3672.2:3672.2:3672.2
IOPATH A[5] O[9] 3667.21:3667.21:3667.21 3752.93:3752.93:3752.93
IOPATH A[5] O[10] 3787.9:3787.9:3787.9 3866.39:3866.39:3866.39
IOPATH A[5] O[11] 3976.79:3976.79:3976.79 4048.04:4048.04:4048.04
IOPATH A[5] O[12] 3855.97:3855.97:3855.97 3927.62:3927.62:3927.62
IOPATH A[5] O[13] 3905.42:3905.42:3905.42 3976.97:3976.97:3976.97
IOPATH A[5] O[14] 4239.17:4239.17:4239.17 4311.64:4311.64:4311.64
IOPATH A[5] O[15] 4221.26:4221.26:4221.26 4231.57:4231.57:4231.57
IOPATH A[6] ACCUMCO 1686.61:1686.61:1686.61 1938.11:1938.11:1938.11
IOPATH A[6] CO 2259.02:2259.02:2259.02 2484.1:2484.1:2484.1
IOPATH A[6] O[6] 2548.59:2548.59:2548.59 2687.91:2687.91:2687.91
IOPATH A[6] O[7] 2726.53:2726.53:2726.53 2805.83:2805.83:2805.83
IOPATH A[6] O[8] 3375.81:3375.81:3375.81 3440.52:3440.52:3440.52
IOPATH A[6] O[9] 3435.53:3435.53:3435.53 3521.25:3521.25:3521.25
IOPATH A[6] O[10] 3556.22:3556.22:3556.22 3634.71:3634.71:3634.71
IOPATH A[6] O[11] 3745.1:3745.1:3745.1 3816.36:3816.36:3816.36
IOPATH A[6] O[12] 3624.28:3624.28:3624.28 3695.94:3695.94:3695.94
IOPATH A[6] O[13] 3673.74:3673.74:3673.74 3745.29:3745.29:3745.29
IOPATH A[6] O[14] 4007.49:4007.49:4007.49 4079.96:4079.96:4079.96
IOPATH A[6] O[15] 3989.58:3989.58:3989.58 3999.88:3999.88:3999.88
IOPATH A[7] ACCUMCO 1609.83:1609.83:1609.83 1855.89:1855.89:1855.89
IOPATH A[7] CO 2182.23:2182.23:2182.23 2401.88:2401.88:2401.88
IOPATH A[7] O[7] 2772.65:2772.65:2772.65 2851.96:2851.96:2851.96
IOPATH A[7] O[8] 3421.94:3421.94:3421.94 3486.64:3486.64:3486.64
IOPATH A[7] O[9] 3481.66:3481.66:3481.66 3567.38:3567.38:3567.38
IOPATH A[7] O[10] 3602.34:3602.34:3602.34 3680.84:3680.84:3680.84
IOPATH A[7] O[11] 3791.23:3791.23:3791.23 3862.48:3862.48:3862.48
IOPATH A[7] O[12] 3670.41:3670.41:3670.41 3742.07:3742.07:3742.07
IOPATH A[7] O[13] 3719.86:3719.86:3719.86 3791.41:3791.41:3791.41
IOPATH A[7] O[14] 4053.61:4053.61:4053.61 4126.08:4126.08:4126.08
IOPATH A[7] O[15] 4035.7:4035.7:4035.7 4046.01:4046.01:4046.01
IOPATH A[8] ACCUMCO 1571.51:1571.51:1571.51 1832.46:1832.46:1832.46
IOPATH A[8] CO 2143.91:2143.91:2143.91 2378.45:2378.45:2378.45
IOPATH A[8] O[16] 1660.6:1660.6:1660.6 1809.34:1809.34:1809.34
IOPATH A[8] O[17] 1818.87:1818.87:1818.87 1966.42:1966.42:1966.42
IOPATH A[8] O[18] 1929.76:1929.76:1929.76 2053.77:2053.77:2053.77
IOPATH A[8] O[19] 2266.97:2266.97:2266.97 2389.42:2389.42:2389.42
IOPATH A[8] O[20] 2353.46:2353.46:2353.46 2458.82:2458.82:2458.82
IOPATH A[8] O[21] 2349.79:2349.79:2349.79 2458.46:2458.46:2458.46
IOPATH A[8] O[22] 2418.69:2418.69:2418.69 2533.37:2533.37:2533.37
IOPATH A[8] O[23] 2487.33:2487.33:2487.33 2598.29:2598.29:2598.29
IOPATH A[8] O[24] 2844.08:2844.08:2844.08 2992.18:2992.18:2992.18
IOPATH A[8] O[25] 2934.07:2934.07:2934.07 3051.16:3051.16:3051.16
IOPATH A[8] O[26] 3051.73:3051.73:3051.73 3161.54:3161.54:3161.54
IOPATH A[8] O[27] 3159.08:3159.08:3159.08 3265.63:3265.63:3265.63
IOPATH A[8] O[28] 3096.67:3096.67:3096.67 3211.68:3211.68:3211.68
IOPATH A[8] O[29] 3241.33:3241.33:3241.33 3353.6:3353.6:3353.6
IOPATH A[8] O[30] 3336.26:3336.26:3336.26 3470.84:3470.84:3470.84
IOPATH A[8] O[31] 3415.04:3415.04:3415.04 3540.55:3540.55:3540.55
IOPATH A[9] ACCUMCO 1688.71:1688.71:1688.71 1946.48:1946.48:1946.48
IOPATH A[9] CO 2261.11:2261.11:2261.11 2492.47:2492.47:2492.47
IOPATH A[9] O[17] 1717.55:1717.55:1717.55 1885.56:1885.56:1885.56
IOPATH A[9] O[18] 2114.72:2114.72:2114.72 2229.45:2229.45:2229.45
IOPATH A[9] O[19] 2451.94:2451.94:2451.94 2574.39:2574.39:2574.39
IOPATH A[9] O[20] 2544.5:2544.5:2544.5 2632.56:2632.56:2632.56
IOPATH A[9] O[21] 2647.7:2647.7:2647.7 2756.37:2756.37:2756.37
IOPATH A[9] O[22] 2716.59:2716.59:2716.59 2831.28:2831.28:2831.28
IOPATH A[9] O[23] 2785.24:2785.24:2785.24 2896.2:2896.2:2896.2
IOPATH A[9] O[24] 3147.42:3147.42:3147.42 3295.51:3295.51:3295.51
IOPATH A[9] O[25] 3237.41:3237.41:3237.41 3354.49:3354.49:3354.49
IOPATH A[9] O[26] 3355.07:3355.07:3355.07 3464.88:3464.88:3464.88
IOPATH A[9] O[27] 3462.41:3462.41:3462.41 3568.97:3568.97:3568.97
IOPATH A[9] O[28] 3400.01:3400.01:3400.01 3515.02:3515.02:3515.02
IOPATH A[9] O[29] 3544.67:3544.67:3544.67 3656.94:3656.94:3656.94
IOPATH A[9] O[30] 3639.59:3639.59:3639.59 3774.18:3774.18:3774.18
IOPATH A[9] O[31] 3718.37:3718.37:3718.37 3843.89:3843.89:3843.89
IOPATH A[10] ACCUMCO 1576.32:1576.32:1576.32 1729.54:1729.54:1729.54
IOPATH A[10] CO 2148.72:2148.72:2148.72 2275.52:2275.52:2275.52
IOPATH A[10] O[18] 1972.53:1972.53:1972.53 2087.54:2087.54:2087.54
IOPATH A[10] O[19] 2309.82:2309.82:2309.82 2432.27:2432.27:2432.27
IOPATH A[10] O[20] 2405.87:2405.87:2405.87 2498.08:2498.08:2498.08
IOPATH A[10] O[21] 2509.08:2509.08:2509.08 2617.74:2617.74:2617.74
IOPATH A[10] O[22] 2577.96:2577.96:2577.96 2692.65:2692.65:2692.65
IOPATH A[10] O[23] 2646.61:2646.61:2646.61 2757.57:2757.57:2757.57
IOPATH A[10] O[24] 3033.62:3033.62:3033.62 3181.72:3181.72:3181.72
IOPATH A[10] O[25] 3123.61:3123.61:3123.61 3240.7:3240.7:3240.7
IOPATH A[10] O[26] 3241.27:3241.27:3241.27 3351.08:3351.08:3351.08
IOPATH A[10] O[27] 3348.62:3348.62:3348.62 3455.17:3455.17:3455.17
IOPATH A[10] O[28] 3286.21:3286.21:3286.21 3401.22:3401.22:3401.22
IOPATH A[10] O[29] 3430.87:3430.87:3430.87 3543.14:3543.14:3543.14
IOPATH A[10] O[30] 3525.8:3525.8:3525.8 3660.38:3660.38:3660.38
IOPATH A[10] O[31] 3604.58:3604.58:3604.58 3730.09:3730.09:3730.09
IOPATH A[11] ACCUMCO 1432.9:1432.9:1432.9 1594.17:1594.17:1594.17
IOPATH A[11] CO 2005.3:2005.3:2005.3 2140.16:2140.16:2140.16
IOPATH A[11] O[19] 2094.34:2094.34:2094.34 2191.42:2191.42:2191.42
IOPATH A[11] O[20] 2412.49:2412.49:2412.49 2492.78:2492.78:2492.78
IOPATH A[11] O[21] 2515.7:2515.7:2515.7 2624.36:2624.36:2624.36
IOPATH A[11] O[22] 2584.58:2584.58:2584.58 2699.27:2699.27:2699.27
IOPATH A[11] O[23] 2653.23:2653.23:2653.23 2764.19:2764.19:2764.19
IOPATH A[11] O[24] 3082.06:3082.06:3082.06 3230.15:3230.15:3230.15
IOPATH A[11] O[25] 3172.05:3172.05:3172.05 3289.13:3289.13:3289.13
IOPATH A[11] O[26] 3289.71:3289.71:3289.71 3399.52:3399.52:3399.52
IOPATH A[11] O[27] 3397.05:3397.05:3397.05 3503.61:3503.61:3503.61
IOPATH A[11] O[28] 3334.65:3334.65:3334.65 3449.66:3449.66:3449.66
IOPATH A[11] O[29] 3479.31:3479.31:3479.31 3591.58:3591.58:3591.58
IOPATH A[11] O[30] 3574.23:3574.23:3574.23 3708.82:3708.82:3708.82
IOPATH A[11] O[31] 3653.01:3653.01:3653.01 3778.53:3778.53:3778.53
IOPATH A[12] ACCUMCO 1294.32:1294.32:1294.32 1625.14:1625.14:1625.14
IOPATH A[12] CO 1866.72:1866.72:1866.72 2171.13:2171.13:2171.13
IOPATH A[12] O[20] 2454.51:2454.51:2454.51 2534.8:2534.8:2534.8
IOPATH A[12] O[21] 2557.71:2557.71:2557.71 2666.38:2666.38:2666.38
IOPATH A[12] O[22] 2626.6:2626.6:2626.6 2741.29:2741.29:2741.29
IOPATH A[12] O[23] 2695.25:2695.25:2695.25 2806.21:2806.21:2806.21
IOPATH A[12] O[24] 3212.39:3212.39:3212.39 3360.49:3360.49:3360.49
IOPATH A[12] O[25] 3302.38:3302.38:3302.38 3419.47:3419.47:3419.47
IOPATH A[12] O[26] 3420.04:3420.04:3420.04 3529.85:3529.85:3529.85
IOPATH A[12] O[27] 3527.39:3527.39:3527.39 3633.94:3633.94:3633.94
IOPATH A[12] O[28] 3464.98:3464.98:3464.98 3579.99:3579.99:3579.99
IOPATH A[12] O[29] 3609.64:3609.64:3609.64 3721.91:3721.91:3721.91
IOPATH A[12] O[30] 3704.57:3704.57:3704.57 3839.15:3839.15:3839.15
IOPATH A[12] O[31] 3783.34:3783.34:3783.34 3908.86:3908.86:3908.86
IOPATH A[13] ACCUMCO 1384.09:1384.09:1384.09 1653.16:1653.16:1653.16
IOPATH A[13] CO 1956.49:1956.49:1956.49 2199.14:2199.14:2199.14
IOPATH A[13] O[21] 2297.85:2297.85:2297.85 2384.28:2384.28:2384.28
IOPATH A[13] O[22] 2488.98:2488.98:2488.98 2614.56:2614.56:2614.56
IOPATH A[13] O[23] 2695.7:2695.7:2695.7 2780.18:2780.18:2780.18
IOPATH A[13] O[24] 3275.18:3275.18:3275.18 3423.28:3423.28:3423.28
IOPATH A[13] O[25] 3365.18:3365.18:3365.18 3482.26:3482.26:3482.26
IOPATH A[13] O[26] 3482.83:3482.83:3482.83 3592.64:3592.64:3592.64
IOPATH A[13] O[27] 3590.18:3590.18:3590.18 3696.73:3696.73:3696.73
IOPATH A[13] O[28] 3527.78:3527.78:3527.78 3642.79:3642.79:3642.79
IOPATH A[13] O[29] 3672.43:3672.43:3672.43 3784.7:3784.7:3784.7
IOPATH A[13] O[30] 3767.36:3767.36:3767.36 3901.94:3901.94:3901.94
IOPATH A[13] O[31] 3846.14:3846.14:3846.14 3971.65:3971.65:3971.65
IOPATH A[14] ACCUMCO 1388.29:1388.29:1388.29 1613.98:1613.98:1613.98
IOPATH A[14] CO 1960.69:1960.69:1960.69 2159.97:2159.97:2159.97
IOPATH A[14] O[22] 2551.81:2551.81:2551.81 2677.4:2677.4:2677.4
IOPATH A[14] O[23] 2734.42:2734.42:2734.42 2818.9:2818.9:2818.9
IOPATH A[14] O[24] 3313.9:3313.9:3313.9 3462:3462:3462
IOPATH A[14] O[25] 3403.9:3403.9:3403.9 3520.98:3520.98:3520.98
IOPATH A[14] O[26] 3521.55:3521.55:3521.55 3631.36:3631.36:3631.36
IOPATH A[14] O[27] 3628.9:3628.9:3628.9 3735.45:3735.45:3735.45
IOPATH A[14] O[28] 3566.5:3566.5:3566.5 3681.51:3681.51:3681.51
IOPATH A[14] O[29] 3711.15:3711.15:3711.15 3823.42:3823.42:3823.42
IOPATH A[14] O[30] 3806.08:3806.08:3806.08 3940.66:3940.66:3940.66
IOPATH A[14] O[31] 3884.86:3884.86:3884.86 4010.37:4010.37:4010.37
IOPATH A[15] ACCUMCO 1513.52:1513.52:1513.52 1800.64:1800.64:1800.64
IOPATH A[15] CO 2085.92:2085.92:2085.92 2346.62:2346.62:2346.62
IOPATH A[15] O[23] 2748.63:2748.63:2748.63 2833.12:2833.12:2833.12
IOPATH A[15] O[24] 3328.12:3328.12:3328.12 3476.22:3476.22:3476.22
IOPATH A[15] O[25] 3418.11:3418.11:3418.11 3535.2:3535.2:3535.2
IOPATH A[15] O[26] 3535.77:3535.77:3535.77 3645.58:3645.58:3645.58
IOPATH A[15] O[27] 3643.12:3643.12:3643.12 3749.67:3749.67:3749.67
IOPATH A[15] O[28] 3580.71:3580.71:3580.71 3695.72:3695.72:3695.72
IOPATH A[15] O[29] 3725.37:3725.37:3725.37 3837.64:3837.64:3837.64
IOPATH A[15] O[30] 3820.3:3820.3:3820.3 3954.88:3954.88:3954.88
IOPATH A[15] O[31] 3899.08:3899.08:3899.08 4024.59:4024.59:4024.59
IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88
IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106
IOPATH B[0] O[0] 1622.46:1622.46:1622.46 1809.45:1809.45:1809.45
IOPATH B[0] O[1] 1765.46:1765.46:1765.46 1931.12:1931.12:1931.12
IOPATH B[0] O[2] 2117.42:2117.42:2117.42 2233.75:2233.75:2233.75
IOPATH B[0] O[3] 2293.78:2293.78:2293.78 2409.59:2409.59:2409.59
IOPATH B[0] O[4] 2534.16:2534.16:2534.16 2621.94:2621.94:2621.94
IOPATH B[0] O[5] 2774.2:2774.2:2774.2 2900.09:2900.09:2900.09
IOPATH B[0] O[6] 2861.33:2861.33:2861.33 2991.9:2991.9:2991.9
IOPATH B[0] O[7] 2950.14:2950.14:2950.14 3054.87:3054.87:3054.87
IOPATH B[0] O[8] 3555.76:3555.76:3555.76 3620.46:3620.46:3620.46
IOPATH B[0] O[9] 3615.48:3615.48:3615.48 3701.2:3701.2:3701.2
IOPATH B[0] O[10] 3736.17:3736.17:3736.17 3814.66:3814.66:3814.66
IOPATH B[0] O[11] 3925.05:3925.05:3925.05 3996.31:3996.31:3996.31
IOPATH B[0] O[12] 3804.23:3804.23:3804.23 3875.89:3875.89:3875.89
IOPATH B[0] O[13] 3853.69:3853.69:3853.69 3925.23:3925.23:3925.23
IOPATH B[0] O[14] 4187.44:4187.44:4187.44 4259.91:4259.91:4259.91
IOPATH B[0] O[15] 4169.53:4169.53:4169.53 4179.83:4179.83:4179.83
IOPATH B[1] O[0] 1715.02:1715.02:1715.02 1861.24:1861.24:1861.24
IOPATH B[1] O[1] 1858.09:1858.09:1858.09 2008.64:2008.64:2008.64
IOPATH B[1] O[2] 2384.03:2384.03:2384.03 2500.37:2500.37:2500.37
IOPATH B[1] O[3] 2560.39:2560.39:2560.39 2676.21:2676.21:2676.21
IOPATH B[1] O[4] 2823.31:2823.31:2823.31 2911.08:2911.08:2911.08
IOPATH B[1] O[5] 3063.35:3063.35:3063.35 3189.24:3189.24:3189.24
IOPATH B[1] O[6] 3150.47:3150.47:3150.47 3281.05:3281.05:3281.05
IOPATH B[1] O[7] 3239.29:3239.29:3239.29 3344.02:3344.02:3344.02
IOPATH B[1] O[8] 3795.42:3795.42:3795.42 3860.12:3860.12:3860.12
IOPATH B[1] O[9] 3855.14:3855.14:3855.14 3940.86:3940.86:3940.86
IOPATH B[1] O[10] 3975.82:3975.82:3975.82 4054.32:4054.32:4054.32
IOPATH B[1] O[11] 4164.71:4164.71:4164.71 4235.96:4235.96:4235.96
IOPATH B[1] O[12] 4043.89:4043.89:4043.89 4115.55:4115.55:4115.55
IOPATH B[1] O[13] 4093.34:4093.34:4093.34 4164.89:4164.89:4164.89
IOPATH B[1] O[14] 4427.1:4427.1:4427.1 4499.56:4499.56:4499.56
IOPATH B[1] O[15] 4409.18:4409.18:4409.18 4419.49:4419.49:4419.49
IOPATH B[2] O[2] 2176.08:2176.08:2176.08 2292.42:2292.42:2292.42
IOPATH B[2] O[3] 2352.43:2352.43:2352.43 2468.24:2468.24:2468.24
IOPATH B[2] O[4] 2621.93:2621.93:2621.93 2709.7:2709.7:2709.7
IOPATH B[2] O[5] 2861.97:2861.97:2861.97 2987.86:2987.86:2987.86
IOPATH B[2] O[6] 2949.1:2949.1:2949.1 3079.67:3079.67:3079.67
IOPATH B[2] O[7] 3037.91:3037.91:3037.91 3142.64:3142.64:3142.64
IOPATH B[2] O[8] 3686.75:3686.75:3686.75 3751.45:3751.45:3751.45
IOPATH B[2] O[9] 3746.47:3746.47:3746.47 3832.19:3832.19:3832.19
IOPATH B[2] O[10] 3867.15:3867.15:3867.15 3945.65:3945.65:3945.65
IOPATH B[2] O[11] 4056.04:4056.04:4056.04 4127.29:4127.29:4127.29
IOPATH B[2] O[12] 3935.22:3935.22:3935.22 4006.88:4006.88:4006.88
IOPATH B[2] O[13] 3984.67:3984.67:3984.67 4056.22:4056.22:4056.22
IOPATH B[2] O[14] 4318.43:4318.43:4318.43 4390.89:4390.89:4390.89
IOPATH B[2] O[15] 4300.51:4300.51:4300.51 4310.82:4310.82:4310.82
IOPATH B[3] O[2] 1960.3:1960.3:1960.3 2076.63:2076.63:2076.63
IOPATH B[3] O[3] 2289.31:2289.31:2289.31 2379.29:2379.29:2379.29
IOPATH B[3] O[4] 2694.36:2694.36:2694.36 2782.14:2782.14:2782.14
IOPATH B[3] O[5] 2934.41:2934.41:2934.41 3060.29:3060.29:3060.29
IOPATH B[3] O[6] 3021.53:3021.53:3021.53 3152.1:3152.1:3152.1
IOPATH B[3] O[7] 3110.35:3110.35:3110.35 3215.07:3215.07:3215.07
IOPATH B[3] O[8] 3759.18:3759.18:3759.18 3823.89:3823.89:3823.89
IOPATH B[3] O[9] 3818.9:3818.9:3818.9 3904.62:3904.62:3904.62
IOPATH B[3] O[10] 3939.59:3939.59:3939.59 4018.08:4018.08:4018.08
IOPATH B[3] O[11] 4128.47:4128.47:4128.47 4199.73:4199.73:4199.73
IOPATH B[3] O[12] 4007.65:4007.65:4007.65 4079.31:4079.31:4079.31
IOPATH B[3] O[13] 4057.11:4057.11:4057.11 4128.66:4128.66:4128.66
IOPATH B[3] O[14] 4390.86:4390.86:4390.86 4463.33:4463.33:4463.33
IOPATH B[3] O[15] 4372.95:4372.95:4372.95 4383.25:4383.25:4383.25
IOPATH B[4] O[4] 2364.37:2364.37:2364.37 2452.15:2452.15:2452.15
IOPATH B[4] O[5] 2604.42:2604.42:2604.42 2730.31:2730.31:2730.31
IOPATH B[4] O[6] 2696.34:2696.34:2696.34 2835.65:2835.65:2835.65
IOPATH B[4] O[7] 2893.93:2893.93:2893.93 2973.23:2973.23:2973.23
IOPATH B[4] O[8] 3543.21:3543.21:3543.21 3607.92:3607.92:3607.92
IOPATH B[4] O[9] 3602.93:3602.93:3602.93 3688.65:3688.65:3688.65
IOPATH B[4] O[10] 3723.62:3723.62:3723.62 3802.11:3802.11:3802.11
IOPATH B[4] O[11] 3912.5:3912.5:3912.5 3983.76:3983.76:3983.76
IOPATH B[4] O[12] 3791.68:3791.68:3791.68 3863.34:3863.34:3863.34
IOPATH B[4] O[13] 3841.13:3841.13:3841.13 3912.68:3912.68:3912.68
IOPATH B[4] O[14] 4174.89:4174.89:4174.89 4247.36:4247.36:4247.36
IOPATH B[4] O[15] 4156.97:4156.97:4156.97 4167.28:4167.28:4167.28
IOPATH B[5] O[4] 2336.63:2336.63:2336.63 2424.4:2424.4:2424.4
IOPATH B[5] O[5] 2576.67:2576.67:2576.67 2702.56:2702.56:2702.56
IOPATH B[5] O[6] 2783.79:2783.79:2783.79 2923.11:2923.11:2923.11
IOPATH B[5] O[7] 2980.57:2980.57:2980.57 3059.88:3059.88:3059.88
IOPATH B[5] O[8] 3629.86:3629.86:3629.86 3694.56:3694.56:3694.56
IOPATH B[5] O[9] 3689.58:3689.58:3689.58 3775.3:3775.3:3775.3
IOPATH B[5] O[10] 3810.26:3810.26:3810.26 3888.76:3888.76:3888.76
IOPATH B[5] O[11] 3999.15:3999.15:3999.15 4070.4:4070.4:4070.4
IOPATH B[5] O[12] 3971.03:3971.03:3971.03 4042.7:4042.7:4042.7
IOPATH B[5] O[13] 4020.48:4020.48:4020.48 4092.03:4092.03:4092.03
IOPATH B[5] O[14] 4354.23:4354.23:4354.23 4426.7:4426.7:4426.7
IOPATH B[5] O[15] 4336.32:4336.32:4336.32 4346.63:4346.63:4346.63
IOPATH B[6] O[6] 2331.18:2331.18:2331.18 2470.49:2470.49:2470.49
IOPATH B[6] O[7] 2451.65:2451.65:2451.65 2558.46:2558.46:2558.46
IOPATH B[6] O[8] 3100.94:3100.94:3100.94 3165.64:3165.64:3165.64
IOPATH B[6] O[9] 3160.66:3160.66:3160.66 3246.38:3246.38:3246.38
IOPATH B[6] O[10] 3282.44:3282.44:3282.44 3368.32:3368.32:3368.32
IOPATH B[6] O[11] 3471.32:3471.32:3471.32 3542.57:3542.57:3542.57
IOPATH B[6] O[12] 3646.14:3646.14:3646.14 3717.8:3717.8:3717.8
IOPATH B[6] O[13] 3695.59:3695.59:3695.59 3767.14:3767.14:3767.14
IOPATH B[6] O[14] 4029.34:4029.34:4029.34 4101.81:4101.81:4101.81
IOPATH B[6] O[15] 4011.43:4011.43:4011.43 4021.74:4021.74:4021.74
IOPATH B[7] O[6] 2223.44:2223.44:2223.44 2362.75:2362.75:2362.75
IOPATH B[7] O[7] 2343.96:2343.96:2343.96 2450.72:2450.72:2450.72
IOPATH B[7] O[8] 3162.85:3162.85:3162.85 3202.05:3202.05:3202.05
IOPATH B[7] O[9] 3328.78:3328.78:3328.78 3423.92:3423.92:3423.92
IOPATH B[7] O[10] 3473.97:3473.97:3473.97 3559.85:3559.85:3559.85
IOPATH B[7] O[11] 3662.85:3662.85:3662.85 3734.1:3734.1:3734.1
IOPATH B[7] O[12] 3837.67:3837.67:3837.67 3909.33:3909.33:3909.33
IOPATH B[7] O[13] 3887.12:3887.12:3887.12 3958.67:3958.67:3958.67
IOPATH B[7] O[14] 4220.87:4220.87:4220.87 4293.34:4293.34:4293.34
IOPATH B[7] O[15] 4202.96:4202.96:4202.96 4213.26:4213.26:4213.26
IOPATH B[8] O[16] 1625.09:1625.09:1625.09 1773.92:1773.92:1773.92
IOPATH B[8] O[17] 1783.35:1783.35:1783.35 1930.99:1930.99:1930.99
IOPATH B[8] O[18] 2125.7:2125.7:2125.7 2240.71:2240.71:2240.71
IOPATH B[8] O[19] 2463.01:2463.01:2463.01 2585.46:2585.46:2585.46
IOPATH B[8] O[20] 2601.18:2601.18:2601.18 2681.47:2681.47:2681.47
IOPATH B[8] O[21] 2704.38:2704.38:2704.38 2813.04:2813.04:2813.04
IOPATH B[8] O[22] 2773.27:2773.27:2773.27 2887.95:2887.95:2887.95
IOPATH B[8] O[23] 2853.68:2853.68:2853.68 2952.87:2952.87:2952.87
IOPATH B[8] O[24] 3433.17:3433.17:3433.17 3581.26:3581.26:3581.26
IOPATH B[8] O[25] 3523.16:3523.16:3523.16 3640.24:3640.24:3640.24
IOPATH B[8] O[26] 3640.82:3640.82:3640.82 3750.63:3750.63:3750.63
IOPATH B[8] O[27] 3748.17:3748.17:3748.17 3854.72:3854.72:3854.72
IOPATH B[8] O[28] 3685.76:3685.76:3685.76 3800.77:3800.77:3800.77
IOPATH B[8] O[29] 3830.42:3830.42:3830.42 3942.69:3942.69:3942.69
IOPATH B[8] O[30] 3925.34:3925.34:3925.34 4059.93:4059.93:4059.93
IOPATH B[8] O[31] 4004.12:4004.12:4004.12 4129.64:4129.64:4129.64
IOPATH B[9] O[16] 1811.07:1811.07:1811.07 1921.52:1921.52:1921.52
IOPATH B[9] O[17] 1969.42:1969.42:1969.42 2110.24:2110.24:2110.24
IOPATH B[9] O[18] 2454.52:2454.52:2454.52 2569.54:2569.54:2569.54
IOPATH B[9] O[19] 2791.83:2791.83:2791.83 2914.28:2914.28:2914.28
IOPATH B[9] O[20] 2981.98:2981.98:2981.98 3062.27:3062.27:3062.27
IOPATH B[9] O[21] 3085.18:3085.18:3085.18 3193.84:3193.84:3193.84
IOPATH B[9] O[22] 3154.07:3154.07:3154.07 3268.75:3268.75:3268.75
IOPATH B[9] O[23] 3222.72:3222.72:3222.72 3333.67:3333.67:3333.67
IOPATH B[9] O[24] 3739.73:3739.73:3739.73 3887.83:3887.83:3887.83
IOPATH B[9] O[25] 3829.73:3829.73:3829.73 3946.81:3946.81:3946.81
IOPATH B[9] O[26] 3947.38:3947.38:3947.38 4057.19:4057.19:4057.19
IOPATH B[9] O[27] 4054.73:4054.73:4054.73 4161.29:4161.29:4161.29
IOPATH B[9] O[28] 3992.33:3992.33:3992.33 4107.34:4107.34:4107.34
IOPATH B[9] O[29] 4136.99:4136.99:4136.99 4249.26:4249.26:4249.26
IOPATH B[9] O[30] 4231.91:4231.91:4231.91 4366.5:4366.5:4366.5
IOPATH B[9] O[31] 4310.69:4310.69:4310.69 4436.21:4436.21:4436.21
IOPATH B[10] O[18] 2178.12:2178.12:2178.12 2293.14:2293.14:2293.14
IOPATH B[10] O[19] 2515.41:2515.41:2515.41 2637.86:2637.86:2637.86
IOPATH B[10] O[20] 2757.21:2757.21:2757.21 2837.5:2837.5:2837.5
IOPATH B[10] O[21] 2860.42:2860.42:2860.42 2969.08:2969.08:2969.08
IOPATH B[10] O[22] 2929.3:2929.3:2929.3 3043.99:3043.99:3043.99
IOPATH B[10] O[23] 3030.33:3030.33:3030.33 3114.82:3114.82:3114.82
IOPATH B[10] O[24] 3609.82:3609.82:3609.82 3757.92:3757.92:3757.92
IOPATH B[10] O[25] 3699.81:3699.81:3699.81 3816.9:3816.9:3816.9
IOPATH B[10] O[26] 3817.47:3817.47:3817.47 3927.28:3927.28:3927.28
IOPATH B[10] O[27] 3924.82:3924.82:3924.82 4031.37:4031.37:4031.37
IOPATH B[10] O[28] 3862.41:3862.41:3862.41 3977.42:3977.42:3977.42
IOPATH B[10] O[29] 4007.07:4007.07:4007.07 4119.34:4119.34:4119.34
IOPATH B[10] O[30] 4102:4102:4102 4236.58:4236.58:4236.58
IOPATH B[10] O[31] 4180.78:4180.78:4180.78 4306.29:4306.29:4306.29
IOPATH B[11] O[18] 1995.46:1995.46:1995.46 2110.48:2110.48:2110.48
IOPATH B[11] O[19] 2569.93:2569.93:2569.93 2667:2667:2667
IOPATH B[11] O[20] 2886.31:2886.31:2886.31 2966.61:2966.61:2966.61
IOPATH B[11] O[21] 2989.52:2989.52:2989.52 3098.18:3098.18:3098.18
IOPATH B[11] O[22] 3058.4:3058.4:3058.4 3173.09:3173.09:3173.09
IOPATH B[11] O[23] 3159.43:3159.43:3159.43 3243.92:3243.92:3243.92
IOPATH B[11] O[24] 3738.92:3738.92:3738.92 3887.02:3887.02:3887.02
IOPATH B[11] O[25] 3828.91:3828.91:3828.91 3946:3946:3946
IOPATH B[11] O[26] 3946.57:3946.57:3946.57 4056.38:4056.38:4056.38
IOPATH B[11] O[27] 4053.92:4053.92:4053.92 4160.47:4160.47:4160.47
IOPATH B[11] O[28] 3991.51:3991.51:3991.51 4106.52:4106.52:4106.52
IOPATH B[11] O[29] 4136.17:4136.17:4136.17 4248.44:4248.44:4248.44
IOPATH B[11] O[30] 4231.1:4231.1:4231.1 4365.68:4365.68:4365.68
IOPATH B[11] O[31] 4309.88:4309.88:4309.88 4435.39:4435.39:4435.39
IOPATH B[12] O[20] 2509.63:2509.63:2509.63 2589.92:2589.92:2589.92
IOPATH B[12] O[21] 2612.84:2612.84:2612.84 2721.5:2721.5:2721.5
IOPATH B[12] O[22] 2734.38:2734.38:2734.38 2859.97:2859.97:2859.97
IOPATH B[12] O[23] 2935.98:2935.98:2935.98 3020.47:3020.47:3020.47
IOPATH B[12] O[24] 3515.47:3515.47:3515.47 3663.57:3663.57:3663.57
IOPATH B[12] O[25] 3605.47:3605.47:3605.47 3722.55:3722.55:3722.55
IOPATH B[12] O[26] 3723.12:3723.12:3723.12 3832.93:3832.93:3832.93
IOPATH B[12] O[27] 3830.47:3830.47:3830.47 3937.02:3937.02:3937.02
IOPATH B[12] O[28] 3768.06:3768.06:3768.06 3883.08:3883.08:3883.08
IOPATH B[12] O[29] 3912.72:3912.72:3912.72 4024.99:4024.99:4024.99
IOPATH B[12] O[30] 4007.65:4007.65:4007.65 4142.23:4142.23:4142.23
IOPATH B[12] O[31] 4086.43:4086.43:4086.43 4211.94:4211.94:4211.94
IOPATH B[13] O[20] 2449.37:2449.37:2449.37 2529.66:2529.66:2529.66
IOPATH B[13] O[21] 2552.58:2552.58:2552.58 2661.24:2661.24:2661.24
IOPATH B[13] O[22] 2725.59:2725.59:2725.59 2851.18:2851.18:2851.18
IOPATH B[13] O[23] 2926.46:2926.46:2926.46 3010.95:3010.95:3010.95
IOPATH B[13] O[24] 3505.95:3505.95:3505.95 3654.05:3654.05:3654.05
IOPATH B[13] O[25] 3595.94:3595.94:3595.94 3713.03:3713.03:3713.03
IOPATH B[13] O[26] 3713.6:3713.6:3713.6 3823.41:3823.41:3823.41
IOPATH B[13] O[27] 3820.95:3820.95:3820.95 3927.5:3927.5:3927.5
IOPATH B[13] O[28] 3797.39:3797.39:3797.39 3912.41:3912.41:3912.41
IOPATH B[13] O[29] 3942.04:3942.04:3942.04 4054.32:4054.32:4054.32
IOPATH B[13] O[30] 4036.97:4036.97:4036.97 4171.56:4171.56:4171.56
IOPATH B[13] O[31] 4115.75:4115.75:4115.75 4241.27:4241.27:4241.27
IOPATH B[14] O[22] 2201.85:2201.85:2201.85 2327.43:2327.43:2327.43
IOPATH B[14] O[23] 2348.85:2348.85:2348.85 2433.35:2433.35:2433.35
IOPATH B[14] O[24] 2928.34:2928.34:2928.34 3076.44:3076.44:3076.44
IOPATH B[14] O[25] 3018.33:3018.33:3018.33 3135.42:3135.42:3135.42
IOPATH B[14] O[26] 3135.99:3135.99:3135.99 3245.8:3245.8:3245.8
IOPATH B[14] O[27] 3243.34:3243.34:3243.34 3349.89:3349.89:3349.89
IOPATH B[14] O[28] 3459.07:3459.07:3459.07 3574.1:3574.1:3574.1
IOPATH B[14] O[29] 3603.73:3603.73:3603.73 3716:3716:3716
IOPATH B[14] O[30] 3698.66:3698.66:3698.66 3833.24:3833.24:3833.24
IOPATH B[14] O[31] 3777.44:3777.44:3777.44 3902.95:3902.95:3902.95
IOPATH B[15] O[22] 2509.95:2509.95:2509.95 2635.53:2635.53:2635.53
IOPATH B[15] O[23] 2657:2657:2657 2741.5:2741.5:2741.5
IOPATH B[15] O[24] 3345.33:3345.33:3345.33 3467.27:3467.27:3467.27
IOPATH B[15] O[25] 3541.84:3541.84:3541.84 3670.41:3670.41:3670.41
IOPATH B[15] O[26] 3683.86:3683.86:3683.86 3802.26:3802.26:3802.26
IOPATH B[15] O[27] 3791.21:3791.21:3791.21 3897.76:3897.76:3897.76
IOPATH B[15] O[28] 4047.35:4047.35:4047.35 4162.38:4162.38:4162.38
IOPATH B[15] O[29] 4192.01:4192.01:4192.01 4304.28:4304.28:4304.28
IOPATH B[15] O[30] 4286.94:4286.94:4286.94 4421.52:4421.52:4421.52
IOPATH B[15] O[31] 4365.72:4365.72:4365.72 4491.23:4491.23:4491.23
CELL SB_MAC16_MUL_S_16X16_ALL_PIPELINE
HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246
HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741
HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99
HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2
HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945
HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874
HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084
HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785
HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124
HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61
HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622
HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057
HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708
HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794
HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812
HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546
HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98
HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403
HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028
HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302
HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007
HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006
HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769
HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607
HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274
HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668
HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878
HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905
HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213
HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869
HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724
HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759
HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851
HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754
HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691
HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169
HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019
HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19
HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127
HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38
HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834
HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307
HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288
HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096
HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083
HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184
HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033
HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949
HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169
HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937
HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235
HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549
HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429
HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878
HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317
HOLD negedge:D[2] posedge:CLK 184.114:184.114:184.114
HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239
HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471
HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749
HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895
HOLD negedge:D[7] posedge:CLK 181.212:181.212:181.212
HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742
HOLD negedge:D[9] posedge:CLK 172.629:172.629:172.629
HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963
HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257
HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946
HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781
HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772
HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634
HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999
HOLD negedge:OHOLDBOT posedge:CLK 149.494:149.494:149.494
HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282
HOLD negedge:OLOADBOT posedge:CLK 194.549:194.549:194.549
HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665
HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322
HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322
HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459
HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852
HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475
HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361
HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946
HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272
HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172
HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888
HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785
HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088
HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14
HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736
HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36
HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019
HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029
HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971
HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435
HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228
HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656
HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102
HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305
HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178
HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703
HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52
HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364
HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225
HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105
HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808
HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215
HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332
HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53
HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906
HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884
HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57
HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313
HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623
HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01
HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574
HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81
HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343
HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812
HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702
HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862
HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001
HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338
HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318
HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393
HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921
HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495
HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588
HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582
HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936
HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924
HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821
HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464
HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506
HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025
HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529
HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476
HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497
HOLD posedge:D[9] posedge:CLK 133.806:133.806:133.806
HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539
HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024
HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327
HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378
HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606
HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689
HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151
HOLD posedge:OHOLDBOT posedge:CLK 181.224:181.224:181.224
HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884
HOLD posedge:OLOADBOT posedge:CLK 171.892:171.892:171.892
HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45
RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724
RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18
RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935
RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505
RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0
RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0
RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0
RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0
SETUP negedge:A[0] posedge:CLK -77.468:-77.468:-77.468
SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877
SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986
SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635
SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234
SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594
SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652
SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293
SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478
SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9
SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604
SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004
SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212
SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344
SETUP negedge:A[14] posedge:CLK -22.509:-22.509:-22.509
SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389
SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05
SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18
SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142
SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519
SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051
SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492
SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529
SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744
SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83
SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288
SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367
SETUP negedge:B[8] posedge:CLK -49.686:-49.686:-49.686
SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778
SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203
SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766
SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713
SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597
SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964
SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205
SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407
SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759
SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194
SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402
SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859
SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951
SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538
SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699
SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388
SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784
SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056
SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101
SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454
SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56
SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873
SETUP negedge:C[14] posedge:CLK 142.094:142.094:142.094
SETUP negedge:C[15] posedge:CLK 137.21:137.21:137.21
SETUP negedge:CHOLD posedge:CLK 196.562:196.562:196.562
SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648
SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401
SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237
SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109
SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314
SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513
SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322
SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74
SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368
SETUP negedge:D[9] posedge:CLK 147.15:147.15:147.15
SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267
SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41
SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628
SETUP negedge:D[13] posedge:CLK 110.009:110.009:110.009
SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776
SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669
SETUP negedge:DHOLD posedge:CLK 240.313:240.313:240.313
SETUP negedge:OHOLDBOT posedge:CLK 313.047:313.047:313.047
SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054
SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935
SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583
SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512
SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696
SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595
SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939
SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245
SETUP posedge:A[5] posedge:CLK -25.999:-25.999:-25.999
SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085
SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895
SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441
SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648
SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249
SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189
SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247
SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668
SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469
SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253
SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14
SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94
SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311
SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943
SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073
SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514
SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154
SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081
SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633
SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568
SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844
SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373
SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787
SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875
SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63
SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556
SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909
SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688
SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423
SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109
SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163
SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364
SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319
SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996
SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059
SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886
SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269
SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751
SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598
SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902
SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447
SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205
SETUP posedge:C[12] posedge:CLK 119.609:119.609:119.609
SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815
SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506
SETUP posedge:C[15] posedge:CLK 132.91:132.91:132.91
SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68
SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623
SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973
SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722
SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911
SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937
SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082
SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918
SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25
SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934
SETUP posedge:D[9] posedge:CLK 141.194:141.194:141.194
SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013
SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798
SETUP posedge:D[12] posedge:CLK 132.224:132.224:132.224
SETUP posedge:D[13] posedge:CLK 104.821:104.821:104.821
SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392
SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627
SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767
SETUP posedge:OHOLDBOT posedge:CLK 254.785:254.785:254.785
SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17
SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518
SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649
IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88
IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116
IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.91:2654.91:2654.91
IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.9:3200.9:3200.9
IOPATH posedge:CLK O[0] 1027.36:1027.36:1027.36 1151.02:1151.02:1151.02
IOPATH posedge:CLK O[1] 1041.4:1041.4:1041.4 1130.09:1130.09:1130.09
IOPATH posedge:CLK O[2] 1058.12:1058.12:1058.12 1161.27:1161.27:1161.27
IOPATH posedge:CLK O[3] 1043.93:1043.93:1043.93 1108.72:1108.72:1108.72
IOPATH posedge:CLK O[4] 1117.26:1117.26:1117.26 1192.21:1192.21:1192.21
IOPATH posedge:CLK O[5] 1018.22:1018.22:1018.22 1107.11:1107.11:1107.11
IOPATH posedge:CLK O[6] 998.386:998.386:998.386 1063.27:1063.27:1063.27
IOPATH posedge:CLK O[7] 972.334:972.334:972.334 1049.18:1049.18:1049.18
IOPATH posedge:CLK O[8] 1372.65:1372.65:1372.65 1362.75:1362.75:1362.75
IOPATH posedge:CLK O[9] 1329:1329:1329 1252.14:1252.14:1252.14
IOPATH posedge:CLK O[10] 1175.95:1175.95:1175.95 1155.63:1155.63:1155.63
IOPATH posedge:CLK O[11] 1312.56:1312.56:1312.56 1281.74:1281.74:1281.74
IOPATH posedge:CLK O[12] 1345.23:1345.23:1345.23 1282.97:1282.97:1282.97
IOPATH posedge:CLK O[13] 1367:1367:1367 1259.98:1259.98:1259.98
IOPATH posedge:CLK O[14] 1488.96:1488.96:1488.96 1425.21:1425.21:1425.21
IOPATH posedge:CLK O[15] 1196.75:1196.75:1196.75 1196.53:1196.53:1196.53
IOPATH posedge:CLK O[16] 1516.62:1516.62:1516.62 1449.61:1449.61:1449.61
IOPATH posedge:CLK O[17] 1242.01:1242.01:1242.01 1279.72:1279.72:1279.72
IOPATH posedge:CLK O[18] 1169.02:1169.02:1169.02 1196.53:1196.53:1196.53
IOPATH posedge:CLK O[19] 1323.84:1323.84:1323.84 1328.45:1328.45:1328.45
IOPATH posedge:CLK O[20] 1299.25:1299.25:1299.25 1278.33:1278.33:1278.33
IOPATH posedge:CLK O[21] 1171.58:1171.58:1171.58 1148.12:1148.12:1148.12
IOPATH posedge:CLK O[22] 1114.71:1114.71:1114.71 1119.56:1119.56:1119.56
IOPATH posedge:CLK O[23] 1120.47:1120.47:1120.47 1114.8:1114.8:1114.8
IOPATH posedge:CLK O[24] 1175.77:1175.77:1175.77 1159.15:1159.15:1159.15
IOPATH posedge:CLK O[25] 1141.94:1141.94:1141.94 1143.02:1143.02:1143.02
IOPATH posedge:CLK O[26] 1153:1153:1153 1148.9:1148.9:1148.9
IOPATH posedge:CLK O[27] 1174.03:1174.03:1174.03 1169.11:1169.11:1169.11
IOPATH posedge:CLK O[28] 1173.08:1173.08:1173.08 1172.68:1172.68:1172.68
IOPATH posedge:CLK O[29] 1190.42:1190.42:1190.42 1201.85:1201.85:1201.85
IOPATH posedge:CLK O[30] 1230.31:1230.31:1230.31 1238.62:1238.62:1238.62
IOPATH posedge:CLK O[31] 1258.14:1258.14:1258.14 1290.08:1290.08:1290.08
IOPATH posedge:CLK SIGNEXTOUT 1465.6:1465.6:1465.6 1431.91:1431.91:1431.91
CELL SB_MAC16_MUL_U_8X8_ALL_PIPELINE
HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246
HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741
HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99
HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2
HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945
HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874
HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084
HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785
HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124
HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61
HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622
HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057
HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708
HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794
HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812
HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546
HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98
HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403
HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028
HOLD negedge:B[0] posedge:CLK 199.303:199.303:199.303
HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007
HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98
HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743
HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607
HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274
HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668
HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88
HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905
HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213
HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869
HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724
HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759
HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851
HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756
HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691
HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143
HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019
HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19
HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127
HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38
HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834
HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307
HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288
HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096
HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083
HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184
HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033
HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949
HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169
HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937
HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235
HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549
HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429
HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878
HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317
HOLD negedge:D[2] posedge:CLK 184.113:184.113:184.113
HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239
HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471
HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749
HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895
HOLD negedge:D[7] posedge:CLK 181.209:181.209:181.209
HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742
HOLD negedge:D[9] posedge:CLK 172.629:172.629:172.629
HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963
HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257
HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946
HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781
HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772
HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634
HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999
HOLD negedge:OHOLDBOT posedge:CLK 149.494:149.494:149.494
HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282
HOLD negedge:OLOADBOT posedge:CLK 194.446:194.446:194.446
HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665
HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322
HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322
HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459
HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852
HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475
HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361
HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946
HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272
HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172
HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888
HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785
HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088
HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14
HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736
HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36
HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019
HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029
HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971
HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435
HOLD posedge:B[0] posedge:CLK 159.227:159.227:159.227
HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656
HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102
HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267
HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178
HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66
HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52
HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363
HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225
HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105
HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808
HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215
HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332
HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53
HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905
HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884
HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57
HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313
HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623
HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01
HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574
HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81
HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343
HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812
HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702
HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862
HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001
HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338
HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318
HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393
HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921
HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495
HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588
HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582
HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936
HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924
HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821
HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464
HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506
HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025
HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529
HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476
HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497
HOLD posedge:D[9] posedge:CLK 133.806:133.806:133.806
HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539
HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024
HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327
HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378
HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606
HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689
HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151
HOLD posedge:OHOLDBOT posedge:CLK 181.224:181.224:181.224
HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884
HOLD posedge:OLOADBOT posedge:CLK 171.851:171.851:171.851
HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45
RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559
RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107
RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935
RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505
RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0
RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0
RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0
RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0
SETUP negedge:A[0] posedge:CLK -77.471:-77.471:-77.471
SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877
SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986
SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635
SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234
SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594
SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652
SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293
SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478
SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9
SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604
SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004
SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212
SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344
SETUP negedge:A[14] posedge:CLK -22.509:-22.509:-22.509
SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389
SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05
SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18
SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142
SETUP negedge:B[0] posedge:CLK -54.518:-54.518:-54.518
SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051
SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579
SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621
SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744
SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918
SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288
SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367
SETUP negedge:B[8] posedge:CLK -49.686:-49.686:-49.686
SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778
SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203
SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766
SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713
SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597
SETUP negedge:B[14] posedge:CLK -21.966:-21.966:-21.966
SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205
SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407
SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759
SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194
SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402
SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859
SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951
SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538
SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699
SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388
SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784
SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056
SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101
SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454
SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56
SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873
SETUP negedge:C[14] posedge:CLK 142.095:142.095:142.095
SETUP negedge:C[15] posedge:CLK 137.211:137.211:137.211
SETUP negedge:CHOLD posedge:CLK 196.56:196.56:196.56
SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648
SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401
SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237
SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109
SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314
SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513
SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322
SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74
SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368
SETUP negedge:D[9] posedge:CLK 147.15:147.15:147.15
SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267
SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41
SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628
SETUP negedge:D[13] posedge:CLK 109.927:109.927:109.927
SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776
SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669
SETUP negedge:DHOLD posedge:CLK 240.311:240.311:240.311
SETUP negedge:OHOLDBOT posedge:CLK 313.047:313.047:313.047
SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054
SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935
SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583
SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512
SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696
SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595
SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939
SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245
SETUP posedge:A[5] posedge:CLK -25.999:-25.999:-25.999
SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085
SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895
SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441
SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648
SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249
SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189
SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247
SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668
SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469
SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253
SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14
SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94
SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311
SETUP posedge:B[0] posedge:CLK -36.945:-36.945:-36.945
SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073
SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814
SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415
SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081
SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633
SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568
SETUP posedge:B[7] posedge:CLK -23.845:-23.845:-23.845
SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373
SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785
SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875
SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63
SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556
SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909
SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683
SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423
SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109
SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163
SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364
SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319
SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996
SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059
SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886
SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269
SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751
SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598
SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902
SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447
SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205
SETUP posedge:C[12] posedge:CLK 119.61:119.61:119.61
SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815
SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506
SETUP posedge:C[15] posedge:CLK 132.911:132.911:132.911
SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68
SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623
SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973
SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722
SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911
SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937
SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082
SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918
SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25
SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934
SETUP posedge:D[9] posedge:CLK 140.934:140.934:140.934
SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013
SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798
SETUP posedge:D[12] posedge:CLK 132.223:132.223:132.223
SETUP posedge:D[13] posedge:CLK 105.304:105.304:105.304
SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392
SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627
SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767
SETUP posedge:OHOLDBOT posedge:CLK 254.785:254.785:254.785
SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17
SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518
SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649
IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88
IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106
IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.83:2654.83:2654.83
IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.82:3200.82:3200.82
IOPATH posedge:CLK O[0] 1179.35:1179.35:1179.35 1321.62:1321.62:1321.62
IOPATH posedge:CLK O[1] 1358.96:1358.96:1358.96 1504.24:1504.24:1504.24
IOPATH posedge:CLK O[2] 1349.86:1349.86:1349.86 1494.01:1494.01:1494.01
IOPATH posedge:CLK O[3] 1313.98:1313.98:1313.98 1429.72:1429.72:1429.72
IOPATH posedge:CLK O[4] 1306.92:1306.92:1306.92 1419.95:1419.95:1419.95
IOPATH posedge:CLK O[5] 1326.35:1326.35:1326.35 1454.62:1454.62:1454.62
IOPATH posedge:CLK O[6] 1307.55:1307.55:1307.55 1435.93:1435.93:1435.93
IOPATH posedge:CLK O[7] 1157.83:1157.83:1157.83 1265.92:1265.92:1265.92
IOPATH posedge:CLK O[8] 1334.42:1334.42:1334.42 1399.24:1399.24:1399.24
IOPATH posedge:CLK O[9] 1261.2:1261.2:1261.2 1356.27:1356.27:1356.27
IOPATH posedge:CLK O[10] 1445.58:1445.58:1445.58 1524.86:1524.86:1524.86
IOPATH posedge:CLK O[11] 1424.71:1424.71:1424.71 1498.96:1498.96:1498.96
IOPATH posedge:CLK O[12] 1354.42:1354.42:1354.42 1425.22:1425.22:1425.22
IOPATH posedge:CLK O[13] 1289.9:1289.9:1289.9 1361.6:1361.6:1361.6
IOPATH posedge:CLK O[14] 1525.29:1525.29:1525.29 1600.58:1600.58:1600.58
IOPATH posedge:CLK O[15] 1356.99:1356.99:1356.99 1434.44:1434.44:1434.44
IOPATH posedge:CLK O[16] 1249.06:1249.06:1249.06 1356.38:1356.38:1356.38
IOPATH posedge:CLK O[17] 1252.24:1252.24:1252.24 1397.15:1397.15:1397.15
IOPATH posedge:CLK O[18] 1242.81:1242.81:1242.81 1385.48:1385.48:1385.48
IOPATH posedge:CLK O[19] 1399.15:1399.15:1399.15 1517.13:1517.13:1517.13
IOPATH posedge:CLK O[20] 1324.95:1324.95:1324.95 1429.32:1429.32:1429.32
IOPATH posedge:CLK O[21] 1219.67:1219.67:1219.67 1324.57:1324.57:1324.57
IOPATH posedge:CLK O[22] 1195.6:1195.6:1195.6 1306.86:1306.86:1306.86
IOPATH posedge:CLK O[23] 1164.82:1164.82:1164.82 1273.39:1273.39:1273.39
IOPATH posedge:CLK O[24] 1237.56:1237.56:1237.56 1359.01:1359.01:1359.01
IOPATH posedge:CLK O[25] 1222.51:1222.51:1222.51 1318.76:1318.76:1318.76
IOPATH posedge:CLK O[26] 1212.58:1212.58:1212.58 1303.17:1303.17:1303.17
IOPATH posedge:CLK O[27] 1227.24:1227.24:1227.24 1320.96:1320.96:1320.96
IOPATH posedge:CLK O[28] 1241.58:1241.58:1241.58 1342.8:1342.8:1342.8
IOPATH posedge:CLK O[29] 1309.42:1309.42:1309.42 1396.29:1396.29:1396.29
IOPATH posedge:CLK O[30] 1279.47:1279.47:1279.47 1399.54:1399.54:1399.54
IOPATH posedge:CLK O[31] 1278.71:1278.71:1278.71 1388.55:1388.55:1388.55
IOPATH posedge:CLK SIGNEXTOUT 1465.45:1465.45:1465.45 1431.72:1431.72:1431.72
CELL SB_MAC16_MUL_U_16X16_ALL_PIPELINE
HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246
HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741
HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99
HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2
HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945
HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874
HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084
HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785
HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124
HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61
HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622
HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057
HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708
HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794
HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812
HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546
HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98
HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403
HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028
HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302
HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007
HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006
HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769
HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607
HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274
HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668
HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878
HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905
HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213
HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869
HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724
HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759
HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851
HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754
HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691
HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169
HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019
HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19
HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127
HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38
HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834
HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307
HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288
HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096
HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083
HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184
HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033
HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949
HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169
HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937
HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235
HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549
HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429
HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878
HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317
HOLD negedge:D[2] posedge:CLK 184.114:184.114:184.114
HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239
HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471
HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749
HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895
HOLD negedge:D[7] posedge:CLK 181.212:181.212:181.212
HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742
HOLD negedge:D[9] posedge:CLK 172.629:172.629:172.629
HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963
HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257
HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946
HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781
HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772
HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634
HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999
HOLD negedge:OHOLDBOT posedge:CLK 149.494:149.494:149.494
HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282
HOLD negedge:OLOADBOT posedge:CLK 194.549:194.549:194.549
HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665
HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322
HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322
HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459
HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852
HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475
HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361
HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946
HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272
HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172
HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888
HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785
HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088
HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14
HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736
HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36
HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019
HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029
HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971
HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435
HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228
HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656
HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102
HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305
HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178
HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703
HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52
HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364
HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225
HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105
HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808
HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215
HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332
HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53
HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906
HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884
HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57
HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313
HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623
HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01
HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574
HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81
HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343
HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812
HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702
HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862
HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001
HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338
HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318
HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393
HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921
HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495
HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588
HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582
HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936
HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924
HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821
HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464
HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506
HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025
HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529
HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476
HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497
HOLD posedge:D[9] posedge:CLK 133.806:133.806:133.806
HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539
HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024
HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327
HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378
HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606
HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689
HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151
HOLD posedge:OHOLDBOT posedge:CLK 181.224:181.224:181.224
HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884
HOLD posedge:OLOADBOT posedge:CLK 171.892:171.892:171.892
HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45
RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724
RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18
RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935
RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505
RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0
RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0
RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0
RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0
REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0
REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0
REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0
REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0
SETUP negedge:A[0] posedge:CLK -77.468:-77.468:-77.468
SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877
SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986
SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635
SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234
SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594
SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652
SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293
SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478
SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9
SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604
SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004
SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212
SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344
SETUP negedge:A[14] posedge:CLK -22.509:-22.509:-22.509
SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389
SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05
SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18
SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142
SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519
SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051
SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492
SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529
SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744
SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83
SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288
SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367
SETUP negedge:B[8] posedge:CLK -49.686:-49.686:-49.686
SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778
SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203
SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766
SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713
SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597
SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964
SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205
SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407
SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759
SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194
SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402
SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859
SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951
SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538
SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699
SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388
SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784
SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056
SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101
SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454
SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56
SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873
SETUP negedge:C[14] posedge:CLK 142.094:142.094:142.094
SETUP negedge:C[15] posedge:CLK 137.21:137.21:137.21
SETUP negedge:CHOLD posedge:CLK 196.562:196.562:196.562
SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648
SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401
SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237
SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109
SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314
SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513
SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322
SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74
SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368
SETUP negedge:D[9] posedge:CLK 147.15:147.15:147.15
SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267
SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41
SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628
SETUP negedge:D[13] posedge:CLK 110.009:110.009:110.009
SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776
SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669
SETUP negedge:DHOLD posedge:CLK 240.313:240.313:240.313
SETUP negedge:OHOLDBOT posedge:CLK 313.047:313.047:313.047
SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054
SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935
SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583
SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512
SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696
SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595
SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939
SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245
SETUP posedge:A[5] posedge:CLK -25.999:-25.999:-25.999
SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085
SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895
SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441
SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648
SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249
SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189
SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247
SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668
SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469
SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253
SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14
SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94
SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311
SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943
SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073
SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514
SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154
SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081
SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633
SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568
SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844
SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373
SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787
SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875
SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63
SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556
SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909
SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688
SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423
SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109
SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163
SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364
SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319
SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996
SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059
SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886
SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269
SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751
SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598
SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902
SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447
SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205
SETUP posedge:C[12] posedge:CLK 119.609:119.609:119.609
SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815
SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506
SETUP posedge:C[15] posedge:CLK 132.91:132.91:132.91
SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68
SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623
SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973
SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722
SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911
SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937
SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082
SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918
SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25
SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934
SETUP posedge:D[9] posedge:CLK 141.194:141.194:141.194
SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013
SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798
SETUP posedge:D[12] posedge:CLK 132.224:132.224:132.224
SETUP posedge:D[13] posedge:CLK 104.821:104.821:104.821
SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392
SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627
SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767
SETUP posedge:OHOLDBOT posedge:CLK 254.785:254.785:254.785
SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17
SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518
SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649
IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88
IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116
IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.91:2654.91:2654.91
IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.9:3200.9:3200.9
IOPATH posedge:CLK O[0] 1027.36:1027.36:1027.36 1151.02:1151.02:1151.02
IOPATH posedge:CLK O[1] 1041.4:1041.4:1041.4 1130.09:1130.09:1130.09
IOPATH posedge:CLK O[2] 1058.12:1058.12:1058.12 1161.27:1161.27:1161.27
IOPATH posedge:CLK O[3] 1043.93:1043.93:1043.93 1108.72:1108.72:1108.72
IOPATH posedge:CLK O[4] 1117.26:1117.26:1117.26 1192.21:1192.21:1192.21
IOPATH posedge:CLK O[5] 1018.22:1018.22:1018.22 1107.11:1107.11:1107.11
IOPATH posedge:CLK O[6] 998.386:998.386:998.386 1063.27:1063.27:1063.27
IOPATH posedge:CLK O[7] 972.334:972.334:972.334 1049.18:1049.18:1049.18
IOPATH posedge:CLK O[8] 1372.65:1372.65:1372.65 1362.75:1362.75:1362.75
IOPATH posedge:CLK O[9] 1329:1329:1329 1252.14:1252.14:1252.14
IOPATH posedge:CLK O[10] 1175.95:1175.95:1175.95 1155.63:1155.63:1155.63
IOPATH posedge:CLK O[11] 1312.56:1312.56:1312.56 1281.74:1281.74:1281.74
IOPATH posedge:CLK O[12] 1345.23:1345.23:1345.23 1282.97:1282.97:1282.97
IOPATH posedge:CLK O[13] 1367:1367:1367 1259.98:1259.98:1259.98
IOPATH posedge:CLK O[14] 1488.96:1488.96:1488.96 1425.21:1425.21:1425.21
IOPATH posedge:CLK O[15] 1196.75:1196.75:1196.75 1196.53:1196.53:1196.53
IOPATH posedge:CLK O[16] 1516.62:1516.62:1516.62 1449.61:1449.61:1449.61
IOPATH posedge:CLK O[17] 1242.01:1242.01:1242.01 1279.72:1279.72:1279.72
IOPATH posedge:CLK O[18] 1169.02:1169.02:1169.02 1196.53:1196.53:1196.53
IOPATH posedge:CLK O[19] 1323.84:1323.84:1323.84 1328.45:1328.45:1328.45
IOPATH posedge:CLK O[20] 1299.25:1299.25:1299.25 1278.33:1278.33:1278.33
IOPATH posedge:CLK O[21] 1171.58:1171.58:1171.58 1148.12:1148.12:1148.12
IOPATH posedge:CLK O[22] 1114.71:1114.71:1114.71 1119.56:1119.56:1119.56
IOPATH posedge:CLK O[23] 1120.47:1120.47:1120.47 1114.8:1114.8:1114.8
IOPATH posedge:CLK O[24] 1175.77:1175.77:1175.77 1159.15:1159.15:1159.15
IOPATH posedge:CLK O[25] 1141.94:1141.94:1141.94 1143.02:1143.02:1143.02
IOPATH posedge:CLK O[26] 1153:1153:1153 1148.9:1148.9:1148.9
IOPATH posedge:CLK O[27] 1174.03:1174.03:1174.03 1169.11:1169.11:1169.11
IOPATH posedge:CLK O[28] 1173.08:1173.08:1173.08 1172.68:1172.68:1172.68
IOPATH posedge:CLK O[29] 1190.42:1190.42:1190.42 1201.85:1201.85:1201.85
IOPATH posedge:CLK O[30] 1230.31:1230.31:1230.31 1238.62:1238.62:1238.62
IOPATH posedge:CLK O[31] 1258.14:1258.14:1258.14 1290.08:1290.08:1290.08
IOPATH posedge:CLK SIGNEXTOUT 1465.6:1465.6:1465.6 1431.91:1431.91:1431.91
CELL SB_PLL40_2F_CORE
HOLD negedge:SDI negedge:SCLK 0:0:0
HOLD posedge:SDI negedge:SCLK 0:0:0
SETUP negedge:SDI negedge:SCLK 1000:1000:1000
SETUP posedge:SDI negedge:SCLK 1000:1000:1000
IOPATH negedge:SCLK SDO 1000:1000:1000 1000:1000:1000
IOPATH REFERENCECLK PLLOUTCOREA *:*:* *:*:*
IOPATH REFERENCECLK PLLOUTCOREB *:*:* *:*:*
IOPATH REFERENCECLK PLLOUTGLOBALA *:*:* *:*:*
IOPATH REFERENCECLK PLLOUTGLOBALB *:*:* *:*:*
CELL SB_PLL40_CORE
HOLD negedge:SDI negedge:SCLK 0:0:0
HOLD posedge:SDI negedge:SCLK 0:0:0
SETUP negedge:SDI negedge:SCLK 1000:1000:1000
SETUP posedge:SDI negedge:SCLK 1000:1000:1000
IOPATH negedge:SCLK SDO 1000:1000:1000 1000:1000:1000
IOPATH REFERENCECLK PLLOUTCORE *:*:* *:*:*
IOPATH REFERENCECLK PLLOUTGLOBAL *:*:* *:*:*
CELL SB_RAM40_4K
HOLD negedge:MASK[0] posedge:WCLK 0:0:0
HOLD negedge:MASK[1] posedge:WCLK 0:0:0
HOLD negedge:MASK[2] posedge:WCLK 0:0:0
HOLD negedge:MASK[3] posedge:WCLK 0:0:0
HOLD negedge:MASK[4] posedge:WCLK 0:0:0
HOLD negedge:MASK[5] posedge:WCLK 0:0:0
HOLD negedge:MASK[6] posedge:WCLK 0:0:0
HOLD negedge:MASK[7] posedge:WCLK 0:0:0
HOLD negedge:MASK[8] posedge:WCLK 0:0:0
HOLD negedge:MASK[9] posedge:WCLK 0:0:0
HOLD negedge:MASK[10] posedge:WCLK 0:0:0
HOLD negedge:MASK[11] posedge:WCLK 0:0:0
HOLD negedge:MASK[12] posedge:WCLK 0:0:0
HOLD negedge:MASK[13] posedge:WCLK 0:0:0
HOLD negedge:MASK[14] posedge:WCLK 0:0:0
HOLD negedge:MASK[15] posedge:WCLK 0:0:0
HOLD negedge:RADDR[0] posedge:RCLK 80:80:80
HOLD negedge:RADDR[1] posedge:RCLK 80:80:80
HOLD negedge:RADDR[2] posedge:RCLK 80:80:80
HOLD negedge:RADDR[3] posedge:RCLK 80:80:80
HOLD negedge:RADDR[4] posedge:RCLK 80:80:80
HOLD negedge:RADDR[5] posedge:RCLK 80:80:80
HOLD negedge:RADDR[6] posedge:RCLK 80:80:80
HOLD negedge:RADDR[7] posedge:RCLK 80:80:80
HOLD negedge:RADDR[8] posedge:RCLK 80:80:80
HOLD negedge:RADDR[9] posedge:RCLK 80:80:80
HOLD negedge:RADDR[10] posedge:RCLK 80:80:80
HOLD negedge:RCLKE posedge:RCLK 75:75:75
HOLD negedge:RE posedge:RCLK 120:120:120
HOLD negedge:WADDR[0] posedge:WCLK 50:50:50
HOLD negedge:WADDR[1] posedge:WCLK 50:50:50
HOLD negedge:WADDR[2] posedge:WCLK 50:50:50
HOLD negedge:WADDR[3] posedge:WCLK 50:50:50
HOLD negedge:WADDR[4] posedge:WCLK 50:50:50
HOLD negedge:WADDR[5] posedge:WCLK 50:50:50
HOLD negedge:WADDR[6] posedge:WCLK 50:50:50
HOLD negedge:WADDR[7] posedge:WCLK 50:50:50
HOLD negedge:WADDR[8] posedge:WCLK 50:50:50
HOLD negedge:WADDR[9] posedge:WCLK 50:50:50
HOLD negedge:WADDR[10] posedge:WCLK 50:50:50
HOLD negedge:WCLKE posedge:WCLK 39:39:39
HOLD negedge:WDATA[0] posedge:WCLK 50:50:50
HOLD negedge:WDATA[1] posedge:WCLK 50:50:50
HOLD negedge:WDATA[2] posedge:WCLK 50:50:50
HOLD negedge:WDATA[3] posedge:WCLK 50:50:50
HOLD negedge:WDATA[4] posedge:WCLK 50:50:50
HOLD negedge:WDATA[5] posedge:WCLK 50:50:50
HOLD negedge:WDATA[6] posedge:WCLK 50:50:50
HOLD negedge:WDATA[7] posedge:WCLK 50:50:50
HOLD negedge:WDATA[8] posedge:WCLK 50:50:50
HOLD negedge:WDATA[9] posedge:WCLK 50:50:50
HOLD negedge:WDATA[10] posedge:WCLK 50:50:50
HOLD negedge:WDATA[11] posedge:WCLK 50:50:50
HOLD negedge:WDATA[12] posedge:WCLK 50:50:50
HOLD negedge:WDATA[13] posedge:WCLK 50:50:50
HOLD negedge:WDATA[14] posedge:WCLK 50:50:50
HOLD negedge:WDATA[15] posedge:WCLK 50:50:50
HOLD negedge:WE posedge:WCLK 70:70:70
HOLD posedge:MASK[0] posedge:WCLK 0:0:0
HOLD posedge:MASK[1] posedge:WCLK 0:0:0
HOLD posedge:MASK[2] posedge:WCLK 0:0:0
HOLD posedge:MASK[3] posedge:WCLK 0:0:0
HOLD posedge:MASK[4] posedge:WCLK 0:0:0
HOLD posedge:MASK[5] posedge:WCLK 0:0:0
HOLD posedge:MASK[6] posedge:WCLK 0:0:0
HOLD posedge:MASK[7] posedge:WCLK 0:0:0
HOLD posedge:MASK[8] posedge:WCLK 0:0:0
HOLD posedge:MASK[9] posedge:WCLK 0:0:0
HOLD posedge:MASK[10] posedge:WCLK 0:0:0
HOLD posedge:MASK[11] posedge:WCLK 0:0:0
HOLD posedge:MASK[12] posedge:WCLK 0:0:0
HOLD posedge:MASK[13] posedge:WCLK 0:0:0
HOLD posedge:MASK[14] posedge:WCLK 0:0:0
HOLD posedge:MASK[15] posedge:WCLK 0:0:0
HOLD posedge:RADDR[0] posedge:RCLK 80:80:80
HOLD posedge:RADDR[1] posedge:RCLK 80:80:80
HOLD posedge:RADDR[2] posedge:RCLK 80:80:80
HOLD posedge:RADDR[3] posedge:RCLK 80:80:80
HOLD posedge:RADDR[4] posedge:RCLK 80:80:80
HOLD posedge:RADDR[5] posedge:RCLK 80:80:80
HOLD posedge:RADDR[6] posedge:RCLK 80:80:80
HOLD posedge:RADDR[7] posedge:RCLK 80:80:80
HOLD posedge:RADDR[8] posedge:RCLK 80:80:80
HOLD posedge:RADDR[9] posedge:RCLK 80:80:80
HOLD posedge:RADDR[10] posedge:RCLK 80:80:80
HOLD posedge:RCLKE posedge:RCLK 75:75:75
HOLD posedge:RE posedge:RCLK 120:120:120
HOLD posedge:WADDR[0] posedge:WCLK 50:50:50
HOLD posedge:WADDR[1] posedge:WCLK 50:50:50
HOLD posedge:WADDR[2] posedge:WCLK 50:50:50
HOLD posedge:WADDR[3] posedge:WCLK 50:50:50
HOLD posedge:WADDR[4] posedge:WCLK 50:50:50
HOLD posedge:WADDR[5] posedge:WCLK 50:50:50
HOLD posedge:WADDR[6] posedge:WCLK 50:50:50
HOLD posedge:WADDR[7] posedge:WCLK 50:50:50
HOLD posedge:WADDR[8] posedge:WCLK 50:50:50
HOLD posedge:WADDR[9] posedge:WCLK 50:50:50
HOLD posedge:WADDR[10] posedge:WCLK 50:50:50
HOLD posedge:WCLKE posedge:WCLK 39:39:39
HOLD posedge:WDATA[0] posedge:WCLK 50:50:50
HOLD posedge:WDATA[1] posedge:WCLK 50:50:50
HOLD posedge:WDATA[2] posedge:WCLK 50:50:50
HOLD posedge:WDATA[3] posedge:WCLK 50:50:50
HOLD posedge:WDATA[4] posedge:WCLK 50:50:50
HOLD posedge:WDATA[5] posedge:WCLK 50:50:50
HOLD posedge:WDATA[6] posedge:WCLK 50:50:50
HOLD posedge:WDATA[7] posedge:WCLK 50:50:50
HOLD posedge:WDATA[8] posedge:WCLK 50:50:50
HOLD posedge:WDATA[9] posedge:WCLK 50:50:50
HOLD posedge:WDATA[10] posedge:WCLK 50:50:50
HOLD posedge:WDATA[11] posedge:WCLK 50:50:50
HOLD posedge:WDATA[12] posedge:WCLK 50:50:50
HOLD posedge:WDATA[13] posedge:WCLK 50:50:50
HOLD posedge:WDATA[14] posedge:WCLK 50:50:50
HOLD posedge:WDATA[15] posedge:WCLK 50:50:50
HOLD posedge:WE posedge:WCLK 70:70:70
SETUP negedge:MASK[0] posedge:WCLK 390:390:390
SETUP negedge:MASK[1] posedge:WCLK 390:390:390
SETUP negedge:MASK[2] posedge:WCLK 390:390:390
SETUP negedge:MASK[3] posedge:WCLK 390:390:390
SETUP negedge:MASK[4] posedge:WCLK 390:390:390
SETUP negedge:MASK[5] posedge:WCLK 390:390:390
SETUP negedge:MASK[6] posedge:WCLK 390:390:390
SETUP negedge:MASK[7] posedge:WCLK 390:390:390
SETUP negedge:MASK[8] posedge:WCLK 390:390:390
SETUP negedge:MASK[9] posedge:WCLK 390:390:390
SETUP negedge:MASK[10] posedge:WCLK 390:390:390
SETUP negedge:MASK[11] posedge:WCLK 390:390:390
SETUP negedge:MASK[12] posedge:WCLK 390:390:390
SETUP negedge:MASK[13] posedge:WCLK 390:390:390
SETUP negedge:MASK[14] posedge:WCLK 390:390:390
SETUP negedge:MASK[15] posedge:WCLK 390:390:390
SETUP negedge:RADDR[0] posedge:RCLK 290:290:290
SETUP negedge:RADDR[1] posedge:RCLK 290:290:290
SETUP negedge:RADDR[2] posedge:RCLK 290:290:290
SETUP negedge:RADDR[3] posedge:RCLK 290:290:290
SETUP negedge:RADDR[4] posedge:RCLK 290:290:290
SETUP negedge:RADDR[5] posedge:RCLK 290:290:290
SETUP negedge:RADDR[6] posedge:RCLK 290:290:290
SETUP negedge:RADDR[7] posedge:RCLK 290:290:290
SETUP negedge:RADDR[8] posedge:RCLK 290:290:290
SETUP negedge:RADDR[9] posedge:RCLK 290:290:290
SETUP negedge:RADDR[10] posedge:RCLK 290:290:290
SETUP negedge:RCLKE posedge:RCLK 380:380:380
SETUP negedge:RE posedge:RCLK 140:140:140
SETUP negedge:WADDR[0] posedge:WCLK 320:320:320
SETUP negedge:WADDR[1] posedge:WCLK 320:320:320
SETUP negedge:WADDR[2] posedge:WCLK 320:320:320
SETUP negedge:WADDR[3] posedge:WCLK 320:320:320
SETUP negedge:WADDR[4] posedge:WCLK 320:320:320
SETUP negedge:WADDR[5] posedge:WCLK 320:320:320
SETUP negedge:WADDR[6] posedge:WCLK 320:320:320
SETUP negedge:WADDR[7] posedge:WCLK 320:320:320
SETUP negedge:WADDR[8] posedge:WCLK 320:320:320
SETUP negedge:WADDR[9] posedge:WCLK 320:320:320
SETUP negedge:WADDR[10] posedge:WCLK 320:320:320
SETUP negedge:WCLKE posedge:WCLK 380:380:380
SETUP negedge:WDATA[0] posedge:WCLK 230:230:230
SETUP negedge:WDATA[1] posedge:WCLK 230:230:230
SETUP negedge:WDATA[2] posedge:WCLK 230:230:230
SETUP negedge:WDATA[3] posedge:WCLK 230:230:230
SETUP negedge:WDATA[4] posedge:WCLK 230:230:230
SETUP negedge:WDATA[5] posedge:WCLK 230:230:230
SETUP negedge:WDATA[6] posedge:WCLK 230:230:230
SETUP negedge:WDATA[7] posedge:WCLK 230:230:230
SETUP negedge:WDATA[8] posedge:WCLK 230:230:230
SETUP negedge:WDATA[9] posedge:WCLK 230:230:230
SETUP negedge:WDATA[10] posedge:WCLK 230:230:230
SETUP negedge:WDATA[11] posedge:WCLK 230:230:230
SETUP negedge:WDATA[12] posedge:WCLK 230:230:230
SETUP negedge:WDATA[13] posedge:WCLK 230:230:230
SETUP negedge:WDATA[14] posedge:WCLK 230:230:230
SETUP negedge:WDATA[15] posedge:WCLK 230:230:230
SETUP negedge:WE posedge:WCLK 190:190:190
SETUP posedge:MASK[0] posedge:WCLK 390:390:390
SETUP posedge:MASK[1] posedge:WCLK 390:390:390
SETUP posedge:MASK[2] posedge:WCLK 390:390:390
SETUP posedge:MASK[3] posedge:WCLK 390:390:390
SETUP posedge:MASK[4] posedge:WCLK 390:390:390
SETUP posedge:MASK[5] posedge:WCLK 390:390:390
SETUP posedge:MASK[6] posedge:WCLK 390:390:390
SETUP posedge:MASK[7] posedge:WCLK 390:390:390
SETUP posedge:MASK[8] posedge:WCLK 390:390:390
SETUP posedge:MASK[9] posedge:WCLK 390:390:390
SETUP posedge:MASK[10] posedge:WCLK 390:390:390
SETUP posedge:MASK[11] posedge:WCLK 390:390:390
SETUP posedge:MASK[12] posedge:WCLK 390:390:390
SETUP posedge:MASK[13] posedge:WCLK 390:390:390
SETUP posedge:MASK[14] posedge:WCLK 390:390:390
SETUP posedge:MASK[15] posedge:WCLK 390:390:390
SETUP posedge:RADDR[0] posedge:RCLK 290:290:290
SETUP posedge:RADDR[1] posedge:RCLK 290:290:290
SETUP posedge:RADDR[2] posedge:RCLK 290:290:290
SETUP posedge:RADDR[3] posedge:RCLK 290:290:290
SETUP posedge:RADDR[4] posedge:RCLK 290:290:290
SETUP posedge:RADDR[5] posedge:RCLK 290:290:290
SETUP posedge:RADDR[6] posedge:RCLK 290:290:290
SETUP posedge:RADDR[7] posedge:RCLK 290:290:290
SETUP posedge:RADDR[8] posedge:RCLK 290:290:290
SETUP posedge:RADDR[9] posedge:RCLK 290:290:290
SETUP posedge:RADDR[10] posedge:RCLK 290:290:290
SETUP posedge:RCLKE posedge:RCLK 380:380:380
SETUP posedge:RE posedge:RCLK 140:140:140
SETUP posedge:WADDR[0] posedge:WCLK 320:320:320
SETUP posedge:WADDR[1] posedge:WCLK 320:320:320
SETUP posedge:WADDR[2] posedge:WCLK 320:320:320
SETUP posedge:WADDR[3] posedge:WCLK 320:320:320
SETUP posedge:WADDR[4] posedge:WCLK 320:320:320
SETUP posedge:WADDR[5] posedge:WCLK 320:320:320
SETUP posedge:WADDR[6] posedge:WCLK 320:320:320
SETUP posedge:WADDR[7] posedge:WCLK 320:320:320
SETUP posedge:WADDR[8] posedge:WCLK 320:320:320
SETUP posedge:WADDR[9] posedge:WCLK 320:320:320
SETUP posedge:WADDR[10] posedge:WCLK 320:320:320
SETUP posedge:WCLKE posedge:WCLK 380:380:380
SETUP posedge:WDATA[0] posedge:WCLK 230:230:230
SETUP posedge:WDATA[1] posedge:WCLK 230:230:230
SETUP posedge:WDATA[2] posedge:WCLK 230:230:230
SETUP posedge:WDATA[3] posedge:WCLK 230:230:230
SETUP posedge:WDATA[4] posedge:WCLK 230:230:230
SETUP posedge:WDATA[5] posedge:WCLK 230:230:230
SETUP posedge:WDATA[6] posedge:WCLK 230:230:230
SETUP posedge:WDATA[7] posedge:WCLK 230:230:230
SETUP posedge:WDATA[8] posedge:WCLK 230:230:230
SETUP posedge:WDATA[9] posedge:WCLK 230:230:230
SETUP posedge:WDATA[10] posedge:WCLK 230:230:230
SETUP posedge:WDATA[11] posedge:WCLK 230:230:230
SETUP posedge:WDATA[12] posedge:WCLK 230:230:230
SETUP posedge:WDATA[13] posedge:WCLK 230:230:230
SETUP posedge:WDATA[14] posedge:WCLK 230:230:230
SETUP posedge:WDATA[15] posedge:WCLK 230:230:230
SETUP posedge:WE posedge:WCLK 190:190:190
IOPATH posedge:RCLK RDATA[0] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[1] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[2] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[3] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[4] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[5] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[6] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[7] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[8] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[9] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[10] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[11] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[12] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[13] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[14] 890:890:890 890:890:890
IOPATH posedge:RCLK RDATA[15] 890:890:890 890:890:890
CELL Sp12to4
IOPATH I O 221.254:386.843:596.004 314.672:550.176:847.65
IOPATH I O 450:450:450 640:640:640
CELL Span4Mux_h0
IOPATH I O 93.4182:163.334:251.646 88.5015:154.737:238.402
IOPATH I O 190:190:190 180:180:180
CELL Span4Mux_h1
IOPATH I O 113.085:197.72:304.624 108.168:189.123:291.38
IOPATH I O 230:230:230 220:220:220
CELL Span4Mux_h2
IOPATH I O 127.835:223.509:344.358 127.835:223.509:344.358
IOPATH I O 260:260:260 260:260:260
CELL Span4Mux_h3
IOPATH I O 147.502:257.895:397.336 147.502:257.895:397.336
IOPATH I O 300:300:300 300:300:300
CELL Span4Mux_h4
IOPATH I O 191.753:335.264:516.537 201.587:352.457:543.026
IOPATH I O 390:390:390 410:410:410
CELL Span4Mux_v0
IOPATH I O 127.835:223.509:344.358 127.835:223.509:344.358
IOPATH I O 260:260:260 260:260:260
CELL Span4Mux_v1
IOPATH I O 127.835:223.509:344.358 127.835:223.509:344.358
IOPATH I O 260:260:260 260:260:260
CELL Span4Mux_v2
IOPATH I O 162.253:283.685:437.07 167.169:292.281:450.314
IOPATH I O 330:330:330 340:340:340
CELL Span4Mux_v3
IOPATH I O 201.587:352.457:543.026 216.337:378.246:582.76
IOPATH I O 410:410:410 440:440:440
CELL Span4Mux_v4
IOPATH I O 221.254:386.843:596.004 240.921:421.229:648.982
IOPATH I O 450:450:450 490:490:490
CELL Span12Mux_h0
IOPATH I O 113.085:197.72:304.624 122.919:214.913:331.113
IOPATH I O 230:230:230 250:250:250
CELL Span12Mux_h1
IOPATH I O 108.168:189.123:291.38 113.085:197.72:304.624
IOPATH I O 220:220:220 230:230:230
CELL Span12Mux_h2
IOPATH I O 127.835:223.509:344.358 142.586:249.299:384.092
IOPATH I O 260:260:260 290:290:290
CELL Span12Mux_h3
IOPATH I O 137.669:240.702:370.847 152.419:266.492:410.581
IOPATH I O 280:280:280 310:310:310
CELL Span12Mux_h4
IOPATH I O 157.336:275.088:423.825 181.92:318.071:490.048
IOPATH I O 320:320:320 370:370:370
CELL Span12Mux_h5
IOPATH I O 186.836:326.667:503.292 216.337:378.246:582.76
IOPATH I O 380:380:380 440:440:440
CELL Span12Mux_h6
IOPATH I O 206.503:361.053:556.271 240.921:421.229:648.982
IOPATH I O 420:420:420 490:490:490
CELL Span12Mux_h7
IOPATH I O 231.087:404.036:622.493 275.338:481.404:741.694
IOPATH I O 470:470:470 560:560:560
CELL Span12Mux_h8
IOPATH I O 280.255:490.001:754.939 324.505:567.369:874.139
IOPATH I O 570:570:570 660:660:660
CELL Span12Mux_h9
IOPATH I O 319.589:558.773:860.895 363.839:636.141:980.096
IOPATH I O 650:650:650 740:740:740
CELL Span12Mux_h10
IOPATH I O 349.089:610.352:940.362 398.257:696.317:1072.81
IOPATH I O 710:710:710 810:810:810
CELL Span12Mux_h11
IOPATH I O 383.506:670.527:1033.07 442.507:773.685:1192.01
IOPATH I O 780:780:780 900:900:900
CELL Span12Mux_h12
IOPATH I O 398.257:696.317:1072.81 457.258:799.475:1231.74
IOPATH I O 810:810:810 930:930:930
CELL Span12Mux_v0
IOPATH I O 73.7512:128.948:198.668 73.7512:128.948:198.668
IOPATH I O 150:150:150 150:150:150
CELL Span12Mux_v1
IOPATH I O 73.7512:128.948:198.668 78.668:137.544:211.913
IOPATH I O 150:150:150 160:160:160
CELL Span12Mux_v2
IOPATH I O 103.252:180.527:278.135 113.085:197.72:304.624
IOPATH I O 210:210:210 230:230:230
CELL Span12Mux_v3
IOPATH I O 113.085:197.72:304.624 122.919:214.913:331.113
IOPATH I O 230:230:230 250:250:250
CELL Span12Mux_v4
IOPATH I O 137.669:240.702:370.847 152.419:266.492:410.581
IOPATH I O 280:280:280 310:310:310
CELL Span12Mux_v5
IOPATH I O 172.086:300.878:463.559 196.67:343.86:529.781
IOPATH I O 350:350:350 400:400:400
CELL Span12Mux_v6
IOPATH I O 191.753:335.264:516.537 216.337:378.246:582.76
IOPATH I O 390:390:390 440:440:440
CELL Span12Mux_v7
IOPATH I O 206.503:361.053:556.271 236.004:412.632:635.738
IOPATH I O 420:420:420 480:480:480
CELL Span12Mux_v8
IOPATH I O 265.504:464.211:715.205 295.005:515.79:794.672
IOPATH I O 540:540:540 600:600:600
CELL Span12Mux_v9
IOPATH I O 280.255:490.001:754.939 309.755:541.58:834.406
IOPATH I O 570:570:570 630:630:630
CELL Span12Mux_v10
IOPATH I O 290.088:507.194:781.428 324.505:567.369:874.139
IOPATH I O 590:590:590 660:660:660
CELL Span12Mux_v11
IOPATH I O 299.922:524.387:807.917 339.256:593.159:913.873
IOPATH I O 610:610:610 690:690:690
CELL Span12Mux_v12
IOPATH I O 363.839:636.141:980.096 398.257:696.317:1072.81
IOPATH I O 398.257:696.317:1072.81 457.258:799.475:1231.74
IOPATH I O 740:740:740 810:810:810
IOPATH I O 810:810:810 930:930:930
CELL SRMux
IOPATH I O 236.004:412.632:635.738 196.67:343.86:529.781
IOPATH I O 480:480:480 400:400:400
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment