This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
+ /home/dusty/src/prjxray/utils/vivado.sh -mode batch -source runme.tcl | |
****** Vivado v2017.2 (64-bit) | |
**** SW Build 1909853 on Thu Jun 15 18:39:10 MDT 2017 | |
**** IP Build 1909766 on Thu Jun 15 19:58:00 MDT 2017 | |
** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. | |
source runme.tcl | |
# create_project -force -part $::env(XRAY_PART) design design | |
# read_verilog top_bit.v | |
# synth_design -top top | |
Command: synth_design -top top | |
Starting synth_design | |
Using part: xc7a35tcpg236-1 | |
Attempting to get a license for feature 'Synthesis' and/or device 'xc7a35t-cpg236' | |
INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a35t-cpg236' | |
INFO: Launching helper process for spawning children vivado processes | |
INFO: Helper process launched with PID 12691 | |
--------------------------------------------------------------------------------- | |
Starting Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1121.391 ; gain = 53.953 ; free physical = 27245 ; free virtual = 45185 | |
--------------------------------------------------------------------------------- | |
INFO: [Synth 8-638] synthesizing module 'top' [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:1] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000100000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2' (1#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized0' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011111100111100001111110011111010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized0' (1#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized1' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110000000000110011000000000011111111111111110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized1' (1#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized2' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000010011000100110001001100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized2' (1#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'FDRE' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:3964] | |
Parameter INIT bound to: 1'b0 | |
Parameter IS_C_INVERTED bound to: 1'b0 | |
Parameter IS_D_INVERTED bound to: 1'b0 | |
Parameter IS_R_INVERTED bound to: 1'b0 | |
INFO: [Synth 8-256] done synthesizing module 'FDRE' (2#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:3964] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized3' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000000000000000000010101010101010100000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized3' (2#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized4' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1001011001101001011010011001011000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized4' (2#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized5' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000111100001111000011110000111110101010101010100000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized5' (2#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized6' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100000000000000000000000011110000111100001111000011110000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized6' (2#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized7' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000111100001111000011110001111100000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized7' (2#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized8' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000001000100010000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized8' (2#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized9' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000001 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized9' (2#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized10' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110010101010110011001110101000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized10' (2#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized11' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000111100001111000011110000111100000000000011100000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized11' (2#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized12' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010101010101010101010101010101010101010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized12' (2#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized13' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000010110000100000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized13' (2#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized14' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000001010110000001100101000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized14' (2#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'MUXF7' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:21724] | |
INFO: [Synth 8-256] done synthesizing module 'MUXF7' (3#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:21724] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized15' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0011001100000000001100110000000000110011001100110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized15' (3#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized16' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111101100000000111110110000000011111111000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized16' (3#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized17' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111101100011111111110110001110111111000011101011000100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized17' (3#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized18' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111000000000000000011111111111111110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized18' (3#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized19' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized19' (3#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized20' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1011111111111111111111111111111111111111111111111111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized20' (3#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized21' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000111100001111000011110000010100001111000001000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized21' (3#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized22' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000111100001111000000000000000010101010101010101010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized22' (3#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized23' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000110111010000110100000000000000001101110100001101 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized23' (3#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized24' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011111111001100110101010100010001 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized24' (3#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized25' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111111111111111111011111111111111111111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized25' (3#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized26' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111111111111111111111111111111111111111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized26' (3#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'MUXF8' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:21761] | |
INFO: [Synth 8-256] done synthesizing module 'MUXF8' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:21761] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized27' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1101111111011101110111011101111111011101110111011101110111011101 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized27' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized28' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111010111110101111101011111010111110101111101011111010111110101 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized28' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized29' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111101010111010111100001111000011110101111101011111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized29' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized30' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0010111100001111001011110010111100001111000011110000111100001111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized30' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized31' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0011001111001100001100111100110000000000000000001111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized31' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized32' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0001010100000000010101010000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized32' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized33' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101111101001111010111110110111100001111000011110000111100001111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized33' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized34' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0100000000000000111111111111111100000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized34' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized35' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1000101010001010110011110000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized35' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized36' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1101000011011101100000001000100000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized36' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized37' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1000110010101111100000001010000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized37' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized38' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111110011111100000000001010100011111100111111001111110011111100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized38' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized39' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1110111000001110010001000000010000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized39' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized40' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000111100000000000000000000000010101010101010101010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized40' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized41' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111010111000100000000001100010000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized41' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized42' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111110111011111111111011101111001111110011001100111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized42' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized43' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101011110000101010101111000011001100111111111100110000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized43' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized44' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0001010100111111010101011111111100111111001111111111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized44' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized45' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000100010000000000000000000000000001010000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized45' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized46' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000011000000000000000000000000000000100000000000000010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized46' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized47' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000100000000000000010000000000000001000000010000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized47' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized48' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1110111100000000000000000000000011111111000000001111111100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized48' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized49' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000111100001111000011110000111100001111000011110000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized49' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized50' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000111000000000111011100000111000001110111011101110111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized50' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized51' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110011001000000000000000000011001100110011001100110011001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized51' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized52' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000001000010110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized52' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized53' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000100000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized53' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized54' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000101000100010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized54' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized55' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1110000011110000110000001100000011110000111100001111000011110000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized55' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized56' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111101110111111111110111011101010111010101111101111111011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized56' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized57' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000101010000000100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized57' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized58' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101011101110111010101110101011101011111010111110101111101011111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized58' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized59' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1110111111101110000000110000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized59' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized60' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000001000000000000000111111111111111110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized60' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized61' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011110000110100001111000011110000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized61' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized62' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100000011000100110000001000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized62' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized63' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010101010101010101011001100110011001100110011001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized63' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized64' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110011001100110011001100110011111111111111110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized64' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized65' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011110000111100001111000011110000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized65' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized66' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010101010101010101000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized66' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized67' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110011001100110011001100110000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized67' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized68' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111000000000000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized68' (4#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'CARRY4' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:961] | |
INFO: [Synth 8-256] done synthesizing module 'CARRY4' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:961] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized69' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100000000111111110000000000000000111111110000000011111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized69' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized70' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100000000111111110000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized70' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized71' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010101010101010101001010101010101010101010101010101 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized71' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized72' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111000000000000000010101010000000001010101000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized72' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized73' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111000000000000000001010101010101010101010101010101 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized73' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized74' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010101010101010101011110000111100001111000011110000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized74' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized75' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000011111111000000001111111111110000000000001111000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized75' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized76' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000111100001111000011110000111111110000111100000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized76' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized77' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101010101010101010101010101010110101010000000001010101000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized77' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized78' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101000000000101010100000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized78' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized79' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000111100001111000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized79' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized80' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized80' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized81' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000001010101010101010000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized81' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized82' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000010100000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized82' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized83' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100000000111111110000000010101010101010101010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized83' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized84' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011110000000000001111000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized84' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized85' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011000000110000001100000011000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized85' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized86' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100000000111111110000000011111111111111110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized86' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized87' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101010101010101010101010101010100110011001100110011001100110011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized87' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized88' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000011110011110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized88' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized89' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011001100100011001100110010001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized89' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized90' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000001010101000000000101010100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized90' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized91' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000011011000110110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized91' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized92' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110011001100110011001100110011110000111100001111000011110000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized92' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized93' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010101010101010101000000000000000001111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized93' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized94' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000111111111111111100110011001100110011001100110011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized94' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized95' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110011001100110011001100110001010101010101010101010101010101 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized95' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized96' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011001100110011001100110000001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized96' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized97' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000010001 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized97' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized98' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000001010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized98' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized99' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110011001100110011001100110000000000000000001111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized99' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized100' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010101010101010101000000000111111110000000011111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized100' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized101' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011001100110000001100110011001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized101' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized102' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000001010000000000000101 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized102' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized103' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101010100000000111111110000000010001000100010001000100010001000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized103' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized104' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0011001100000000001100110000000010101010101010101010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized104' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized105' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101000000000101010100000000011001100110011001100110011001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized105' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized106' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1101110111011101110111011101110100000000001000100000000010001000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized106' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized107' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011111111111111111000000010000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized107' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized108' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000010100000000000000000000011111111111111110000111100001111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized108' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized109' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011111111111111110100010001000100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized109' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized110' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000010101010000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized110' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'FDRE__parameterized0' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:3964] | |
Parameter INIT bound to: 1'b1 | |
Parameter IS_C_INVERTED bound to: 1'b0 | |
Parameter IS_D_INVERTED bound to: 1'b0 | |
Parameter IS_R_INVERTED bound to: 1'b0 | |
INFO: [Synth 8-256] done synthesizing module 'FDRE__parameterized0' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:3964] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized111' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000111111111111111100000000111111110000000011111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized111' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized112' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000001010000010100001111000001010000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized112' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized113' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010000000000000000000000000111100000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized113' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized114' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100000000110011001100110010001000100010001000100010001000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized114' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized115' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000010101010101010100101010101010101 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized115' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized116' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011011100110111001101000011010000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized116' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized117' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111110101010000000001010101000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized117' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized118' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000111100001111000011111111000000001111111100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized118' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized119' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110000000000110011000000000000000000000000001010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized119' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized120' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000100000001000000010000000100010101010101010100000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized120' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized121' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000000000000111100000000000011110000111100000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized121' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized122' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000000000000000000011001100110011000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized122' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized123' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101000000000000000000000000000000000111111110000000011111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized123' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized124' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100000000000000000000000011110000111100000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized124' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized125' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011111111000000001111111100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized125' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized126' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000010101010101010101010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized126' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized127' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011001100110011001100110011001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized127' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized128' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000011111111110000001111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized128' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized129' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000111111110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized129' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized130' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000010001000100010001000100010001000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized130' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized131' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101010101010101010101010101010111110000111100000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized131' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized132' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100000000111111110000000010101010101010100000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized132' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized133' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010101010101010101011001100110011000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized133' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized134' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100000000111111110000000011000000110000001100000011000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized134' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized135' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010101010101010101011110000111100000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized135' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized136' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010101010101010101011111111111111110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized136' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized137' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110011001100110011001100110011110000000000001111000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized137' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized138' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011100000111000000010000000100000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized138' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized139' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000010100000000000001010000010101010101010100000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized139' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized140' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000010101010101010100000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized140' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized141' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010000010100000101000001010000010001000100010001000100010001000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized141' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized142' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000111100001111000010101010101010101010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized142' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized143' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010101010101010101011111111000000001111111100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized143' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized144' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110011001100110011001100110010101010101010101010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized144' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized145' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101000000000101010100000000011001100000000001100110000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized145' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized146' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010000000000000000011001100110011000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized146' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized147' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100000011000000110000001100000011111111111111110000111100001111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized147' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized148' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000001010101000000000000111100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized148' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized149' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000010001000100010000000101000001010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized149' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized150' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100000000111111110000000011110000111100001111000011110000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized150' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized151' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111000000000000000011001100110011001100110011001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized151' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized152' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011111010111110100000101000001010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized152' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized153' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011111100111111000011000000110000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized153' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized154' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011101110111011100100010001000100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized154' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized155' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0011001100110011001100110011001111110000111100001010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized155' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized156' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011011000110110001101100011011000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized156' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized157' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011011101100010001101110110001000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized157' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized158' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000010101010000000001010101000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized158' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized159' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011110000111100001100110011001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized159' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized160' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0011001100001111001100110000111100000000010101011111111101010101 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized160' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized161' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011110000111100001010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized161' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized162' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0001000100010001101110111011101111110101111101011010000010100000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized162' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized163' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000010101000101010001010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized163' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized164' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000111100001111000000110011001100110011001100110011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized164' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized165' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000111100001111000011001100000000001100110000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized165' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized166' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101000000000101010100000000011110000000000001111000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized166' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized167' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110011001100110011001100000000001100000011000000110000001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized167' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized168' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000000000000111100000000000010001111100011111000111110001111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized168' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized169' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110000000000110011000000000010101010101010101010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized169' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized170' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110000000000110011000000000010101010000000001010101000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized170' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized171' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010000000000000000010101010000000001010101000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized171' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized172' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100110011001100000000000000000010001000100010001000100010001000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized172' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized173' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000111100001111000011110000111111110000111100001111000011110000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized173' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized174' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100000000111111110000000011001100110011001100110011001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized174' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized175' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000110000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized175' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized176' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000111100001111000011111111111111110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized176' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized177' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000110011001100110011001100110011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized177' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized178' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000000000000111100000000000001010101010101010000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized178' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized179' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0001000001000000000100000100000000000000001000100000000000100010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized179' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized180' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011111111000000000101010100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized180' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized181' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000110011001100110001011111010111110101111101011111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized181' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized182' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000011111111000000001111111100000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized182' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized183' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000111111111111111111111111111111110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized183' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized184' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000010000000000000001000000010000000100000001000000010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized184' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized185' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000010100000101 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized185' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized186' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000010101010101000001010101010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized186' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized187' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011001100110011001100010011000100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized187' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized188' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011111111111101010000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized188' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized189' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010000010100000101000001010000000010001000000000001000100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized189' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized190' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000010100000101000001010000010100110011001100110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized190' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized191' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000001001100010011000100110001001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized191' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized192' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000010101100000000001010110000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized192' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized193' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011110000111100000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized193' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized194' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000111011101110000011101110111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized194' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized195' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000010100010100000001010001010000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized195' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized196' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1000110010101111000000000000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized196' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized197' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111011111111111111101111111111111110111111101111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized197' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized198' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111111111111111010111111111111111111111111111110011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized198' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized199' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111111110101111111111111111111111111111101111111011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized199' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized200' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111111111111101110111111111111111111111111111001111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized200' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized201' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111110011111100001100000011000000000000101010100000000010101010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized201' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized202' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011001100010011001100110001000100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized202' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized203' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010000000000000000011110000111100001111000011110000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized203' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized204' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000010000000000000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized204' (5#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'RAM32X1D' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:37200] | |
Parameter INIT bound to: 32'b10010110011010010110100110010110 | |
Parameter IS_WCLK_INVERTED bound to: 1'b0 | |
INFO: [Synth 8-256] done synthesizing module 'RAM32X1D' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:37200] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized205' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111101000000000101100110000000011111111000000001111111100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized205' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized206' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000010111110100110000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized206' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized207' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000111100000011000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized207' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized208' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011011101110011011101110111011101 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized208' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized209' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000101000000000000010100000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized209' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized210' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000110000001100000000000000000000001010000010100000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized210' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized211' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000011111111000000000000000000001000000010000000100000001000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized211' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized212' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1000000000000000000000000000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized212' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized213' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000001100110011001100001010000000000000101000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized213' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized214' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0010000000100000001000000010000000110000001100000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized214' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized215' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000111111111111111100000000100010000000000010001000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized215' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized216' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100110011110011000000000010100000101000001010000010100000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized216' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized217' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111110000110000111111000011000010101010101010100000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized217' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized218' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000000000000000000011011101100010001101110110001000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized218' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized219' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111110000110000111111000011000011101110111011100010001000100010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized219' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized220' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010110010101100101011001010110011111111111111110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized220' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized221' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1010101010101010111111110000000011001100110011001100110011001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized221' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized222' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100110011110011000000000011110000111100001111000011110000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized222' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized223' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000001000100000000010100000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized223' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized224' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111000000000000000000000000011111111000000001111111100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized224' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized225' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000010000100110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized225' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized226' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000111000100000000000000000000000000000000011100010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized226' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized227' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000010000000000011001000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized227' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized228' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000101010011111100000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized228' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized229' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1110101000000000110000000000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized229' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized230' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000011001100000000001100110011000100110001001100110011001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized230' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized231' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1101001111111111100000011111111100000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized231' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized232' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0010000000000000011000000110000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized232' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized233' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111101101110011111111111111111111111111111111111111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized233' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized234' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000001000100000000000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized234' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized235' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111101111111111111111111111111111111111111111111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized235' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized236' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1101110101110101110111111111110111110101111101111111010111110111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized236' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized237' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1000101000000000101010100000000010001111100011111111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized237' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized238' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1011001111111111101100111111111110110011111111111011001111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized238' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized239' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000010000001100010001001100110001010101111111110101010111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized239' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized240' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1011101100000000101110000000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized240' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized241' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101010111010101010101011101110101010101010101010101010101010101 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized241' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized242' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111011111000000000000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized242' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized243' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000001100101010101010111011111111111111111111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized243' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized244' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111010001000100111100000000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized244' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized245' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111001100111101011100010000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized245' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized246' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000100110001001100010011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized246' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized247' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011110000111100001111000001100110100110011001100101100110 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized247' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized248' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101010100000000010101010000000000000000111111111100001111000011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized248' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized249' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101110000000000111111110000000011111111000000001111111100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized249' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized250' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000001000001010000001100001111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized250' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized251' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1000110010101111100011000000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized251' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized252' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111010111000100001100010000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized252' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized253' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111000011010000000000000000000011110000111100001111000011110000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized253' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized254' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000001110000011100000111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized254' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized255' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000010000010100000101000001010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized255' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized256' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000001000000110001000100110011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized256' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized257' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1100000011101010111111111111111110101010101010101111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized257' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized258' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011110000101000000010000010100000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized258' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized259' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000001011111111110000111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized259' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized260' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000001111001111010001110100011111111111111111111111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized260' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized261' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111001011010000111100001111000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized261' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized262' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0001000100010001101110111011101100000101101011110000010110101111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized262' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized263' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000001111111100001111111101010011010100110101001101010011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized263' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized264' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111010111111111111101010111011101010101011101111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized264' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized265' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111100000000111111110000000011101100000000001110111000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized265' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized266' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111111111111111111111110000111111111111000011111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized266' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized267' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101010101010101111111111111111111111111111111110011111100111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized267' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized268' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000010001000000000000000000000000000100000000000000010000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized268' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized269' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000011000000000000010100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized269' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized270' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111111111111010111111111111111111111111111110111011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized270' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized271' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111010111111111111111111111111111110111111111111111011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized271' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized272' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000000000000000000000000000000000100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized272' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized273' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000111100000000000011111111111101010101001100110101010100110011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized273' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized274' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101000001010000010111110101111100000011111100110000001111110011 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized274' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized275' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0011101000111010001110100011101011111111111111111111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized275' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized276' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111111111111111111100001111000011111111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized276' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized277' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111101111111111111110111111111111111011101111111111111111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized277' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized278' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000001010000011000000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized278' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized279' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101000000000000010101010111011101110000011100000111011101110111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized279' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized280' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0010001000110011000000000011001100001010000010100000101000001010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized280' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized281' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000111100000000000011110000000000000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized281' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized282' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000011111111000000001111111100000000000000001100110011001100 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized282' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized283' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101010101010101000000000000000000001111000011110000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized283' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized284' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0000000000000000000000000000000011110011000000001111001110100010 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized284' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized285' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0101010101010101010101010101010100001111000011111100111100001111 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized285' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized286' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0011111100000000001111110001010100000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized286' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized287' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b0011001100110011000000000000000001010101000000000101010100000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized287' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized288' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1111111111111111101010101010101011111111111111111000100010111000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized288' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'LUT6_2__parameterized289' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
Parameter INIT bound to: 64'b1101111101111111111101011111011100000000000000000000000000000000 | |
INFO: [Synth 8-256] done synthesizing module 'LUT6_2__parameterized289' (6#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:20689] | |
INFO: [Synth 8-638] synthesizing module 'BUFGCTRL' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:661] | |
Parameter INIT_OUT bound to: 0 - type: integer | |
Parameter IS_CE0_INVERTED bound to: 1'b0 | |
Parameter IS_CE1_INVERTED bound to: 1'b1 | |
Parameter IS_I0_INVERTED bound to: 1'b0 | |
Parameter IS_I1_INVERTED bound to: 1'b0 | |
Parameter IS_IGNORE0_INVERTED bound to: 1'b1 | |
Parameter IS_IGNORE1_INVERTED bound to: 1'b0 | |
Parameter IS_S0_INVERTED bound to: 1'b0 | |
Parameter IS_S1_INVERTED bound to: 1'b1 | |
Parameter PRESELECT_I0 bound to: TRUE - type: string | |
Parameter PRESELECT_I1 bound to: FALSE - type: string | |
INFO: [Synth 8-256] done synthesizing module 'BUFGCTRL' (7#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:661] | |
INFO: [Synth 8-638] synthesizing module 'BUFHCE' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:816] | |
Parameter CE_TYPE bound to: SYNC - type: string | |
Parameter INIT_OUT bound to: 0 - type: integer | |
Parameter IS_CE_INVERTED bound to: 1'b0 | |
INFO: [Synth 8-256] done synthesizing module 'BUFHCE' (8#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:816] | |
INFO: [Synth 8-638] synthesizing module 'OBUF' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:22272] | |
Parameter CAPACITANCE bound to: DONT_CARE - type: string | |
Parameter DRIVE bound to: 12 - type: integer | |
Parameter IOSTANDARD bound to: LVCMOS33 - type: string | |
Parameter SLEW bound to: SLOW - type: string | |
INFO: [Synth 8-256] done synthesizing module 'OBUF' (9#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:22272] | |
INFO: [Synth 8-638] synthesizing module 'IBUF' [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:14470] | |
Parameter CAPACITANCE bound to: DONT_CARE - type: string | |
Parameter IBUF_DELAY_VALUE bound to: 0 - type: string | |
Parameter IBUF_LOW_PWR bound to: TRUE - type: string | |
Parameter IFD_DELAY_VALUE bound to: AUTO - type: string | |
Parameter IOSTANDARD bound to: LVCMOS33 - type: string | |
INFO: [Synth 8-256] done synthesizing module 'IBUF' (10#1) [/nix/store/qypic4vgdpw5m6ds26yi1iz6rkfb69fg-vivado-2017.2/opt/Vivado/2017.2/scripts/rt/data/unisim_comp.v:14470] | |
INFO: [Synth 8-256] done synthesizing module 'top' (11#1) [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:1] | |
--------------------------------------------------------------------------------- | |
Finished Synthesize : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1174.891 ; gain = 107.453 ; free physical = 27248 ; free virtual = 45187 | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Finished Constraint Validation : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1174.891 ; gain = 107.453 ; free physical = 27256 ; free virtual = 45196 | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Start Loading Part and Timing Information | |
--------------------------------------------------------------------------------- | |
Loading part: xc7a35tcpg236-1 | |
--------------------------------------------------------------------------------- | |
Finished Loading Part and Timing Information : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1182.895 ; gain = 115.457 ; free physical = 27256 ; free virtual = 45196 | |
--------------------------------------------------------------------------------- | |
INFO: [Device 21-403] Loading part xc7a35tcpg236-1 | |
--------------------------------------------------------------------------------- | |
Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 1182.895 ; gain = 115.457 ; free physical = 27199 ; free virtual = 45139 | |
--------------------------------------------------------------------------------- | |
Report RTL Partitions: | |
+-+--------------+------------+----------+ | |
| |RTL Partition |Replication |Instances | | |
+-+--------------+------------+----------+ | |
+-+--------------+------------+----------+ | |
No constraint files found. | |
--------------------------------------------------------------------------------- | |
Start RTL Component Statistics | |
--------------------------------------------------------------------------------- | |
Detailed RTL Component Info : | |
--------------------------------------------------------------------------------- | |
Finished RTL Component Statistics | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Start RTL Hierarchical Component Statistics | |
--------------------------------------------------------------------------------- | |
Hierarchical RTL Component report | |
--------------------------------------------------------------------------------- | |
Finished RTL Hierarchical Component Statistics | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Start Part Resource Summary | |
--------------------------------------------------------------------------------- | |
Part Resources: | |
DSPs: 90 (col length:60) | |
BRAMs: 100 (col length: RAMB18 60 RAMB36 30) | |
--------------------------------------------------------------------------------- | |
Finished Part Resource Summary | |
--------------------------------------------------------------------------------- | |
No constraint files found. | |
--------------------------------------------------------------------------------- | |
Start Cross Boundary and Area Optimization | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1247.965 ; gain = 180.527 ; free physical = 27131 ; free virtual = 45071 | |
--------------------------------------------------------------------------------- | |
Report RTL Partitions: | |
+-+--------------+------------+----------+ | |
| |RTL Partition |Replication |Instances | | |
+-+--------------+------------+----------+ | |
+-+--------------+------------+----------+ | |
No constraint files found. | |
--------------------------------------------------------------------------------- | |
Start Timing Optimization | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Finished Timing Optimization : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1247.965 ; gain = 180.527 ; free physical = 27130 ; free virtual = 45070 | |
--------------------------------------------------------------------------------- | |
Report RTL Partitions: | |
+-+--------------+------------+----------+ | |
| |RTL Partition |Replication |Instances | | |
+-+--------------+------------+----------+ | |
+-+--------------+------------+----------+ | |
--------------------------------------------------------------------------------- | |
Start Technology Mapping | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Finished Technology Mapping : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1255.973 ; gain = 188.535 ; free physical = 27128 ; free virtual = 45068 | |
--------------------------------------------------------------------------------- | |
Report RTL Partitions: | |
+-+--------------+------------+----------+ | |
| |RTL Partition |Replication |Instances | | |
+-+--------------+------------+----------+ | |
+-+--------------+------------+----------+ | |
--------------------------------------------------------------------------------- | |
Start IO Insertion | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Start Flattening Before IO Insertion | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Finished Flattening Before IO Insertion | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Start Final Netlist Cleanup | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Finished Final Netlist Cleanup | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Finished IO Insertion : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1255.973 ; gain = 188.535 ; free physical = 27128 ; free virtual = 45068 | |
--------------------------------------------------------------------------------- | |
Report Check Netlist: | |
+------+------------------+-------+---------+-------+------------------+ | |
| |Item |Errors |Warnings |Status |Description | | |
+------+------------------+-------+---------+-------+------------------+ | |
|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | | |
+------+------------------+-------+---------+-------+------------------+ | |
--------------------------------------------------------------------------------- | |
Start Renaming Generated Instances | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Finished Renaming Generated Instances : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1255.973 ; gain = 188.535 ; free physical = 27128 ; free virtual = 45068 | |
--------------------------------------------------------------------------------- | |
Report RTL Partitions: | |
+-+--------------+------------+----------+ | |
| |RTL Partition |Replication |Instances | | |
+-+--------------+------------+----------+ | |
+-+--------------+------------+----------+ | |
--------------------------------------------------------------------------------- | |
Start Rebuilding User Hierarchy | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1255.973 ; gain = 188.535 ; free physical = 27128 ; free virtual = 45068 | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Start Renaming Generated Ports | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Finished Renaming Generated Ports : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1255.973 ; gain = 188.535 ; free physical = 27128 ; free virtual = 45068 | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Start Handling Custom Attributes | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Finished Handling Custom Attributes : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1255.973 ; gain = 188.535 ; free physical = 27128 ; free virtual = 45068 | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Start Renaming Generated Nets | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Finished Renaming Generated Nets : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1255.973 ; gain = 188.535 ; free physical = 27128 ; free virtual = 45068 | |
--------------------------------------------------------------------------------- | |
--------------------------------------------------------------------------------- | |
Start Writing Synthesis Report | |
--------------------------------------------------------------------------------- | |
Report BlackBoxes: | |
+-+--------------+----------+ | |
| |BlackBox name |Instances | | |
+-+--------------+----------+ | |
+-+--------------+----------+ | |
Report Cell Usage: | |
+------+---------+------+ | |
| |Cell |Count | | |
+------+---------+------+ | |
|1 |BUFGCTRL | 1| | |
|2 |BUFHCE | 1| | |
|3 |CARRY4 | 70| | |
|4 |LUT6_2 | 774| | |
|5 |MUXF7 | 26| | |
|6 |MUXF8 | 5| | |
|7 |RAM32X1D | 1| | |
|8 |FDRE | 212| | |
|9 |IBUF | 18| | |
|10 |OBUF | 17| | |
+------+---------+------+ | |
Report Instance Areas: | |
+------+---------+-------+------+ | |
| |Instance |Module |Cells | | |
+------+---------+-------+------+ | |
|1 |top | | 1125| | |
+------+---------+-------+------+ | |
--------------------------------------------------------------------------------- | |
Finished Writing Synthesis Report : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1255.973 ; gain = 188.535 ; free physical = 27128 ; free virtual = 45068 | |
--------------------------------------------------------------------------------- | |
Synthesis finished with 0 errors, 0 critical warnings and 0 warnings. | |
Synthesis Optimization Runtime : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1255.973 ; gain = 188.535 ; free physical = 27128 ; free virtual = 45068 | |
Synthesis Optimization Complete : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1255.980 ; gain = 188.535 ; free physical = 27129 ; free virtual = 45069 | |
INFO: [Project 1-571] Translating synthesized netlist | |
INFO: [Netlist 29-17] Analyzing 863 Unisim elements for replacement | |
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds | |
WARNING: [Netlist 29-101] Netlist 'top' is not ideal for floorplanning, since the cellview 'top' contains a large number of primitives. Please consider enabling hierarchy in synthesis if you want to do floorplanning. | |
INFO: [Project 1-570] Preparing netlist for logic optimization | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_L_X12Y125_SLICE_X16Y125_BLUT/LUT6' at site SLICE_X16Y125, for bel B5LUT Routing contention at pips element BOUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:9952] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_L_X16Y110_SLICE_X25Y110_BLUT/LUT6' at site SLICE_X25Y110, for bel B5LUT Routing contention at pips element BOUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:11802] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X15Y113_SLICE_X22Y113_BLUT/LUT6' at site SLICE_X22Y113, for bel B5LUT Routing contention at pips element BOUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:15704] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X15Y118_SLICE_X22Y118_CLUT/LUT6' at site SLICE_X22Y118, for bel C5LUT Routing contention at pips element COUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:16347] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X15Y118_SLICE_X22Y118_DLUT/LUT6' at site SLICE_X22Y118, for bel D5LUT Routing contention at pips element DOUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:16363] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X17Y107_SLICE_X26Y107_CLUT/LUT6' at site SLICE_X26Y107, for bel C5LUT Routing contention at pips element COUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:18666] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X17Y107_SLICE_X26Y107_DLUT/LUT6' at site SLICE_X26Y107, for bel D5LUT Routing contention at pips element DOUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:18682] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X17Y108_SLICE_X26Y108_BLUT/LUT6' at site SLICE_X26Y108, for bel B5LUT Routing contention at pips element BOUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:18979] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X17Y108_SLICE_X26Y108_CLUT/LUT6' at site SLICE_X26Y108, for bel C5LUT Routing contention at pips element COUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:18995] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X17Y109_SLICE_X26Y109_BLUT/LUT6' at site SLICE_X26Y109, for bel B5LUT Routing contention at pips element BOUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:19196] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X17Y109_SLICE_X26Y109_CLUT/LUT6' at site SLICE_X26Y109, for bel C5LUT Routing contention at pips element COUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:19212] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X17Y109_SLICE_X26Y109_DLUT/LUT6' at site SLICE_X26Y109, for bel D5LUT Routing contention at pips element DOUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:19228] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X17Y109_SLICE_X27Y109_ALUT/LUT6' at site SLICE_X27Y109, for bel A5LUT Routing contention at pips element AOUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:19333] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X19Y116_SLICE_X29Y116_ALUT/LUT6' at site SLICE_X29Y116, for bel A5LUT Routing contention at pips element AOUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:22980] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X19Y116_SLICE_X29Y116_CLUT/LUT6' at site SLICE_X29Y116, for bel C5LUT Routing contention at pips element COUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:23012] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X19Y116_SLICE_X29Y116_DLUT/LUT6' at site SLICE_X29Y116, for bel D5LUT Routing contention at pips element DOUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:23028] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X19Y124_SLICE_X28Y124_CLUT/LUT6' at site SLICE_X28Y124, for bel C5LUT Routing contention at pips element COUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:23188] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLL_R_X19Y124_SLICE_X28Y124_DLUT/LUT6' at site SLICE_X28Y124, for bel D5LUT Routing contention at pips element DOUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:23204] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLM_L_X10Y125_SLICE_X12Y125_RAM32X1D_CD/DP' at site SLICE_X12Y125, for bel C6LUT Element SLICE_X12Y125.D5LUT can not be used as a route-through for net CLBLL_R_X15Y116_SLICE_X23Y116_CLUT/O5 taged to D5LUT_O5 because a RAM or shift register is placed there [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:25122] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLM_L_X20Y107_SLICE_X31Y107_BLUT/LUT6' at site SLICE_X31Y107, for bel B5LUT Routing contention at pips element BOUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:25374] | |
CRITICAL WARNING: [Constraints 18-5] Cannot loc instance 'CLBLM_L_X20Y116_SLICE_X31Y116_CLUT/LUT6' at site SLICE_X31Y116, for bel C5LUT Routing contention at pips element COUTMUX [/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/top_bit.v:25658] | |
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_L_X14Y118_SLICE_X21Y118_MUXF8. Shape is trying to block loc SLICE_X21Y118.A6LUT, however cell CLBLL_L_X14Y118_SLICE_X21Y118_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_L_X14Y118_SLICE_X21Y118_MUXF8. Shape is trying to block loc SLICE_X21Y118.A6LUT, however cell CLBLL_L_X14Y118_SLICE_X21Y118_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_L_X14Y118_SLICE_X21Y118_MUXF8. Shape is trying to block loc SLICE_X21Y118.A6LUT, however cell CLBLL_L_X14Y118_SLICE_X21Y118_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_R_X17Y125_SLICE_X26Y125_MUXF8. Shape is trying to block loc SLICE_X26Y125.A6LUT, however cell CLBLL_R_X17Y125_SLICE_X26Y125_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_R_X17Y125_SLICE_X26Y125_MUXF8. Shape is trying to block loc SLICE_X26Y125.A6LUT, however cell CLBLL_R_X17Y125_SLICE_X26Y125_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_R_X17Y125_SLICE_X26Y125_MUXF8. Shape is trying to block loc SLICE_X26Y125.A6LUT, however cell CLBLL_R_X17Y125_SLICE_X26Y125_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLM_L_X20Y117_SLICE_X30Y117_MUXF8. Shape is trying to block loc SLICE_X30Y117.A6LUT, however cell CLBLM_L_X20Y117_SLICE_X30Y117_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLM_L_X20Y117_SLICE_X30Y117_MUXF8. Shape is trying to block loc SLICE_X30Y117.A6LUT, however cell CLBLM_L_X20Y117_SLICE_X30Y117_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLM_L_X20Y117_SLICE_X30Y117_MUXF8. Shape is trying to block loc SLICE_X30Y117.A6LUT, however cell CLBLM_L_X20Y117_SLICE_X30Y117_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLM_L_X20Y117_SLICE_X31Y117_MUXF8. Shape is trying to block loc SLICE_X31Y117.A6LUT, however cell CLBLM_L_X20Y117_SLICE_X31Y117_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLM_L_X20Y117_SLICE_X31Y117_MUXF8. Shape is trying to block loc SLICE_X31Y117.A6LUT, however cell CLBLM_L_X20Y117_SLICE_X31Y117_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLM_L_X20Y117_SLICE_X31Y117_MUXF8. Shape is trying to block loc SLICE_X31Y117.A6LUT, however cell CLBLM_L_X20Y117_SLICE_X31Y117_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLM_R_X11Y118_SLICE_X14Y118_MUXF8. Shape is trying to block loc SLICE_X14Y118.A6LUT, however cell CLBLM_R_X11Y118_SLICE_X14Y118_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLM_R_X11Y118_SLICE_X14Y118_MUXF8. Shape is trying to block loc SLICE_X14Y118.A6LUT, however cell CLBLM_R_X11Y118_SLICE_X14Y118_ALUT/LUT6 is already placed at this location. | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLM_R_X11Y118_SLICE_X14Y118_MUXF8. Shape is trying to block loc SLICE_X14Y118.A6LUT, however cell CLBLM_R_X11Y118_SLICE_X14Y118_ALUT/LUT6 is already placed at this location. | |
INFO: [Project 1-111] Unisim Transformation Summary: | |
A total of 775 instances were transformed. | |
LUT6_2 => LUT6_2 (LUT5, LUT6): 774 instances | |
RAM32X1D => RAM32X1D (RAMD32, RAMD32): 1 instances | |
627 Infos, 1 Warnings, 21 Critical Warnings and 0 Errors encountered. | |
synth_design completed successfully | |
synth_design: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1399.023 ; gain = 344.215 ; free physical = 27073 ; free virtual = 45013 | |
# write_checkpoint -force design_pre_force.dcp | |
Writing placer database... | |
Writing XDEF routing. | |
Writing XDEF routing logical nets. | |
Writing XDEF routing special nets. | |
Write XDEF Complete: Time (s): cpu = 00:00:00.21 ; elapsed = 00:00:00.08 . Memory (MB): peak = 1423.035 ; gain = 0.000 ; free physical = 27072 ; free virtual = 45012 | |
INFO: [Common 17-1381] The checkpoint '/home/dusty/src/symbiflow-arch-defs/build/xc7/tests/dram_test/ram_test/artix7-xc7a50t-basys3-roi-virt-xc7a50t-basys3-test/design_pre_force.dcp' has been generated. | |
# source top_bit.v.tcl | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X16Y125_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X16Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X16Y125]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X16Y125_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X16Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X16Y125]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X16Y125_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X16Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X16Y125]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X16Y125_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X16Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X16Y125]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X16Y125_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X16Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X16Y125]].B5FF" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X16Y125_C5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X16Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X16Y125]].C5FF" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X16Y125_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X16Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X16Y125]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X16Y125_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X16Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X16Y125]].AFF" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X16Y125_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X16Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X16Y125]].BFF" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X16Y125_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X16Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X16Y125]].CFF" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X17Y125_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X17Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X17Y125]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X17Y125_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X17Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X17Y125]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X17Y125_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X17Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X17Y125]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X17Y125_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X17Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X17Y125]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X17Y125_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X17Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X17Y125]].B5FF" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X17Y125_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X17Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X17Y125]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X17Y125_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X17Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X17Y125]].AFF" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X17Y125_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X17Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X17Y125]].CFF" $cell | |
## set cell [get_cells CLBLL_L_X12Y125_SLICE_X17Y125_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X17Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X17Y125]].DFF" $cell | |
## set cell [get_cells CLBLL_L_X14Y109_SLICE_X20Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y109_SLICE_X20Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y109_SLICE_X20Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y109_SLICE_X20Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y109_SLICE_X20Y109_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y109]].B5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y109_SLICE_X20Y109_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y109]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y109_SLICE_X21Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y109_SLICE_X21Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y109_SLICE_X21Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y109_SLICE_X21Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y109_SLICE_X21Y109_MUXF7A] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y109]].F7AMUX" $cell | |
## set cell [get_cells CLBLL_L_X14Y109_SLICE_X21Y109_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y109]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X20Y117_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y117]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X20Y117_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y117]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X20Y117_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y117]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X20Y117_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y117]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X20Y117_MUXF7B] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y117]].F7BMUX" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X20Y117_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y117]].B5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X20Y117_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y117]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X20Y117_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y117]].AFF" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X21Y117_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y117]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X21Y117_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y117]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X21Y117_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y117]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X21Y117_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y117]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X21Y117_MUXF7A] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y117]].F7AMUX" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X21Y117_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y117]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y117_SLICE_X21Y117_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y117]].DFF" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X20Y118_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y118]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X20Y118_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y118]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X20Y118_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y118]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X20Y118_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y118]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X20Y118_MUXF7A] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y118]].F7AMUX" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X20Y118_MUXF7B] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y118]].F7BMUX" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X20Y118_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y118]].B5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X20Y118_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y118]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X20Y118_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y118]].DFF" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X21Y118_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y118]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X21Y118_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y118]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X21Y118_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y118]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X21Y118_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y118]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X21Y118_MUXF7A] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y118] $cell | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_L_X14Y118_SLICE_X21Y118_MUXF8. Shape is trying to block loc SLICE_X21Y118.A6LUT, however cell CLBLL_L_X14Y118_SLICE_X21Y118_ALUT/LUT6 is already placed at this location. | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y118]].F7AMUX" $cell | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_L_X14Y118_SLICE_X21Y118_MUXF8. Shape is trying to block loc SLICE_X21Y118.A6LUT, however cell CLBLL_L_X14Y118_SLICE_X21Y118_ALUT/LUT6 is already placed at this location. | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X21Y118_MUXF7B] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y118] $cell | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_L_X14Y118_SLICE_X21Y118_MUXF8. Shape is trying to block loc SLICE_X21Y118.A6LUT, however cell CLBLL_L_X14Y118_SLICE_X21Y118_ALUT/LUT6 is already placed at this location. | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y118]].F7BMUX" $cell | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_L_X14Y118_SLICE_X21Y118_MUXF8. Shape is trying to block loc SLICE_X21Y118.A6LUT, however cell CLBLL_L_X14Y118_SLICE_X21Y118_ALUT/LUT6 is already placed at this location. | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X21Y118_MUXF8] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y118] $cell | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_L_X14Y118_SLICE_X21Y118_MUXF8. Shape is trying to block loc SLICE_X21Y118.A6LUT, however cell CLBLL_L_X14Y118_SLICE_X21Y118_ALUT/LUT6 is already placed at this location. | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X21Y118_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y118]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y118_SLICE_X21Y118_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y118]].DFF" $cell | |
## set cell [get_cells CLBLL_L_X14Y124_SLICE_X20Y124_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y124]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y124_SLICE_X20Y124_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y124]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y124_SLICE_X20Y124_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y124]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y124_SLICE_X20Y124_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y124]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y124_SLICE_X20Y124_MUXF7A] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y124]].F7AMUX" $cell | |
## set cell [get_cells CLBLL_L_X14Y124_SLICE_X20Y124_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y124]].B5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y124_SLICE_X20Y124_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y124]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y124_SLICE_X21Y124_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y124]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y124_SLICE_X21Y124_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y124]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y124_SLICE_X21Y124_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y124]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y124_SLICE_X21Y124_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y124]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y124_SLICE_X21Y124_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y124]].B5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y124_SLICE_X21Y124_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y124]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X20Y129_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y129]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X20Y129_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y129]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X20Y129_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y129]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X20Y129_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y129]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X20Y129_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X20Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X20Y129]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X21Y129_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y129]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X21Y129_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y129]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X21Y129_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y129]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X21Y129_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y129]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X21Y129_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y129]].B5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X21Y129_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y129]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X21Y129_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y129]].AFF" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X21Y129_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y129]].BFF" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X21Y129_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y129]].CFF" $cell | |
## set cell [get_cells CLBLL_L_X14Y129_SLICE_X21Y129_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X21Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X21Y129]].DFF" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X24Y108_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y108]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X24Y108_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y108]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X24Y108_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y108]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X24Y108_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y108]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X24Y108_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y108]].B5FF" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X24Y108_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y108]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X25Y108_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y108]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X25Y108_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y108]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X25Y108_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y108]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X25Y108_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y108]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X25Y108_MUXF7B] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y108]].F7BMUX" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X25Y108_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y108]].B5FF" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X25Y108_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y108]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X16Y108_SLICE_X25Y108_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y108]].AFF" $cell | |
## set cell [get_cells CLBLL_L_X16Y109_SLICE_X24Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y109_SLICE_X24Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y109_SLICE_X24Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y109_SLICE_X24Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y109_SLICE_X24Y109_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y109]].B5FF" $cell | |
## set cell [get_cells CLBLL_L_X16Y109_SLICE_X24Y109_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y109]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X16Y109_SLICE_X24Y109_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y109]].AFF" $cell | |
## set cell [get_cells CLBLL_L_X16Y109_SLICE_X25Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y109_SLICE_X25Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y109_SLICE_X25Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y109_SLICE_X25Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X24Y110_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y110]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X24Y110_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y110]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X24Y110_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y110]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X24Y110_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y110]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X24Y110_MUXF7A] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y110]].F7AMUX" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X24Y110_MUXF7B] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y110]].F7BMUX" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X24Y110_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y110]].B5FF" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X24Y110_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y110]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X25Y110_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y110]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X25Y110_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y110]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X25Y110_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y110]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X25Y110_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y110]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X25Y110_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y110]].B5FF" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X25Y110_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y110]].D5FF" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X25Y110_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y110]].AFF" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X25Y110_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y110]].BFF" $cell | |
## set cell [get_cells CLBLL_L_X16Y110_SLICE_X25Y110_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y110]].CFF" $cell | |
## set cell [get_cells CLBLL_L_X16Y119_SLICE_X24Y119_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y119]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y119_SLICE_X24Y119_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y119]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y119_SLICE_X24Y119_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y119]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y119_SLICE_X24Y119_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X24Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X24Y119]].D6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y119_SLICE_X25Y119_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y119]].A6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y119_SLICE_X25Y119_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y119]].B6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y119_SLICE_X25Y119_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y119]].C6LUT" $cell | |
## set cell [get_cells CLBLL_L_X16Y119_SLICE_X25Y119_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X25Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X25Y119]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y115_SLICE_X18Y115_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y115]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y115_SLICE_X18Y115_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y115]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y115_SLICE_X18Y115_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y115]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y115_SLICE_X18Y115_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y115]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y115_SLICE_X18Y115_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y115] $cell | |
## set cell [get_cells CLBLL_R_X13Y115_SLICE_X19Y115_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y115]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y115_SLICE_X19Y115_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y115]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y115_SLICE_X19Y115_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y115]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y115_SLICE_X19Y115_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y115]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y116_SLICE_X18Y116_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y116]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y116_SLICE_X18Y116_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y116]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y116_SLICE_X18Y116_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y116]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y116_SLICE_X18Y116_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y116]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y116_SLICE_X18Y116_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y116] $cell | |
## set cell [get_cells CLBLL_R_X13Y116_SLICE_X19Y116_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y116]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y116_SLICE_X19Y116_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y116]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y116_SLICE_X19Y116_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y116]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y116_SLICE_X19Y116_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y116]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X18Y117_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y117]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X18Y117_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y117]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X18Y117_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y117]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X18Y117_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y117]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X18Y117_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y117] $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X18Y117_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y117]].D5FF" $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X18Y117_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y117]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X19Y117_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y117]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X19Y117_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y117]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X19Y117_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y117]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X19Y117_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y117]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X19Y117_C5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y117]].C5FF" $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X19Y117_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y117]].D5FF" $cell | |
## set cell [get_cells CLBLL_R_X13Y117_SLICE_X19Y117_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y117]].DFF" $cell | |
## set cell [get_cells CLBLL_R_X13Y118_SLICE_X18Y118_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y118]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y118_SLICE_X18Y118_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y118]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y118_SLICE_X18Y118_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y118]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y118_SLICE_X18Y118_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y118]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y118_SLICE_X18Y118_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y118] $cell | |
## set cell [get_cells CLBLL_R_X13Y118_SLICE_X19Y118_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y118]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y118_SLICE_X19Y118_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y118]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y118_SLICE_X19Y118_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y118]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y118_SLICE_X19Y118_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y118]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y119_SLICE_X18Y119_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y119]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y119_SLICE_X18Y119_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y119]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y119_SLICE_X18Y119_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y119]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y119_SLICE_X18Y119_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y119]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y119_SLICE_X18Y119_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y119] $cell | |
## set cell [get_cells CLBLL_R_X13Y119_SLICE_X19Y119_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y119]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y119_SLICE_X19Y119_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y119]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y119_SLICE_X19Y119_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y119]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y119_SLICE_X19Y119_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y119]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y120_SLICE_X18Y120_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y120]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y120_SLICE_X18Y120_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y120]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y120_SLICE_X18Y120_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y120]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y120_SLICE_X18Y120_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y120]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y120_SLICE_X18Y120_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y120] $cell | |
## set cell [get_cells CLBLL_R_X13Y120_SLICE_X19Y120_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y120]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y120_SLICE_X19Y120_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y120]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y120_SLICE_X19Y120_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y120]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y120_SLICE_X19Y120_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y120]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y121_SLICE_X18Y121_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y121]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y121_SLICE_X18Y121_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y121]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y121_SLICE_X18Y121_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y121]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y121_SLICE_X18Y121_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y121]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y121_SLICE_X18Y121_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y121] $cell | |
## set cell [get_cells CLBLL_R_X13Y121_SLICE_X19Y121_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y121]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y121_SLICE_X19Y121_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y121]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y121_SLICE_X19Y121_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y121]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y121_SLICE_X19Y121_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y121]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y122_SLICE_X18Y122_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y122]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y122_SLICE_X18Y122_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y122]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y122_SLICE_X18Y122_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y122]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y122_SLICE_X18Y122_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y122]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y122_SLICE_X18Y122_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y122] $cell | |
## set cell [get_cells CLBLL_R_X13Y122_SLICE_X19Y122_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y122]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y122_SLICE_X19Y122_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y122]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y122_SLICE_X19Y122_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y122]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y122_SLICE_X19Y122_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y122]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y123_SLICE_X18Y123_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y123] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y123]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y123_SLICE_X18Y123_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y123] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y123]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y123_SLICE_X18Y123_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y123] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y123]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y123_SLICE_X18Y123_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y123] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y123]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y123_SLICE_X18Y123_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y123] $cell | |
## set cell [get_cells CLBLL_R_X13Y123_SLICE_X19Y123_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y123] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y123]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y123_SLICE_X19Y123_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y123] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y123]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y123_SLICE_X19Y123_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y123] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y123]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y123_SLICE_X19Y123_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y123] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y123]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y124_SLICE_X18Y124_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y124]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y124_SLICE_X18Y124_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y124]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y124_SLICE_X18Y124_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y124]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y124_SLICE_X18Y124_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y124]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y124_SLICE_X18Y124_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y124] $cell | |
## set cell [get_cells CLBLL_R_X13Y124_SLICE_X19Y124_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y124]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y124_SLICE_X19Y124_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y124]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y124_SLICE_X19Y124_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y124]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y124_SLICE_X19Y124_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y124]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y128_SLICE_X18Y128_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y128]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y128_SLICE_X18Y128_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y128]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y128_SLICE_X18Y128_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y128]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y128_SLICE_X18Y128_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y128]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y128_SLICE_X18Y128_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y128] $cell | |
## set cell [get_cells CLBLL_R_X13Y128_SLICE_X19Y128_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y128]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y128_SLICE_X19Y128_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y128]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y128_SLICE_X19Y128_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y128]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y128_SLICE_X19Y128_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y128]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y129_SLICE_X18Y129_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y129]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y129_SLICE_X18Y129_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y129]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y129_SLICE_X18Y129_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y129]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y129_SLICE_X18Y129_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y129]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y129_SLICE_X18Y129_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y129] $cell | |
## set cell [get_cells CLBLL_R_X13Y129_SLICE_X18Y129_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y129]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X13Y129_SLICE_X19Y129_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y129]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y129_SLICE_X19Y129_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y129]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y129_SLICE_X19Y129_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y129]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y129_SLICE_X19Y129_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y129]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y131_SLICE_X18Y131_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y131]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y131_SLICE_X18Y131_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y131]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y131_SLICE_X18Y131_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y131]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y131_SLICE_X18Y131_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y131]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y131_SLICE_X18Y131_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y131] $cell | |
## set cell [get_cells CLBLL_R_X13Y131_SLICE_X19Y131_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y131]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y131_SLICE_X19Y131_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y131]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y131_SLICE_X19Y131_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y131]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y131_SLICE_X19Y131_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y131]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y132_SLICE_X18Y132_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y132]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y132_SLICE_X18Y132_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y132]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y132_SLICE_X18Y132_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y132]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y132_SLICE_X18Y132_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X18Y132]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y132_SLICE_X18Y132_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X18Y132] $cell | |
## set cell [get_cells CLBLL_R_X13Y132_SLICE_X19Y132_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y132]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y132_SLICE_X19Y132_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y132]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y132_SLICE_X19Y132_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y132]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X13Y132_SLICE_X19Y132_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X19Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X19Y132]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y105_SLICE_X22Y105_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y105] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y105]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y105_SLICE_X22Y105_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y105] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y105]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y105_SLICE_X22Y105_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y105] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y105]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y105_SLICE_X22Y105_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y105] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y105]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y105_SLICE_X22Y105_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y105] $cell | |
## set cell [get_cells CLBLL_R_X15Y105_SLICE_X22Y105_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y105] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y105]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y105_SLICE_X23Y105_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y105] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y105]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y105_SLICE_X23Y105_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y105] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y105]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y105_SLICE_X23Y105_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y105] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y105]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y105_SLICE_X23Y105_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y105] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y105]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y106_SLICE_X22Y106_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y106] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y106]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y106_SLICE_X22Y106_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y106] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y106]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y106_SLICE_X22Y106_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y106] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y106]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y106_SLICE_X22Y106_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y106] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y106]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y106_SLICE_X22Y106_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y106] $cell | |
## set cell [get_cells CLBLL_R_X15Y106_SLICE_X22Y106_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y106] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y106]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y106_SLICE_X23Y106_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y106] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y106]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y106_SLICE_X23Y106_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y106] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y106]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y106_SLICE_X23Y106_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y106] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y106]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y106_SLICE_X23Y106_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y106] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y106]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y107_SLICE_X22Y107_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y107]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y107_SLICE_X22Y107_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y107]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y107_SLICE_X22Y107_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y107]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y107_SLICE_X22Y107_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y107]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y107_SLICE_X22Y107_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y107] $cell | |
## set cell [get_cells CLBLL_R_X15Y107_SLICE_X23Y107_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y107]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y107_SLICE_X23Y107_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y107]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y107_SLICE_X23Y107_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y107]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y107_SLICE_X23Y107_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y107]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y108_SLICE_X22Y108_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y108]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y108_SLICE_X22Y108_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y108]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y108_SLICE_X22Y108_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y108]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y108_SLICE_X22Y108_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y108]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y108_SLICE_X22Y108_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y108] $cell | |
## set cell [get_cells CLBLL_R_X15Y108_SLICE_X23Y108_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y108]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y108_SLICE_X23Y108_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y108]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y108_SLICE_X23Y108_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y108]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y108_SLICE_X23Y108_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y108]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y109_SLICE_X22Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y109_SLICE_X22Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y109_SLICE_X22Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y109_SLICE_X22Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y109_SLICE_X22Y109_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y109] $cell | |
## set cell [get_cells CLBLL_R_X15Y109_SLICE_X23Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y109_SLICE_X23Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y109_SLICE_X23Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y109_SLICE_X23Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y110_SLICE_X22Y110_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y110]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y110_SLICE_X22Y110_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y110]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y110_SLICE_X22Y110_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y110]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y110_SLICE_X22Y110_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y110]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y110_SLICE_X22Y110_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y110] $cell | |
## set cell [get_cells CLBLL_R_X15Y110_SLICE_X23Y110_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y110]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y110_SLICE_X23Y110_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y110]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y110_SLICE_X23Y110_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y110]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y110_SLICE_X23Y110_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y110]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y111_SLICE_X22Y111_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y111]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y111_SLICE_X22Y111_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y111]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y111_SLICE_X22Y111_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y111]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y111_SLICE_X22Y111_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y111]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y111_SLICE_X22Y111_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y111] $cell | |
## set cell [get_cells CLBLL_R_X15Y111_SLICE_X23Y111_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y111]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y111_SLICE_X23Y111_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y111]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y111_SLICE_X23Y111_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y111]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y111_SLICE_X23Y111_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y111]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y112_SLICE_X22Y112_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y112]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y112_SLICE_X22Y112_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y112]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y112_SLICE_X22Y112_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y112]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y112_SLICE_X22Y112_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y112]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y112_SLICE_X22Y112_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y112] $cell | |
## set cell [get_cells CLBLL_R_X15Y112_SLICE_X23Y112_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y112]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y112_SLICE_X23Y112_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y112]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y112_SLICE_X23Y112_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y112]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y112_SLICE_X23Y112_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y112]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X22Y113_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y113]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X22Y113_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y113]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X22Y113_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y113]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X22Y113_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y113]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X22Y113_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y113] $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X22Y113_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y113]].B5FF" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X22Y113_C5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y113]].C5FF" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X22Y113_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y113]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X22Y113_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y113]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X22Y113_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y113]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X23Y113_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y113]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X23Y113_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y113]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X23Y113_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y113]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X23Y113_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y113]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y113_SLICE_X23Y113_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y113]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y115_SLICE_X22Y115_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y115]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y115_SLICE_X22Y115_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y115]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y115_SLICE_X22Y115_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y115]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y115_SLICE_X22Y115_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y115]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y115_SLICE_X22Y115_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y115] $cell | |
## set cell [get_cells CLBLL_R_X15Y115_SLICE_X22Y115_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y115]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y115_SLICE_X23Y115_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y115]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y115_SLICE_X23Y115_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y115]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y115_SLICE_X23Y115_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y115]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y115_SLICE_X23Y115_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y115]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y116_SLICE_X22Y116_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y116]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y116_SLICE_X22Y116_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y116]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y116_SLICE_X22Y116_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y116]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y116_SLICE_X22Y116_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y116]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y116_SLICE_X22Y116_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y116] $cell | |
## set cell [get_cells CLBLL_R_X15Y116_SLICE_X22Y116_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y116]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y116_SLICE_X23Y116_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y116]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y116_SLICE_X23Y116_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y116]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y116_SLICE_X23Y116_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y116]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y116_SLICE_X23Y116_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y116]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y116_SLICE_X23Y116_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y116]].D5FF" $cell | |
## set cell [get_cells CLBLL_R_X15Y116_SLICE_X23Y116_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y116]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y116_SLICE_X23Y116_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y116]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X22Y118_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y118]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X22Y118_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y118]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X22Y118_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y118]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X22Y118_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y118]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X22Y118_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y118] $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X22Y118_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y118]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X22Y118_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y118]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X22Y118_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y118]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X22Y118_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y118]].DFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X23Y118_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y118]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X23Y118_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y118]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X23Y118_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y118]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X23Y118_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y118]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X23Y118_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y118]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y118_SLICE_X23Y118_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y118]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X22Y119_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y119]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X22Y119_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y119]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X22Y119_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y119]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X22Y119_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y119]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X22Y119_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y119] $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X22Y119_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y119]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X22Y119_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y119]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X22Y119_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y119]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X23Y119_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y119]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X23Y119_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y119]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X23Y119_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y119]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X23Y119_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y119]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X23Y119_A5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y119]].A5FF" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X23Y119_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y119]].B5FF" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X23Y119_C5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y119]].C5FF" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X23Y119_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y119]].D5FF" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X23Y119_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y119]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X23Y119_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y119]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X23Y119_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y119]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y119_SLICE_X23Y119_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y119]].DFF" $cell | |
## set cell [get_cells CLBLL_R_X15Y128_SLICE_X22Y128_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y128]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y128_SLICE_X22Y128_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y128]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y128_SLICE_X22Y128_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y128]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y128_SLICE_X22Y128_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y128]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y128_SLICE_X22Y128_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y128] $cell | |
## set cell [get_cells CLBLL_R_X15Y128_SLICE_X23Y128_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y128]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y128_SLICE_X23Y128_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y128]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y128_SLICE_X23Y128_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y128]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y128_SLICE_X23Y128_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y128] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y128]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y129_SLICE_X22Y129_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y129]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y129_SLICE_X22Y129_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y129]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y129_SLICE_X22Y129_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y129]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y129_SLICE_X22Y129_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y129]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y129_SLICE_X22Y129_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y129] $cell | |
## set cell [get_cells CLBLL_R_X15Y129_SLICE_X23Y129_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y129]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y129_SLICE_X23Y129_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y129]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y129_SLICE_X23Y129_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y129]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y129_SLICE_X23Y129_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y129]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y130_SLICE_X22Y130_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y130] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y130]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y130_SLICE_X22Y130_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y130] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y130]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y130_SLICE_X22Y130_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y130] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y130]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y130_SLICE_X22Y130_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y130] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y130]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y130_SLICE_X22Y130_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y130] $cell | |
## set cell [get_cells CLBLL_R_X15Y130_SLICE_X23Y130_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y130] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y130]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y130_SLICE_X23Y130_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y130] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y130]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y130_SLICE_X23Y130_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y130] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y130]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y130_SLICE_X23Y130_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y130] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y130]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y131_SLICE_X22Y131_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y131]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y131_SLICE_X22Y131_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y131]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y131_SLICE_X22Y131_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y131]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y131_SLICE_X22Y131_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y131]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y131_SLICE_X22Y131_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y131] $cell | |
## set cell [get_cells CLBLL_R_X15Y131_SLICE_X23Y131_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y131]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y131_SLICE_X23Y131_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y131]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y131_SLICE_X23Y131_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y131]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y131_SLICE_X23Y131_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y131] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y131]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y132_SLICE_X22Y132_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y132]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y132_SLICE_X22Y132_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y132]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y132_SLICE_X22Y132_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y132]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y132_SLICE_X22Y132_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y132]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y132_SLICE_X22Y132_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y132] $cell | |
## set cell [get_cells CLBLL_R_X15Y132_SLICE_X23Y132_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y132]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y132_SLICE_X23Y132_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y132]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y132_SLICE_X23Y132_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y132]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y132_SLICE_X23Y132_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y132] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y132]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y133_SLICE_X22Y133_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y133]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y133_SLICE_X22Y133_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y133]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y133_SLICE_X22Y133_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y133]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y133_SLICE_X22Y133_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y133]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y133_SLICE_X22Y133_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y133] $cell | |
## set cell [get_cells CLBLL_R_X15Y133_SLICE_X23Y133_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y133]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y133_SLICE_X23Y133_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y133]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y133_SLICE_X23Y133_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y133]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y133_SLICE_X23Y133_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y133]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y134_SLICE_X22Y134_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y134]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y134_SLICE_X22Y134_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y134]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y134_SLICE_X22Y134_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y134]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y134_SLICE_X22Y134_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y134]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y134_SLICE_X22Y134_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y134] $cell | |
## set cell [get_cells CLBLL_R_X15Y134_SLICE_X23Y134_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y134]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y134_SLICE_X23Y134_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y134]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y134_SLICE_X23Y134_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y134]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y134_SLICE_X23Y134_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y134]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y135_SLICE_X22Y135_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y135] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y135]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y135_SLICE_X22Y135_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y135] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y135]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y135_SLICE_X22Y135_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y135] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y135]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y135_SLICE_X22Y135_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y135] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y135]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y135_SLICE_X22Y135_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y135] $cell | |
## set cell [get_cells CLBLL_R_X15Y135_SLICE_X23Y135_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y135] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y135]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y135_SLICE_X23Y135_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y135] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y135]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y135_SLICE_X23Y135_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y135] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y135]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y135_SLICE_X23Y135_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y135] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y135]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y136_SLICE_X22Y136_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y136] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y136]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y136_SLICE_X22Y136_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y136] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y136]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y136_SLICE_X22Y136_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y136] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y136]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y136_SLICE_X22Y136_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y136] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X22Y136]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y136_SLICE_X22Y136_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X22Y136] $cell | |
## set cell [get_cells CLBLL_R_X15Y136_SLICE_X23Y136_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y136] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y136]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y136_SLICE_X23Y136_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y136] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y136]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y136_SLICE_X23Y136_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y136] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y136]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X15Y136_SLICE_X23Y136_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X23Y136] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X23Y136]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y102_SLICE_X26Y102_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y102] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y102]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y102_SLICE_X26Y102_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y102] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y102]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y102_SLICE_X26Y102_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y102] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y102]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y102_SLICE_X26Y102_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y102] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y102]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y102_SLICE_X26Y102_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y102] $cell | |
## set cell [get_cells CLBLL_R_X17Y102_SLICE_X27Y102_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y102] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y102]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y102_SLICE_X27Y102_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y102] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y102]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y102_SLICE_X27Y102_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y102] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y102]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y102_SLICE_X27Y102_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y102] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y102]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y103_SLICE_X26Y103_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y103] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y103]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y103_SLICE_X26Y103_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y103] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y103]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y103_SLICE_X26Y103_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y103] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y103]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y103_SLICE_X26Y103_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y103] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y103]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y103_SLICE_X26Y103_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y103] $cell | |
## set cell [get_cells CLBLL_R_X17Y103_SLICE_X27Y103_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y103] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y103]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y103_SLICE_X27Y103_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y103] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y103]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y103_SLICE_X27Y103_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y103] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y103]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y103_SLICE_X27Y103_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y103] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y103]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X26Y107_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y107]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X26Y107_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y107]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X26Y107_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y107]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X26Y107_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y107]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X26Y107_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y107] $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X26Y107_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y107]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X26Y107_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y107]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X26Y107_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y107]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X26Y107_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y107]].DFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X27Y107_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y107]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X27Y107_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y107]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X27Y107_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y107]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X27Y107_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y107]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X27Y107_A5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y107]].A5FF" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X27Y107_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y107]].B5FF" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X27Y107_C5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y107]].C5FF" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X27Y107_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y107]].D5FF" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X27Y107_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y107]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X27Y107_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y107]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X27Y107_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y107]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y107_SLICE_X27Y107_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y107] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y107]].DFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y108_SLICE_X26Y108_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y108]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y108_SLICE_X26Y108_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y108]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y108_SLICE_X26Y108_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y108]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y108_SLICE_X26Y108_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y108]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y108_SLICE_X26Y108_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y108] $cell | |
## set cell [get_cells CLBLL_R_X17Y108_SLICE_X26Y108_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y108]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y108_SLICE_X26Y108_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y108]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y108_SLICE_X26Y108_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y108]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y108_SLICE_X27Y108_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y108]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y108_SLICE_X27Y108_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y108]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y108_SLICE_X27Y108_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y108]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y108_SLICE_X27Y108_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y108]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y108_SLICE_X27Y108_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y108]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X26Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X26Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X26Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X26Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X26Y109_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y109] $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X26Y109_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y109]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X26Y109_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y109]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X26Y109_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y109]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X26Y109_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y109]].DFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X27Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X27Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X27Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X27Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X27Y109_A5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y109]].A5FF" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X27Y109_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y109]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X27Y109_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y109]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X27Y109_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y109]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y109_SLICE_X27Y109_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y109]].DFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y110_SLICE_X26Y110_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y110]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y110_SLICE_X26Y110_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y110]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y110_SLICE_X26Y110_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y110]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y110_SLICE_X26Y110_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y110]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y110_SLICE_X26Y110_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y110] $cell | |
## set cell [get_cells CLBLL_R_X17Y110_SLICE_X26Y110_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y110]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y110_SLICE_X27Y110_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y110]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y110_SLICE_X27Y110_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y110]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y110_SLICE_X27Y110_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y110]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y110_SLICE_X27Y110_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y110]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y114_SLICE_X26Y114_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y114]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y114_SLICE_X26Y114_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y114]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y114_SLICE_X26Y114_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y114]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y114_SLICE_X26Y114_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y114]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y114_SLICE_X26Y114_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y114] $cell | |
## set cell [get_cells CLBLL_R_X17Y114_SLICE_X27Y114_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y114]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y114_SLICE_X27Y114_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y114]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y114_SLICE_X27Y114_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y114]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y114_SLICE_X27Y114_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y114]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y115_SLICE_X26Y115_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y115]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y115_SLICE_X26Y115_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y115]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y115_SLICE_X26Y115_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y115]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y115_SLICE_X26Y115_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y115]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y115_SLICE_X26Y115_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y115] $cell | |
## set cell [get_cells CLBLL_R_X17Y115_SLICE_X27Y115_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y115]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y115_SLICE_X27Y115_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y115]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y115_SLICE_X27Y115_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y115]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y115_SLICE_X27Y115_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y115]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y116_SLICE_X26Y116_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y116]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y116_SLICE_X26Y116_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y116]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y116_SLICE_X26Y116_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y116]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y116_SLICE_X26Y116_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y116]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y116_SLICE_X26Y116_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y116] $cell | |
## set cell [get_cells CLBLL_R_X17Y116_SLICE_X27Y116_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y116]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y116_SLICE_X27Y116_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y116]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y116_SLICE_X27Y116_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y116]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y116_SLICE_X27Y116_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y116]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y117_SLICE_X26Y117_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y117]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y117_SLICE_X26Y117_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y117]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y117_SLICE_X26Y117_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y117]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y117_SLICE_X26Y117_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y117]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y117_SLICE_X26Y117_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y117] $cell | |
## set cell [get_cells CLBLL_R_X17Y117_SLICE_X27Y117_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y117]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y117_SLICE_X27Y117_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y117]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y117_SLICE_X27Y117_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y117]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y117_SLICE_X27Y117_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y117]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y118_SLICE_X26Y118_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y118]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y118_SLICE_X26Y118_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y118]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y118_SLICE_X26Y118_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y118]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y118_SLICE_X26Y118_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y118]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y118_SLICE_X26Y118_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y118] $cell | |
## set cell [get_cells CLBLL_R_X17Y118_SLICE_X27Y118_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y118]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y118_SLICE_X27Y118_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y118]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y118_SLICE_X27Y118_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y118]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y118_SLICE_X27Y118_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y118] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y118]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y119_SLICE_X26Y119_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y119]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y119_SLICE_X26Y119_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y119]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y119_SLICE_X26Y119_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y119]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y119_SLICE_X26Y119_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y119]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y119_SLICE_X26Y119_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y119] $cell | |
## set cell [get_cells CLBLL_R_X17Y119_SLICE_X27Y119_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y119]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y119_SLICE_X27Y119_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y119]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y119_SLICE_X27Y119_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y119]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y119_SLICE_X27Y119_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y119] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y119]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y120_SLICE_X26Y120_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y120]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y120_SLICE_X26Y120_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y120]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y120_SLICE_X26Y120_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y120]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y120_SLICE_X26Y120_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y120]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y120_SLICE_X26Y120_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y120] $cell | |
## set cell [get_cells CLBLL_R_X17Y120_SLICE_X27Y120_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y120]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y120_SLICE_X27Y120_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y120]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y120_SLICE_X27Y120_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y120]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y120_SLICE_X27Y120_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y120] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y120]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X26Y121_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y121]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X26Y121_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y121]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X26Y121_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y121]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X26Y121_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y121]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X26Y121_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y121] $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X26Y121_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y121]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X26Y121_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y121]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X26Y121_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y121]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X26Y121_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y121]].DFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X27Y121_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y121]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X27Y121_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y121]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X27Y121_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y121]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X27Y121_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y121]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X27Y121_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y121]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X27Y121_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y121]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y121_SLICE_X27Y121_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y121] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y121]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y122_SLICE_X26Y122_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y122]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y122_SLICE_X26Y122_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y122]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y122_SLICE_X26Y122_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y122]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y122_SLICE_X26Y122_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y122]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y122_SLICE_X26Y122_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y122] $cell | |
## set cell [get_cells CLBLL_R_X17Y122_SLICE_X27Y122_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y122]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y122_SLICE_X27Y122_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y122]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y122_SLICE_X27Y122_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y122]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y122_SLICE_X27Y122_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y122] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y122]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y125_SLICE_X26Y125_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y125]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y125_SLICE_X26Y125_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y125]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y125_SLICE_X26Y125_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y125]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y125_SLICE_X26Y125_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y125]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y125_SLICE_X26Y125_MUXF7A] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y125] $cell | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_R_X17Y125_SLICE_X26Y125_MUXF8. Shape is trying to block loc SLICE_X26Y125.A6LUT, however cell CLBLL_R_X17Y125_SLICE_X26Y125_ALUT/LUT6 is already placed at this location. | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y125]].F7AMUX" $cell | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_R_X17Y125_SLICE_X26Y125_MUXF8. Shape is trying to block loc SLICE_X26Y125.A6LUT, however cell CLBLL_R_X17Y125_SLICE_X26Y125_ALUT/LUT6 is already placed at this location. | |
## set cell [get_cells CLBLL_R_X17Y125_SLICE_X26Y125_MUXF7B] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y125] $cell | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_R_X17Y125_SLICE_X26Y125_MUXF8. Shape is trying to block loc SLICE_X26Y125.A6LUT, however cell CLBLL_R_X17Y125_SLICE_X26Y125_ALUT/LUT6 is already placed at this location. | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y125]].F7BMUX" $cell | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_R_X17Y125_SLICE_X26Y125_MUXF8. Shape is trying to block loc SLICE_X26Y125.A6LUT, however cell CLBLL_R_X17Y125_SLICE_X26Y125_ALUT/LUT6 is already placed at this location. | |
## set cell [get_cells CLBLL_R_X17Y125_SLICE_X26Y125_MUXF8] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y125] $cell | |
INFO: [Shape Builder 18-119] Failed to create MUXF8 shape for instance CLBLL_R_X17Y125_SLICE_X26Y125_MUXF8. Shape is trying to block loc SLICE_X26Y125.A6LUT, however cell CLBLL_R_X17Y125_SLICE_X26Y125_ALUT/LUT6 is already placed at this location. | |
## set cell [get_cells CLBLL_R_X17Y125_SLICE_X27Y125_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y125]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y125_SLICE_X27Y125_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y125]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y125_SLICE_X27Y125_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y125]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y125_SLICE_X27Y125_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y125]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y129_SLICE_X26Y129_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y129]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y129_SLICE_X26Y129_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y129]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y129_SLICE_X26Y129_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y129]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y129_SLICE_X26Y129_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y129]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y129_SLICE_X26Y129_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y129] $cell | |
## set cell [get_cells CLBLL_R_X17Y129_SLICE_X26Y129_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X26Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X26Y129]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X17Y129_SLICE_X27Y129_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y129]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y129_SLICE_X27Y129_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y129]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y129_SLICE_X27Y129_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y129]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X17Y129_SLICE_X27Y129_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X27Y129] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X27Y129]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y108_SLICE_X28Y108_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y108]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y108_SLICE_X28Y108_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y108]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y108_SLICE_X28Y108_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y108]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y108_SLICE_X28Y108_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y108]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y108_SLICE_X28Y108_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y108] $cell | |
## set cell [get_cells CLBLL_R_X19Y108_SLICE_X28Y108_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y108]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y108_SLICE_X29Y108_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y108]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y108_SLICE_X29Y108_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y108]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y108_SLICE_X29Y108_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y108]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y108_SLICE_X29Y108_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y108]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y108_SLICE_X29Y108_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y108]].D5FF" $cell | |
## set cell [get_cells CLBLL_R_X19Y109_SLICE_X28Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y109_SLICE_X28Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y109_SLICE_X28Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y109_SLICE_X28Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y109_SLICE_X28Y109_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y109] $cell | |
## set cell [get_cells CLBLL_R_X19Y109_SLICE_X29Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y109_SLICE_X29Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y109_SLICE_X29Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y109_SLICE_X29Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y110_SLICE_X28Y110_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y110]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y110_SLICE_X28Y110_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y110]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y110_SLICE_X28Y110_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y110]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y110_SLICE_X28Y110_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y110]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y110_SLICE_X28Y110_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y110] $cell | |
## set cell [get_cells CLBLL_R_X19Y110_SLICE_X29Y110_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y110]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y110_SLICE_X29Y110_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y110]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y110_SLICE_X29Y110_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y110]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y110_SLICE_X29Y110_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y110]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y111_SLICE_X28Y111_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y111]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y111_SLICE_X28Y111_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y111]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y111_SLICE_X28Y111_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y111]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y111_SLICE_X28Y111_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y111]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y111_SLICE_X28Y111_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y111] $cell | |
## set cell [get_cells CLBLL_R_X19Y111_SLICE_X29Y111_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y111]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y111_SLICE_X29Y111_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y111]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y111_SLICE_X29Y111_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y111]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y111_SLICE_X29Y111_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y111] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y111]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y112_SLICE_X28Y112_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y112]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y112_SLICE_X28Y112_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y112]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y112_SLICE_X28Y112_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y112]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y112_SLICE_X28Y112_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y112]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y112_SLICE_X28Y112_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y112] $cell | |
## set cell [get_cells CLBLL_R_X19Y112_SLICE_X29Y112_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y112]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y112_SLICE_X29Y112_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y112]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y112_SLICE_X29Y112_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y112]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y112_SLICE_X29Y112_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y112] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y112]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y113_SLICE_X28Y113_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y113]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y113_SLICE_X28Y113_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y113]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y113_SLICE_X28Y113_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y113]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y113_SLICE_X28Y113_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y113]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y113_SLICE_X28Y113_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y113] $cell | |
## set cell [get_cells CLBLL_R_X19Y113_SLICE_X29Y113_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y113]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y113_SLICE_X29Y113_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y113]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y113_SLICE_X29Y113_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y113]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y113_SLICE_X29Y113_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y113] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y113]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y114_SLICE_X28Y114_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y114]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y114_SLICE_X28Y114_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y114]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y114_SLICE_X28Y114_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y114]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y114_SLICE_X28Y114_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y114]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y114_SLICE_X28Y114_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y114] $cell | |
## set cell [get_cells CLBLL_R_X19Y114_SLICE_X29Y114_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y114]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y114_SLICE_X29Y114_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y114]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y114_SLICE_X29Y114_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y114]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y114_SLICE_X29Y114_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y114] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y114]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y115_SLICE_X28Y115_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y115]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y115_SLICE_X28Y115_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y115]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y115_SLICE_X28Y115_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y115]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y115_SLICE_X28Y115_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y115]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y115_SLICE_X28Y115_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y115] $cell | |
## set cell [get_cells CLBLL_R_X19Y115_SLICE_X29Y115_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y115]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y115_SLICE_X29Y115_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y115]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y115_SLICE_X29Y115_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y115]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y115_SLICE_X29Y115_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y115] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y115]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X28Y116_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y116]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X28Y116_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y116]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X28Y116_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y116]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X28Y116_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y116]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X28Y116_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y116] $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X28Y116_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y116]].B5FF" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X28Y116_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y116]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X28Y116_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y116]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X28Y116_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y116]].DFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X29Y116_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y116]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X29Y116_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y116]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X29Y116_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y116]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X29Y116_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y116]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X29Y116_A5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y116]].A5FF" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X29Y116_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y116]].B5FF" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X29Y116_C5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y116]].C5FF" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X29Y116_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y116]].D5FF" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X29Y116_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y116]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X29Y116_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y116]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X29Y116_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y116]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y116_SLICE_X29Y116_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y116] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y116]].DFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y124_SLICE_X28Y124_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y124]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y124_SLICE_X28Y124_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y124]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y124_SLICE_X28Y124_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y124]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y124_SLICE_X28Y124_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y124]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y124_SLICE_X28Y124_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y124] $cell | |
## set cell [get_cells CLBLL_R_X19Y124_SLICE_X28Y124_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y124]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y124_SLICE_X28Y124_B_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y124]].BFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y124_SLICE_X28Y124_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y124]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y124_SLICE_X28Y124_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y124]].DFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y124_SLICE_X29Y124_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y124]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y124_SLICE_X29Y124_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y124]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y124_SLICE_X29Y124_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y124]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y124_SLICE_X29Y124_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y124] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y124]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y125_SLICE_X28Y125_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y125]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y125_SLICE_X28Y125_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y125]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y125_SLICE_X28Y125_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y125]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y125_SLICE_X28Y125_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y125]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y125_SLICE_X28Y125_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y125] $cell | |
## set cell [get_cells CLBLL_R_X19Y125_SLICE_X28Y125_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y125]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y125_SLICE_X29Y125_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y125]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y125_SLICE_X29Y125_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y125]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y125_SLICE_X29Y125_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y125]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y125_SLICE_X29Y125_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y125] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y125]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y126_SLICE_X28Y126_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y126] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y126]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y126_SLICE_X28Y126_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y126] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y126]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y126_SLICE_X28Y126_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y126] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y126]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y126_SLICE_X28Y126_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y126] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y126]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y126_SLICE_X28Y126_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y126] $cell | |
## set cell [get_cells CLBLL_R_X19Y126_SLICE_X29Y126_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y126] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y126]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y126_SLICE_X29Y126_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y126] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y126]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y126_SLICE_X29Y126_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y126] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y126]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y126_SLICE_X29Y126_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y126] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y126]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y127_SLICE_X28Y127_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y127] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y127]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y127_SLICE_X28Y127_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y127] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y127]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y127_SLICE_X28Y127_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y127] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y127]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y127_SLICE_X28Y127_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y127] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y127]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y127_SLICE_X28Y127_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y127] $cell | |
## set cell [get_cells CLBLL_R_X19Y127_SLICE_X28Y127_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y127] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y127]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y127_SLICE_X29Y127_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y127] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y127]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y127_SLICE_X29Y127_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y127] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y127]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y127_SLICE_X29Y127_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y127] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y127]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y127_SLICE_X29Y127_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y127] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y127]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y127_SLICE_X29Y127_C_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y127] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y127]].CFF" $cell | |
## set cell [get_cells CLBLL_R_X19Y133_SLICE_X28Y133_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y133]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y133_SLICE_X28Y133_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y133]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y133_SLICE_X28Y133_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y133]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y133_SLICE_X28Y133_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y133]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y133_SLICE_X28Y133_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y133] $cell | |
## set cell [get_cells CLBLL_R_X19Y133_SLICE_X29Y133_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y133]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y133_SLICE_X29Y133_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y133]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y133_SLICE_X29Y133_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y133]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y133_SLICE_X29Y133_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y133] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y133]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y134_SLICE_X28Y134_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y134]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y134_SLICE_X28Y134_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y134]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y134_SLICE_X28Y134_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y134]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y134_SLICE_X28Y134_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X28Y134]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y134_SLICE_X28Y134_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X28Y134] $cell | |
## set cell [get_cells CLBLL_R_X19Y134_SLICE_X29Y134_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y134]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y134_SLICE_X29Y134_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y134]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y134_SLICE_X29Y134_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y134]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X19Y134_SLICE_X29Y134_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X29Y134] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X29Y134]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y108_SLICE_X32Y108_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y108]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y108_SLICE_X32Y108_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y108]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y108_SLICE_X32Y108_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y108]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y108_SLICE_X32Y108_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y108]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y108_SLICE_X32Y108_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y108] $cell | |
## set cell [get_cells CLBLL_R_X21Y108_SLICE_X32Y108_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y108]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X21Y108_SLICE_X32Y108_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y108]].DFF" $cell | |
## set cell [get_cells CLBLL_R_X21Y108_SLICE_X33Y108_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X33Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X33Y108]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y108_SLICE_X33Y108_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X33Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X33Y108]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y108_SLICE_X33Y108_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X33Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X33Y108]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y108_SLICE_X33Y108_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X33Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X33Y108]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y108_SLICE_X33Y108_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X33Y108] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X33Y108]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X21Y109_SLICE_X32Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y109_SLICE_X32Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y109_SLICE_X32Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y109_SLICE_X32Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y109_SLICE_X32Y109_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y109] $cell | |
## set cell [get_cells CLBLL_R_X21Y109_SLICE_X32Y109_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y109]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X21Y109_SLICE_X33Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X33Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X33Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y109_SLICE_X33Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X33Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X33Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y109_SLICE_X33Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X33Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X33Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y109_SLICE_X33Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X33Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X33Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y110_SLICE_X32Y110_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y110]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y110_SLICE_X32Y110_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y110]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y110_SLICE_X32Y110_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y110]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y110_SLICE_X32Y110_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y110]].D6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y110_SLICE_X32Y110_CARRY4] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y110] $cell | |
## set cell [get_cells CLBLL_R_X21Y110_SLICE_X32Y110_A_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y110]].AFF" $cell | |
## set cell [get_cells CLBLL_R_X21Y110_SLICE_X32Y110_D_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X32Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X32Y110]].DFF" $cell | |
## set cell [get_cells CLBLL_R_X21Y110_SLICE_X33Y110_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X33Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X33Y110]].A6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y110_SLICE_X33Y110_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X33Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X33Y110]].B6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y110_SLICE_X33Y110_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X33Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X33Y110]].C6LUT" $cell | |
## set cell [get_cells CLBLL_R_X21Y110_SLICE_X33Y110_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X33Y110] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X33Y110]].D6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X10Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X10Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X10Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X10Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X10Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X10Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X10Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X10Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X10Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X10Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X10Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X10Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X10Y109_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X10Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X10Y109]].B5FF" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X10Y109_C5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X10Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X10Y109]].C5FF" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X10Y109_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X10Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X10Y109]].D5FF" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X11Y109_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X11Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X11Y109]].A6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X11Y109_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X11Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X11Y109]].B6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X11Y109_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X11Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X11Y109]].C6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X11Y109_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X11Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X11Y109]].D6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X11Y109_MUXF7B] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X11Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X11Y109]].F7BMUX" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X11Y109_A5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X11Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X11Y109]].A5FF" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X11Y109_B5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X11Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X11Y109]].B5FF" $cell | |
## set cell [get_cells CLBLM_L_X8Y109_SLICE_X11Y109_D5_FDRE] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X11Y109] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X11Y109]].D5FF" $cell | |
## set cell [get_cells CLBLM_L_X8Y117_SLICE_X10Y117_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X10Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X10Y117]].A6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y117_SLICE_X10Y117_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X10Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X10Y117]].B6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y117_SLICE_X10Y117_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X10Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X10Y117]].C6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y117_SLICE_X10Y117_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X10Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X10Y117]].D6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y117_SLICE_X11Y117_ALUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X11Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X11Y117]].A6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y117_SLICE_X11Y117_BLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X11Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X11Y117]].B6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y117_SLICE_X11Y117_CLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X11Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X11Y117]].C6LUT" $cell | |
## set cell [get_cells CLBLM_L_X8Y117_SLICE_X11Y117_DLUT] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X11Y117] $cell | |
## set_property BEL "[get_property SITE_TYPE [get_sites SLICE_X11Y117]].D6LUT" $cell | |
## set cell [get_cells CLBLM_L_X10Y125_SLICE_X12Y125_RAM32X1D_CD] | |
## if { $cell == {} } { | |
## error "Failed to find cell!" | |
## } | |
## set_property LOC [get_sites SLICE_X12Y125] $cell | |
ERROR: [Vivado 12-2285] Cannot set LOC property of instance 'CLBLM_L_X10Y125_SLICE_X12Y125_RAM32X1D_CD', for bel C6LUT Element SLICE_X12Y125.D5LUT can not be used as a route-through for net CLBLL_R_X15Y116_SLICE_X23Y116_CLUT/O5 taged to D5LUT_O5 because a RAM or shift register is placed there | |
Resolution: When using BEL constraints, ensure the BEL constraints are defined before the LOC constraints to avoid conflicts at a given site. | |
while executing | |
"source top_bit.v.tcl" | |
(file "runme.tcl" line 6) | |
INFO: [Common 17-206] Exiting Vivado at Tue Apr 16 17:12:56 2019... |
Sign up for free
to join this conversation on GitHub.
Already have an account?
Sign in to comment