Skip to content

Instantly share code, notes, and snippets.

@RealLitb
Created August 24, 2017 19:24
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save RealLitb/5f11bafb2f055690175a1eae992f20ed to your computer and use it in GitHub Desktop.
Save RealLitb/5f11bafb2f055690175a1eae992f20ed to your computer and use it in GitHub Desktop.
-- We can start the lagrange (and initialize the mm2s and s2mm) either when we didn't do so already,
-- or we did, and an interpolation has finished by now.
start_in <= start and ((not started) or (done_in));
s2mm_inst: entity work.s2mm
Port Map(
clk => clk,
rst => start_in,
s_ready => so_ready_in,
s_valid => so_valid_in,
s_data => so_data_in,
mm_ena => so_ena,
mm_wea => so_wea,
mm_addra => so_addra,
mm_dina => so_dina
);
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment