Skip to content

Instantly share code, notes, and snippets.

@SamKLowe
SamKLowe / xil_extract.py
Created October 23, 2018 19:37
extract xilinx ise output script
with open('compxlib.log.sam') as infile, open('compile.sh', 'w') as outfile:
copy = False
getNextLine = 0;
for line in infile:
copy = False
if line.startswith('Executing cmd') == 1:
copy = True
myTuple = line.partition('\'');
myString = myTuple[2]
myTuple = myString.partition('\'');
@SamKLowe
SamKLowe / test.v
Created June 14, 2018 23:16
Sam and Noah
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 06/14/2018 03:56:38 PM
// Design Name:
// Module Name: top
// Project Name:
// Target Devices:
@SamKLowe
SamKLowe / Makefile
Last active December 8, 2017 22:31
live: sim_a sim_a2
post: sim_b sim_b2
old_exclude: sim_q
sim_a: normal optimize_a
@echo make sim_a
# vsim -c opt -coverage -do "run -all; do manual_exclusions.do; \
# coverage report -excluded -adaptive -file exclusion.do;q"
# read -n 1 -s -r -p "Change source file then press any key to continue"
module bcd (
input [34:0] binary,
output reg [3:0] ones,
output reg [3:0] tens,
output reg [3:0] hundreds,
output reg [3:0] thousands,
output reg [3:0] tenthousands,
output reg [3:0] hundredthousands,
output reg [3:0] millions,
@SamKLowe
SamKLowe / PmodOLEDrgb.c
Created November 22, 2016 21:02
the driver file for
/************************************************************************/
/* */
/* PmodOLEDrgb.c -- OLEDrgb Display Driver for Microblaze/Zynq */
/* */
/************************************************************************/
/* Author: Cristian Fatu, Thomas Kappenman */
/* Copyright 2015, Digilent Inc. */
/************************************************************************/
/*
This library is free software; you can redistribute it and/or