Skip to content

Instantly share code, notes, and snippets.

View diningyo's full-sized avatar
😆
Learning Chisel!!

diningyo diningyo

😆
Learning Chisel!!
View GitHub Profile
@diningyo
diningyo / test_ff
Last active August 12, 2018 09:13
シミュレーションテスト用FF
`timescale 1ns / 1ps
// FF
module test_ff(
input wire clk
,input wire rst_n
,input wire [31:0] i_data
,input wire wren
,output reg [31:0] o_data
);
@diningyo
diningyo / scala_console_initial.log
Last active August 26, 2018 03:43
initial running log of scala console
Getting org.scala-sbt sbt 1.2.1 (this may take some time)...
downloading file:////home/dnn-admin/.sbt/preloaded/org.scala-sbt/sbt/1.2.1/jars/sbt.jar ...
[SUCCESSFUL ] org.scala-sbt#sbt;1.2.1!sbt.jar (2ms)
downloading file:////home/dnn-admin/.sbt/preloaded/org.scala-lang/scala-library/2.12.6/jars/scala-library.jar ...
[SUCCESSFUL ] org.scala-lang#scala-library;2.12.6!scala-library.jar (53ms)
downloading file:////home/dnn-admin/.sbt/preloaded/org.scala-sbt/main_2.12/1.2.1/jars/main_2.12.jar ...
[SUCCESSFUL ] org.scala-sbt#main_2.12;1.2.1!main_2.12.jar (15ms)
downloading file:////home/dnn-admin/.sbt/preloaded/org.scala-sbt/logic_2.12/1.2.1/jars/logic_2.12.jar ...
[SUCCESSFUL ] org.scala-sbt#logic_2.12;1.2.1!logic_2.12.jar (2ms)
downloading file:////home/dnn-admin/.sbt/preloaded/org.scala-sbt/actions_2.12/1.2.1/jars/actions_2.12.jar ...
[info] Updated file /home/dnn-admin/workspace/hw/study/2000_chisel/sandbox/project/build.properties: set sbt.version to 1.2.1
[info] Loading settings for project global-plugins from idea.sbt ...
[info] Loading global plugins from /home/dnn-admin/.sbt/1.0/plugins
[info] Loading project definition from /home/dnn-admin/workspace/hw/study/2000_chisel/sandbox/project
[info] Updating ProjectRef(uri("file:/home/dnn-admin/workspace/hw/study/2000_chisel/sandbox/project/"), "sandbox-build")...
[info] Done updating.
/home/dnn-admin/workspace/hw/study/2000_chisel/sandbox/build.sbt:3: error: not found: value scalaOptions
scalaOptions ++= Seq("-deprecation", "-feature", "-unchecked", "-Xlint")
^
[error] Type error in expression
@diningyo
diningyo / make_scr1_run_vcs.log
Created September 4, 2018 13:36
SCR1 - build log
dnn-admin@dnn:~/workspace/hw/study/1000_scr1/scr1$ make run_vcs BUS=AHB RVM=1
rm -f /home/dnn-admin/workspace/hw/study/1000_scr1/scr1/build/*.hex
make -C /home/dnn-admin/workspace/hw/study/1000_scr1/scr1/tests/riscv_isa
make[1]: ディレクトリ '/home/dnn-admin/workspace/hw/study/1000_scr1/scr1/tests/riscv_isa' に入ります
mkdir -p /home/dnn-admin/workspace/hw/study/1000_scr1/scr1/build/riscv_objs
riscv32-unknown-elf-gcc -c /home/dnn-admin/workspace/hw/study/1000_scr1/scr1/riscv-tests/isa/rv32ui/add.S -I/home/dnn-admin/workspace/hw/study/1000_scr1/scr1/tests/common -I/home/dnn-admin/workspace/hw/study/1000_scr1/scr1/tests/riscv_isa -DASM -Wa,-march=rv32imfc -march=rv32imfc -mabi=ilp32f -D__riscv_xlen=32 -o /home/dnn-admin/workspace/hw/study/1000_scr1/scr1/build/riscv_objs/add.o
riscv32-unknown-elf-gcc /home/dnn-admin/workspace/hw/study/1000_scr1/scr1/build/riscv_objs/add.o -static -fvisibility=hidden -nostdlib -nostartfiles -T/home/dnn-admin/workspace/hw/study/1000_scr1/scr1/tests/common/link.ld -march=rv32imfc -mabi=ilp32
@diningyo
diningyo / Chisel_Bootcamp_setup.log
Last active October 13, 2018 13:13
Chisel Bootcamp環境構築の際のコマンドログ
dnn-admin@dnn:~$ uname -a
Linux dnn 4.15.0-36-generic #39~16.04.1-Ubuntu SMP Tue Sep 25 08:59:23 UTC 2018 x86_64 x86_64 x86_64 GNU/Linux
dnn-admin@dnn:~$ conda create -n jupyter-scala python=3
Fetching package metadata ...........
Solving package specifications: .
Package plan for installation in environment /usr/local/src/app/pyenv/versions/miniconda3-4.3.11/envs/jupyter-scala:
The following NEW packages will be INSTALLED:
@diningyo
diningyo / Chisel_setup.log
Created October 13, 2018 13:38
Chiselのセットアップ実行時のダウンロードログ
Downloading https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.11/3.1.0/chisel3_2.11-3.1.0.pom.sha1
Downloading https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.11/3.1.0/chisel3_2.11-3.1.0.pom
Downloaded https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.11/3.1.0/chisel3_2.11-3.1.0.pom.sha1
Downloaded https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.11/3.1.0/chisel3_2.11-3.1.0.pom
Downloading https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.11/3.1.0/
Downloaded https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.11/3.1.0/
Downloading https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.11/1.1.0/firrtl_2.11-1.1.0.pom
Downloading https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.11/1.1.0/firrtl_2.11-1.1.0.pom.sha1
Downloaded https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.11/1.1.0/firrtl_2.11-1.1.0.pom
Downloaded https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.11/1.1.0/firrtl_2.11-1.1.0.pom.sha1
@diningyo
diningyo / module_3.2_exercise_regfile.v
Created December 2, 2018 03:24
Module3.2練習問題のレジスタファイルのRTL
module cmd5HelperRegisterFile( // @[:@3.2]
input clock, // @[:@4.4]
input reset, // @[:@5.4]
input io_wen, // @[:@6.4]
input [4:0] io_waddr, // @[:@6.4]
input [31:0] io_wdata, // @[:@6.4]
input [4:0] io_raddr_0, // @[:@6.4]
input [4:0] io_raddr_1, // @[:@6.4]
output [31:0] io_rdata_0, // @[:@6.4]
output [31:0] io_rdata_1 // @[:@6.4]
@diningyo
diningyo / Top.scala
Created February 23, 2019 15:31
ChiselのRTL生成&テストの実装サンプル
import chisel3._
import chisel3.iotesters
import chisel3.iotesters.PeekPokeTester
class Top(in0Bits: Int, in1Bits: Int) extends Module {
val io = IO(new Bundle {
val in0 = Input(UInt(in0Bits.W))
val in1 = Input(UInt(in0Bits.W))
val out = Output(UInt((in0Bits+1).W))
@diningyo
diningyo / build.sbt
Created February 23, 2019 15:32
ChiselのRTL生成&テスト実行の際のsbtの設定ファイル
scalaVersion := "2.11.12"
resolvers ++= Seq(
Resolver.sonatypeRepo("snapshots"),
Resolver.sonatypeRepo("releases")
)
libraryDependencies += "edu.berkeley.cs" %% "chisel3" % "3.0-SNAPSHOT"
libraryDependencies += "edu.berkeley.cs" %% "chisel-iotesters" % "[1.2.5,1.3-SNAPSHOT["
@diningyo
diningyo / Top_hierarchy.dot.svg
Created February 24, 2019 12:35
diagrammerで作ったSodor 1st-stage版のトップ階層図
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.