Skip to content

Instantly share code, notes, and snippets.

View diningyo's full-sized avatar
😆
Learning Chisel!!

diningyo diningyo

😆
Learning Chisel!!
View GitHub Profile
@diningyo
diningyo / make_scr1_run_vcs.log
Created September 4, 2018 13:36
SCR1 - build log
dnn-admin@dnn:~/workspace/hw/study/1000_scr1/scr1$ make run_vcs BUS=AHB RVM=1
rm -f /home/dnn-admin/workspace/hw/study/1000_scr1/scr1/build/*.hex
make -C /home/dnn-admin/workspace/hw/study/1000_scr1/scr1/tests/riscv_isa
make[1]: ディレクトリ '/home/dnn-admin/workspace/hw/study/1000_scr1/scr1/tests/riscv_isa' に入ります
mkdir -p /home/dnn-admin/workspace/hw/study/1000_scr1/scr1/build/riscv_objs
riscv32-unknown-elf-gcc -c /home/dnn-admin/workspace/hw/study/1000_scr1/scr1/riscv-tests/isa/rv32ui/add.S -I/home/dnn-admin/workspace/hw/study/1000_scr1/scr1/tests/common -I/home/dnn-admin/workspace/hw/study/1000_scr1/scr1/tests/riscv_isa -DASM -Wa,-march=rv32imfc -march=rv32imfc -mabi=ilp32f -D__riscv_xlen=32 -o /home/dnn-admin/workspace/hw/study/1000_scr1/scr1/build/riscv_objs/add.o
riscv32-unknown-elf-gcc /home/dnn-admin/workspace/hw/study/1000_scr1/scr1/build/riscv_objs/add.o -static -fvisibility=hidden -nostdlib -nostartfiles -T/home/dnn-admin/workspace/hw/study/1000_scr1/scr1/tests/common/link.ld -march=rv32imfc -mabi=ilp32
[info] Updated file /home/dnn-admin/workspace/hw/study/2000_chisel/sandbox/project/build.properties: set sbt.version to 1.2.1
[info] Loading settings for project global-plugins from idea.sbt ...
[info] Loading global plugins from /home/dnn-admin/.sbt/1.0/plugins
[info] Loading project definition from /home/dnn-admin/workspace/hw/study/2000_chisel/sandbox/project
[info] Updating ProjectRef(uri("file:/home/dnn-admin/workspace/hw/study/2000_chisel/sandbox/project/"), "sandbox-build")...
[info] Done updating.
/home/dnn-admin/workspace/hw/study/2000_chisel/sandbox/build.sbt:3: error: not found: value scalaOptions
scalaOptions ++= Seq("-deprecation", "-feature", "-unchecked", "-Xlint")
^
[error] Type error in expression
@diningyo
diningyo / scala_console_initial.log
Last active August 26, 2018 03:43
initial running log of scala console
Getting org.scala-sbt sbt 1.2.1 (this may take some time)...
downloading file:////home/dnn-admin/.sbt/preloaded/org.scala-sbt/sbt/1.2.1/jars/sbt.jar ...
[SUCCESSFUL ] org.scala-sbt#sbt;1.2.1!sbt.jar (2ms)
downloading file:////home/dnn-admin/.sbt/preloaded/org.scala-lang/scala-library/2.12.6/jars/scala-library.jar ...
[SUCCESSFUL ] org.scala-lang#scala-library;2.12.6!scala-library.jar (53ms)
downloading file:////home/dnn-admin/.sbt/preloaded/org.scala-sbt/main_2.12/1.2.1/jars/main_2.12.jar ...
[SUCCESSFUL ] org.scala-sbt#main_2.12;1.2.1!main_2.12.jar (15ms)
downloading file:////home/dnn-admin/.sbt/preloaded/org.scala-sbt/logic_2.12/1.2.1/jars/logic_2.12.jar ...
[SUCCESSFUL ] org.scala-sbt#logic_2.12;1.2.1!logic_2.12.jar (2ms)
downloading file:////home/dnn-admin/.sbt/preloaded/org.scala-sbt/actions_2.12/1.2.1/jars/actions_2.12.jar ...
@diningyo
diningyo / test_ff
Last active August 12, 2018 09:13
シミュレーションテスト用FF
`timescale 1ns / 1ps
// FF
module test_ff(
input wire clk
,input wire rst_n
,input wire [31:0] i_data
,input wire wren
,output reg [31:0] o_data
);