Skip to content

Instantly share code, notes, and snippets.

@dragos
dragos / gist:1264443
Created October 5, 2011 13:38
Find the deadlock condition.
/*
* Copyright 2005-2010 LAMP/EPFL
*/
// $Id$
package scala.tools.eclipse.util
/** A ref cell to a managed resource. Overwrite 'create' and 'destroy'.
*
* @note Important: 'create' is not allowed to throw any exceptions. Throwing
@dragos
dragos / gist:1266744
Created October 6, 2011 07:23
Deadlock
$ jstack 41564
2011-10-05 14:03:28
Full thread dump Java HotSpot(TM) 64-Bit Server VM (20.1-b02-383 mixed mode):
"Attach Listener" daemon prio=9 tid=7f9c89827000 nid=0x118c80000 waiting on condition [00000000]
java.lang.Thread.State: RUNNABLE
"Worker-10" prio=5 tid=7f9c89ce0800 nid=0x118a8a000 in Object.wait() [118a89000]
java.lang.Thread.State: TIMED_WAITING (on object monitor)
at java.lang.Object.wait(Native Method)
#Fri Nov 04 12:31:45 CET 2011
Xcheck-null=false
Xcheckinit=false
Xdisable-assertions=false
Xelide-below=-2147483648
Xexperimental=false
Xfatal-warnings=false
Xfuture=false
Xlog-implicits=false
Xmigration=false
@dragos
dragos / scalac.sublime-build
Created January 27, 2012 14:53
Use F4 to jump to error in SublimeText2
{
"cmd": ["/opt/scala/bin/scalac", "$file"],
"file_regex": "^(\\S...*?):([0-9]*):?([0-9]*)",
"selector": "source.scala"
}
@dragos
dragos / gist:1794246
Created February 11, 2012 00:01
A Scala compiler plugin that creates a new class in a different (fresh) package
package scala.plugin
import scala.tools.nsc
import nsc.Global
import nsc.Phase
import nsc.plugins.Plugin
import nsc.plugins.PluginComponent
import nsc.transform.{ Transform, TypingTransformers }
import nsc.symtab.Flags
import scala.tools.nsc.transform.TypingTransformers
@dragos
dragos / gist:3655814
Created September 6, 2012 12:38
Scala IDE repository build
<?xml version="1.0" encoding="UTF-8"?>
<project xsi:schemaLocation="http://maven.apache.org/POM/4.0.0 http://maven.apache.org/xsd/maven-4.0.0.xsd" xmlns="http://maven.apache.org/POM/4.0.0"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
<modelVersion>4.0.0</modelVersion>
<parent>
<groupId>org.scala-ide</groupId>
<artifactId>org.scala-ide.sdt.build</artifactId>
<version>2.1.0-SNAPSHOT</version>
<relativePath>../org.scala-ide.sdt.build/pom.xml</relativePath>
</parent>
@dragos
dragos / gist:4546321
Last active December 11, 2015 04:38
Log from Sbt spurious recompilation after whitespace change (see [ticket ](https://github.com/sbt/sbt/issues/642))
This file has been truncated, but you can view the full file.
2013-01-16 11:24:54,810 DEBUG [Worker-748] - Utils$ - Time elapsed for "updateOccurrences" in source DeclarationHyperlinkDetector.scala: 0 ms
2013-01-16 11:24:55,747 INFO [Worker-752] - ScalaBuilder - Building project org.scala-ide.sdt.core
2013-01-16 11:24:55,747 INFO [Worker-752] - EclipseSbtBuildManager - update files: Set(/Volumes/Thunderbolt_SSD/dragos/workspace/plugin/dragos-scala-ide/org.scala-ide.sdt.core/src/scala/tools/eclipse/hyperlink/text/detector/DeclarationHyperlinkDetector.scala)
2013-01-16 11:24:55,752 DEBUG [Worker-752] - ScalaProject - [org.scala-ide.sdt.core] initializing -deprecation to false
2013-01-16 11:24:55,752 DEBUG [Worker-752] - ScalaProject - [org.scala-ide.sdt.core] initializing -g to vars
2013-01-16 11:24:55,752 DEBUG [Worker-752] - ScalaProject - [org.scala-ide.sdt.core] initializing -optimise to false
2013-01-16 11:24:55,753 DEBUG [Worker-752] - ScalaProject - [org.scala-ide.sdt.core] initializing -target to jvm-1.5
2013-01-16 11:24:55,753 DEBUG [Worker-752] - ScalaProj
@dragos
dragos / gist:4593263
Created January 22, 2013 09:16
Whitespace change triggers recompilation with mysterious change in public API.
[org.scala-ide.sdt.core] some work at node 1981 current = 1981
[org.scala-ide.sdt.core] ask started, delay = 2785ms
[org.scala-ide.sdt.core] ask finished, delay = 0ms
2013-01-22 10:15:43,894 DEBUG [Worker-41] - Utils$ - Time elapsed for "updateOccurrences" in source BaseHyperlinkDetector.scala: 1 ms
2013-01-22 10:15:44,765 INFO [Worker-36] - ScalaBuilder - Building project org.scala-ide.sdt.core
2013-01-22 10:15:44,766 INFO [Worker-36] - EclipseSbtBuildManager - update files: Set(/Users/dragos/Documents/runtime-New_configuration/org.scala-ide.sdt.core/src/scala/tools/eclipse/hyperlink/text/detector/BaseHyperlinkDetector.scala)
2013-01-22 10:15:44,771 DEBUG [Worker-36] - ScalaProject - [org.scala-ide.sdt.core] initializing -deprecation to false
2013-01-22 10:15:44,771 DEBUG [Worker-36] - ScalaProject - [org.scala-ide.sdt.core] initializing -g to vars
2013-01-22 10:15:44,771 DEBUG [Worker-36] - ScalaProject - [org.scala-ide.sdt.core] initializing -optimise to false
2013-01-22 10:15:44,771 DEBUG [Worker-3
@dragos
dragos / Defaults.scala
Last active December 11, 2015 15:39
AttachmentsLeakTest
/**
* Test that default arguments don't leak
*/
class Foo(
x: Int = 100,
y: Int = 101,
z: Int = 102
str1: String = "str1",
str2: String = "str1",
str3: String = "str1",
@dragos
dragos / gist:5031512
Created February 25, 2013 17:27
changelog script output.
bash GenChangeLog.bash release/scala-ide-2.1.0-m2-2.10 master:
Mon, 25 Feb 2013 02:00:41 -0800 - Iulian Dragos
* Use Scala specific task markers.
Sat, 23 Feb 2013 09:34:01 +0100 - Luc Bourlier
*