Skip to content

Instantly share code, notes, and snippets.

@fbegyn
Created November 10, 2017 14:25
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save fbegyn/d1c8af9a9a8a6eb354708158ee55be46 to your computer and use it in GitHub Desktop.
Save fbegyn/d1c8af9a9a8a6eb354708158ee55be46 to your computer and use it in GitHub Desktop.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package conv_pkg is
type matrix3 is array(natural range<>, natural range<>, natural range<>) of integer range -2**15+1 to 2**15-1;
end package;
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment