I hereby claim:
- I am fbegyn on github.
- I am fbegyn (https://keybase.io/fbegyn) on keybase.
- I have a public key ASC8WWjnVLriRyYfx6lmuIy5Paw-rqASWDBO6_5K1NNCLQo
To claim this, I am signing this object:
#!/usr/bin/env php | |
<?php | |
// Copyright (C) 2013 Neil Lathwood neil@lathwood.co.uk | |
// Copyright (C) 2016 Maximilan Wilhelm <max@rfc2324.org> | |
/** | |
This program is free software: you can redistribute it and/or modify | |
it under the terms of the GNU General Public License as published by | |
the Free Software Foundation, either version 3 of the License, or |
I hereby claim:
To claim this, I am signing this object:
package main | |
import ( | |
"fmt" | |
"github.com/vishvananda/netlink" | |
) | |
func main() { | |
links, _ := netlink.LinkList() |
---------------------------------------------------------------------------------- | |
-- Company: UGent - DMCS project | |
-- Student: Francis Begyn | |
-- | |
-- Create Date: 1/11/2017 | |
-- Design Name: Convolutional kernel | |
-- Module Name: entity - Behavioral | |
-- Project Name: FPGA Convolutional neural networks implentation | |
-- Target Devices: Xilinxs Zynq XC7Z020CLG484-1 FPGA. | |
-- Tool Versions: GHDL |
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
package conv_pkg is | |
type matrix3 is array(natural range<>, natural range<>, natural range<>) of integer range -2**15+1 to 2**15-1; | |
end package; |
---------------------------------------------------------------------------------- | |
-- Company: UGent - DMCS project | |
-- Student: Francis Begyn | |
-- | |
-- Create Date: 1/11/2017 | |
-- Design Name: Convolutional kernel | |
-- Module Name: entity - Behavioral | |
-- Project Name: FPGA Convolutional neural networks implentation | |
-- Target Devices: Xilinxs Zynq XC7Z020CLG484-1 FPGA. | |
-- Tool Versions: GHDL |
------------------------------------------------------------- | |
-- authors: Tom Davidson and Peter Bertels | |
-- date: 2009-10-14 | |
------------------------------------------------------------- | |
-- Complex Systems Design Methodology | |
-- Calculator - top level | |
------------------------------------------------------------- | |
library ieee, std; | |
use ieee.std_logic_1164.all; |