Skip to content

Instantly share code, notes, and snippets.

View hikalium's full-sized avatar

hikalium hikalium

View GitHub Profile
@hikalium
hikalium / index.html
Last active August 29, 2015 14:15 — forked from k-hamada/index.html
<!DOCTYPE html>
<html>
<head>
<link rel="stylesheet" href="https://maxcdn.bootstrapcdn.com/bootstrap/3.3.2/css/bootstrap.min.css"> <meta charset="utf-8">
<title>漢字の線に囲まれた部分だけを塗りつぶした画像で何の四字熟語か当てる画像を作る</title>
<script src="http://jsrun.it/assets/k/P/F/1/kPF1v"></script>
<style>
canvas {
border: 1px #ccc solid;
}
@hikalium
hikalium / memo_arch.md
Last active April 5, 2017 14:59
arch linux memo
pacman -Syu

install log

Quartus II Web Edition (Free) 15.0.0.145
tar xvf <file>
./setup.sh
Without Model Sim Edition (not free)

Quartus II をコマンドラインで使う方法

  • Quartus II Version 15.0.0 Build 145 04/22/2015 SJ Web Edition

  • with Cyclone IV C4E6 ボード

  • ちなみに私はこれを、QuartusIIインストールも含め一切GUIを起動することなく実行した。

  • ピン制約情報は.qsfに記述。.pinファイルは自動生成されるだけで参照されることはない。

  • .sof, .pofが, Xilinxでいうbitstreamに相当する最終生成ファイル。

@hikalium
hikalium / nv_memo.md
Created April 5, 2017 08:50
memo for nv project

2017-04-05 15:00-18:00

Livaさんの言及したNVMについて調査

  • NVM: RAMだけどNon-Volatileなメモリ。(SCM: Storage Class Memory)

  • だから、メモリと同一のレイヤの記憶装置として扱える。

  • NOVA: A Log-structured File System for Hybrid Volatile/Non-volatile Main memory

  • 従来のファイルシステムでは、ディスクアクセスがボトルネックだったため、ファイルシステムのソフトウエア側のパ>フォーマンスはそこまで響いてこなかった。

  • しかし、NVMという高速なストレージを使う場合は、ファイルシステムそれ自体がボトルネックとなってくる。

@hikalium
hikalium / conv2utf8.md
Last active May 12, 2017 22:43
Shift-JISのC言語ソースをUTF-8に一括置換する
  • Shift-JISのC言語ソースをUTF-8に一括置換する(Macで確認済み)
  • オリジナルファイルは.orgとして残る
  • 何度実行しても大丈夫

ソース

  • sjis2utf8.sh
echo $1
LANG=C file $1 | grep -v -q "UTF-8" && \
	cp $1 $1.org &amp;&amp; \
@hikalium
hikalium / led.v
Created May 21, 2017 22:23
verilog sample 001
module led (led1,led2,clk,btn);
input clk;
input btn;
output led1;
output led2;
reg[24:0]count;
assign led1 = count[24];
assign led2 = btn;
always @(posedge(clk)) begin
if(btn == 0) count <= count + 1;
module check();
reg signed [15:0] a,b,c;
initial begin
b = 16'hffdd; // -35
c = 16'h02;
a = b >>> c; // c will be -9 = 16'fff7
$display("%x", a);
$finish;
end
endmodule