Skip to content

Instantly share code, notes, and snippets.

View hvnsweeting's full-sized avatar

Viet Hung Nguyen hvnsweeting

View GitHub Profile
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@hvnsweeting
hvnsweeting / PYMI2305_02.ipynb
Created May 17, 2023 01:35
Học lập trình Python Hà Nội Sài Gòn online lớp https://pymi.vn khóa 44 bài 2
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@hvnsweeting
hvnsweeting / PYMI2305_01.ipynb
Last active May 12, 2023 12:53
Học PYthon tại Hà Nội TP HCM pymi.vn khóa 44 bài 1
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@hvnsweeting
hvnsweeting / PYMI2210_02.ipynb
Created October 25, 2022 15:58
Học Python Hà Nội Sài Gòn TP HCM 2022 lớp pymi.vn 2210
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@hvnsweeting
hvnsweeting / PYMI2210_01.ipynb
Created October 19, 2022 02:48
Học lập trình Python 2022 tại Hà Nội, Sài gòn livestream lớp PYMI2210
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@hvnsweeting
hvnsweeting / PYMI2207_01.ipynb
Created July 29, 2022 02:50
Học lập trình Python 2022 tại PyMi.vn lớp học lập trình Python tại Hà Nội, TP Hồ Chí Minh, Sài Gòn
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@hvnsweeting
hvnsweeting / PYMI2205_01.ipynb
Created May 20, 2022 02:11
Học Python tại Hà Nội/ TP HCM/ Sài Gòn 2022 tháng 5 lớp Pymi.vn buổi 1
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@hvnsweeting
hvnsweeting / HN2202_02.ipynb
Created March 3, 2022 15:19
Học lập trình Python Hà Nội Sài Gòn TPHCM 2022 tại https://pymi.vn
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.