This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/env ruby | |
# -*- coding: utf-8 -*- | |
#--------------------------------------------------------------------------------- | |
# | |
# Version : 0.0.3 | |
# Created : 2017/2/23 | |
# File name : altera-hps2fpga.rb | |
# Author : Ichiro Kawazome <ichiro_k@ca2.so-net.ne.jp> | |
# Description : altera-hps2fpga service management script. | |
# |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/env ruby | |
# -*- coding: utf-8 -*- | |
#--------------------------------------------------------------------------------- | |
# | |
# Version : 0.0.2 | |
# Created : 2017/2/21 | |
# File name : altera-hps2fpga.rb | |
# Author : Ichiro Kawazome <ichiro_k@ca2.so-net.ne.jp> | |
# Description : altera-hps2fpga service management script. | |
# |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/env ruby | |
# -*- coding: utf-8 -*- | |
#--------------------------------------------------------------------------------- | |
# | |
# Version : 0.0.1 | |
# Created : 2016/5/12 | |
# File name : altera-hps2fpga.rb | |
# Author : Ichiro Kawazome <ichiro_k@ca2.so-net.ne.jp> | |
# Description : altera-hps2fpga service management script. | |
# |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
# | |
# Automatically generated file; DO NOT EDIT. | |
# Linux/arm 4.8.17 Kernel Configuration | |
# | |
CONFIG_ARM=y | |
CONFIG_ARM_HAS_SG_CHAIN=y | |
CONFIG_MIGHT_HAVE_PCI=y | |
CONFIG_SYS_SUPPORTS_APM_EMULATION=y | |
CONFIG_HAVE_PROC_CPU=y | |
CONFIG_NO_IOPORT_MAP=y |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
design := params? (channel | module)* | |
channel := "(" "CHANNEL" channel-id:number value-type reader-ep:channel-ep writer-ep:channel-ep ")" | |
channel-ep := "(" module-id:number table-id:number resource-id:number ")" | |
module := "(" "MODULE" module-id:number module-name:label params parent-module table* ")" | |
parent-module := "(" "PARENT" module-id:number ")" |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
GHDL=ghdl | |
GHDLFLAGS=--mb-comments | |
WORK=work | |
TEST_BENCH = test_bench \ | |
$(END_LIST) | |
all: $(TEST_BENCH) | |
clean: |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
module cdc_fifo | |
#( | |
parameter DATA_WIDTH = 8, | |
parameter ADDR_WIDTH = 8 | |
) | |
( | |
// -------- clock domain: read -------- | |
input clk_cr, | |
output [DATA_WIDTH-1:0] data_cr, | |
input req_cr, |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
entity FIB is | |
port ( | |
CLK : in std_logic; | |
RST : in std_logic; | |
GO : in std_logic; | |
N : in std_logic_vector( 7 downto 0); | |
BUSY : out std_logic; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
package TYPES is | |
constant WORD_BITS : integer := 8; | |
subtype WORD_TYPE is std_logic_vector(WORD_BITS-1 downto 0); | |
type WORD_WINDOW is array(integer range <>, integer range <>) of WORD_TYPE; | |
end TYPES; | |
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
U-Boot 2013.10-00002-ge7773ea (May 06 2014 - 10:41:09) | |
Memory: ECC disabled | |
DRAM: 512 MiB | |
MMC: zynq_sdhci: 0 | |
SF: Detected S25FL128S_64K with page size 256 Bytes, erase size 64 KiB, total 16 MiB | |
*** Warning - bad CRC, using default environment | |
In: serial | |
Out: serial |