Skip to content

Instantly share code, notes, and snippets.

View ikwzm's full-sized avatar

KAWAZOME Ichiro ikwzm

  • Japan
  • 08:28 (UTC +09:00)
View GitHub Profile
@ikwzm
ikwzm / altera-hps2fpga.rb
Created February 23, 2017 14:15
altera-hps2fpga.rb(v0.0.3)
#!/usr/bin/env ruby
# -*- coding: utf-8 -*-
#---------------------------------------------------------------------------------
#
# Version : 0.0.3
# Created : 2017/2/23
# File name : altera-hps2fpga.rb
# Author : Ichiro Kawazome <ichiro_k@ca2.so-net.ne.jp>
# Description : altera-hps2fpga service management script.
#
@ikwzm
ikwzm / altera-hps2fpga.rb
Created February 21, 2017 09:08
altera-hps2fpga.rb(v0.0.2)
#!/usr/bin/env ruby
# -*- coding: utf-8 -*-
#---------------------------------------------------------------------------------
#
# Version : 0.0.2
# Created : 2017/2/21
# File name : altera-hps2fpga.rb
# Author : Ichiro Kawazome <ichiro_k@ca2.so-net.ne.jp>
# Description : altera-hps2fpga service management script.
#
@ikwzm
ikwzm / altera-hps2fpga.rb
Created February 20, 2017 13:27
altera-hps2fpga.rb
#!/usr/bin/env ruby
# -*- coding: utf-8 -*-
#---------------------------------------------------------------------------------
#
# Version : 0.0.1
# Created : 2016/5/12
# File name : altera-hps2fpga.rb
# Author : Ichiro Kawazome <ichiro_k@ca2.so-net.ne.jp>
# Description : altera-hps2fpga service management script.
#
@ikwzm
ikwzm / armv7_fpga_defconfig
Created February 15, 2017 08:46
linux kernel def_config for FPGA-SoC-Linux
#
# Automatically generated file; DO NOT EDIT.
# Linux/arm 4.8.17 Kernel Configuration
#
CONFIG_ARM=y
CONFIG_ARM_HAS_SG_CHAIN=y
CONFIG_MIGHT_HAVE_PCI=y
CONFIG_SYS_SUPPORTS_APM_EMULATION=y
CONFIG_HAVE_PROC_CPU=y
CONFIG_NO_IOPORT_MAP=y
@ikwzm
ikwzm / iroha.bnf
Last active August 31, 2016 06:36
design := params? (channel | module)*
channel := "(" "CHANNEL" channel-id:number value-type reader-ep:channel-ep writer-ep:channel-ep ")"
channel-ep := "(" module-id:number table-id:number resource-id:number ")"
module := "(" "MODULE" module-id:number module-name:label params parent-module table* ")"
parent-module := "(" "PARENT" module-id:number ")"
@ikwzm
ikwzm / Makefile
Last active March 26, 2016 03:18
XORSHIFT-ADD (xsadd) Pseudo Random Number Generator VHDL Package and RTL.
GHDL=ghdl
GHDLFLAGS=--mb-comments
WORK=work
TEST_BENCH = test_bench \
$(END_LIST)
all: $(TEST_BENCH)
clean:
@ikwzm
ikwzm / cdc_fifo.v
Created June 16, 2015 13:04
cdc_fifo
module cdc_fifo
#(
parameter DATA_WIDTH = 8,
parameter ADDR_WIDTH = 8
)
(
// -------- clock domain: read --------
input clk_cr,
output [DATA_WIDTH-1:0] data_cr,
input req_cr,
@ikwzm
ikwzm / fib.vhd
Last active August 29, 2015 14:18
フィボナッチをVHDLで書いてみた
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity FIB is
port (
CLK : in std_logic;
RST : in std_logic;
GO : in std_logic;
N : in std_logic_vector( 7 downto 0);
BUSY : out std_logic;
@ikwzm
ikwzm / vec2.vhd
Created March 19, 2015 10:25
VHDL の2次元配列のサンプル
library ieee;
use ieee.std_logic_1164.all;
package TYPES is
constant WORD_BITS : integer := 8;
subtype WORD_TYPE is std_logic_vector(WORD_BITS-1 downto 0);
type WORD_WINDOW is array(integer range <>, integer range <>) of WORD_TYPE;
end TYPES;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
@ikwzm
ikwzm / u-boot.log
Created September 15, 2014 00:56
uEnv.txt でブートしたときのログ
U-Boot 2013.10-00002-ge7773ea (May 06 2014 - 10:41:09)
Memory: ECC disabled
DRAM: 512 MiB
MMC: zynq_sdhci: 0
SF: Detected S25FL128S_64K with page size 256 Bytes, erase size 64 KiB, total 16 MiB
*** Warning - bad CRC, using default environment
In: serial
Out: serial