Navigation Menu

Skip to content

Instantly share code, notes, and snippets.

View mboes's full-sized avatar

Mathieu Boespflug mboes

View GitHub Profile
@mboes
mboes / gist:159391c8ae1c1939464e
Created May 29, 2015 21:54
distributed collatz
{-# LANGUAGE TemplateHaskell #-}
module Main where
import Control.Distributed.Process
import Control.Distributed.Process.Closure
import Control.Distributed.Process.Node
import Control.Distributed.Process.Backend.SimpleLocalnet
import System.Environment (getArgs, getProgName)
collatz :: Int -> Int
import java.util.*;
import java.util.stream.*;
class A {
public static void main(String args[]) {
long start, finish;
start = System.nanoTime();
//List<Integer> result = Stream.iterate(1, n -> n + 1)
//.limit(10000000)
long x = LongStream.range(0, 10000000000L)
@mboes
mboes / keybase.md
Created December 17, 2016 10:24
Keybase identity proof

Keybase proof

I hereby claim:

  • I am mboes on github.
  • I am mboes (https://keybase.io/mboes) on keybase.
  • I have a public key whose fingerprint is F92D 03F9 7013 ECCA 25E0 0E2E EE1A 27A7 FC17 33CC

To claim this, I am signing this object:

@mboes
mboes / main.hs
Last active April 6, 2017 16:36
Spark Scala API PoC
{-# LANGUAGE DataKinds #-}
{-# LANGUAGE FlexibleInstances #-}
{-# LANGUAGE OverloadedStrings #-}
{-# LANGUAGE MultiParamTypeClasses #-}
{-# LANGUAGE QuasiQuotes #-}
{-# LANGUAGE ScopedTypeVariables #-}
{-# LANGUAGE StaticPointers #-}
{-# LANGUAGE TypeOperators #-}
module Main where
@mboes
mboes / stdout.log
Last active July 18, 2017 07:33
LDA on Wikipedia results (50 iterations)
>>> Vocabulary
first
infobox
school
world
right
links
external
university
during

Keybase proof

I hereby claim:

  • I am mboes on github.
  • I am mboes (https://keybase.io/mboes) on keybase.
  • I have a public key ASCalUgckP_wpzlWO96uOeOL7ITBsXQxnES2Y9_7rnsl4Ao

To claim this, I am signing this object: