Skip to content

Instantly share code, notes, and snippets.

View nickfox-taterli's full-sized avatar
😰
想哭

Tater Li nickfox-taterli

😰
想哭
View GitHub Profile
@nickfox-taterli
nickfox-taterli / benchloop.c
Created April 11, 2023 15:19
C6000 SYS/BIOS Example
/*
* Copyright (c) 2015-2018, Texas Instruments Incorporated
* All rights reserved.
*
* Redistribution and use in source and binary forms, with or without
* modification, are permitted provided that the following conditions
* are met:
*
* * Redistributions of source code must retain the above copyright
* notice, this list of conditions and the following disclaimer.
@nickfox-taterli
nickfox-taterli / GenerateRecursorZone.py
Created April 10, 2023 04:22
GenerateRecursorZone
import os
domain = 'google'
def export_rule(file) -> list :
with open('./data/' + file,encoding='utf-8') as f:
# 所有域名列表
ds = f.readlines()
for d in ds:
# 注释忽略
@nickfox-taterli
nickfox-taterli / main.py
Created March 25, 2023 08:12
K210 MaixPy?
# generated by maixhub, tested on maixpy3 v0.4.8
# copy files to TF card and plug into board and power on
import sensor, image, lcd, time
import KPU as kpu
import gc, sys
input_size = (224, 224)
labels = ['Position']
anchors = [3.47, 5.78, 5.16, 4.78, 1.66, 5.44, 0.84, 0.84, 5.55, 3.06]
@nickfox-taterli
nickfox-taterli / maixsense_075_tutorial.ipynb
Last active March 13, 2023 12:47
maixsense_075_tutorial
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@nickfox-taterli
nickfox-taterli / InstallOS.sh
Created December 11, 2022 13:45
InstallOS
#!/bin/bash
## License: GPL
## It can reinstall Debian, Ubuntu, CentOS system with network.
## Default root password: MoeClub.org
## Blog: https://moeclub.org
## Written By MoeClub.org
export tmpVER=''
export tmpDIST=''
/* Includes ------------------------------------------------------------------*/
#include "ec616s.h"
#include "ec616s_io.h"
#include <stdio.h>
#include <string.h>
#include <stdlib.h>
/* Private typedef -----------------------------------------------------------*/
/* Private define ------------------------------------------------------------*/
@nickfox-taterli
nickfox-taterli / tls_proxy_smtp.c
Created December 6, 2022 12:32
tls_proxy_smtp.c
// SSL/TLS 中继 SMTP 流量,由于Gmail证书精准识别,因此只能出此下策.
#include "mbedtls/build_info.h"
#include "mbedtls/platform.h"
#include <stdlib.h>
#include <string.h>
#include "mbedtls/entropy.h"
#include "mbedtls/ctr_drbg.h"
@nickfox-taterli
nickfox-taterli / epoll_example.c
Created November 30, 2022 12:56
epoll examle
#include <stdio.h>
#include <sys/epoll.h>
#include <netinet/in.h>
#include <arpa/inet.h>
#include <sys/types.h>
#include <sys/socket.h>
#include <unistd.h>
#include <string.h>
#include <stdlib.h>
#include <errno.h>
@nickfox-taterli
nickfox-taterli / dmesg.log
Created October 15, 2022 15:16
Kernel Log
DDR Version 1.26 20210628
In
soft reset
SRX
Channel 0: DDR3, 800MHz
Bus Width=32 Col=10 Bank=8 Row=15 CS=1 Die Bus-Width=16 Size=1024MB
Channel 1: DDR3, 800MHz
Bus Width=32 Col=10 Bank=8 Row=15 CS=1 Die Bus-Width=16 Size=1024MB
256B stride
ch 0 ddrconfig = 0x101, ddrsize = 0x20
@nickfox-taterli
nickfox-taterli / main.c
Last active September 19, 2022 05:59
FFT arm_math
float32_t pSrc[2048];
float32_t pDstFreq[1024];
float32_t pDstPhase[1024];
void MainCode(void *pvParameters)
{
uint16_t i;
for(i = 0;i < 1024;i++){
// 直流分量 1 + 50Hz且初始相位60度.
pSrc[i*2] = 1 + arm_cos_f32((2 * 3.1415926f * 50 * i) / 1024 + (3.1415926f * 60/180));
pSrc[i*2 + 1] = 0;