Skip to content

Instantly share code, notes, and snippets.

@radcristea
Created September 14, 2018 11:35
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save radcristea/b869ca64d6ed0a47e896b93015a896c6 to your computer and use it in GitHub Desktop.
Save radcristea/b869ca64d6ed0a47e896b93015a896c6 to your computer and use it in GitHub Desktop.
Startink Kernel from ZCU102 xilinx
U-Boot 2017.01 (May 02 2018 - 15:53:29 +0200) Xilinx ZynqMP ZCU102 rev1.0
I2C: ready
DRAM: 4 GiB
EL Level: EL2
Chip ID: xczu9eg
MMC: sdhci@ff170000: 0 (SD)
reading uboot.env
*** Warning - bad CRC, using default environment
In: serial@ff000000
Out: serial@ff000000
Err: serial@ff000000
Bootmode: LVL_SHFT_SD_MODE1
Net: ZYNQ GEM: ff0e0000, phyaddr c, interface rgmii-id
Warning: ethernet@ff0e0000 (eth0) using random MAC address - 02:11:4c:14:a5:55
eth0: ethernet@ff0e0000
Hit any key to stop autoboot: 0
switch to partitions #0, OK
mmc0 is current device
Device: sdhci@ff170000
Manufacturer ID: 3
OEM: 5344
Name: SL16G
Tran Speed: 50000000
Rd Block Len: 512
SD version 3.0
High Capacity: Yes
Capacity: 14.8 GiB
Bus Width: 4-bit
Erase Group Size: 512 Bytes
reading uEnv.txt
405 bytes read in 9 ms (43.9 KiB/s)
Loaded environment from uEnv.txt
Importing environment from SD ...
Running uenvcmd ...
Copying Linux from SD to RAM...
** No boot file defined **
reading system.dtb
43396 bytes read in 44 ms (962.9 KiB/s)
reading Image
14944768 bytes read in 997 ms (14.3 MiB/s)
## Flattened Device Tree blob at 04000000
Booting using the fdt blob at 0x4000000
Loading Device Tree to 000000000fff2000, end 000000000ffff983 ... OK
Starting kernel ...
[ 0.000000] Booting Linux on physical CPU 0x0
[ 0.000000] Linux version 4.9.0-g2398d50 (jenkins@romlx1) (gcc version 6.2.1 20161114 (Linaro GCC Snapshot 6.2-2016.11) ) #206 SMP Tue Jun 26 10:28:16 IST 2018
[ 0.000000] Boot CPU: AArch64 Processor [410fd034]
[ 0.000000] efi: Getting EFI parameters from FDT:
[ 0.000000] efi: UEFI not found.
[ 0.000000] cma: Reserved 256 MiB at 0x0000000070000000
[ 0.000000] psci: probing for conduit method from DT.
[ 0.000000] psci: PSCIv1.0 detected in firmware.
[ 0.000000] psci: Using standard PSCI v0.2 function IDs
[ 0.000000] psci: MIGRATE_INFO_TYPE not supported.
[ 0.000000] percpu: Embedded 21 pages/cpu @ffffffc87ff62000 s47384 r8192 d30440 u86016
[ 0.000000] Detected VIPT I-cache on CPU0
[ 0.000000] CPU features: enabling workaround for ARM erratum 845719
[ 0.000000] Built 1 zonelists in Zone order, mobility grouping on. Total pages: 1034240
[ 0.000000] Kernel command line: console=ttyPS0,115200 root=/dev/mmcblk0p2 rw earlyprintk rootfstype=ext4 rootwait root=/dev/mmcblk0p2 rw rootwait
[ 0.000000] PID hash table entries: 4096 (order: 3, 32768 bytes)
[ 0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes)
[ 0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes)
[ 0.000000] software IO TLB [mem 0x6bfff000-0x6ffff000] (64MB) mapped at [ffffffc06bfff000-ffffffc06fffefff]
[ 0.000000] Memory: 3787012K/4194304K available (9404K kernel code, 642K rwdata, 3972K rodata, 512K init, 386K bss, 145148K reserved, 262144K cma-reserved)
[ 0.000000] Virtual kernel memory layout:
[ 0.000000] modules : 0xffffff8000000000 - 0xffffff8008000000 ( 128 MB)
[ 0.000000] vmalloc : 0xffffff8008000000 - 0xffffffbebfff0000 ( 250 GB)
[ 0.000000] .text : 0xffffff8008080000 - 0xffffff80089b0000 ( 9408 KB)
[ 0.000000] .rodata : 0xffffff80089b0000 - 0xffffff8008da0000 ( 4032 KB)
[ 0.000000] .init : 0xffffff8008da0000 - 0xffffff8008e20000 ( 512 KB)
[ 0.000000] .data : 0xffffff8008e20000 - 0xffffff8008ec0a00 ( 643 KB)
[ 0.000000] .bss : 0xffffff8008ec0a00 - 0xffffff8008f21534 ( 387 KB)
[ 0.000000] fixed : 0xffffffbefe7fd000 - 0xffffffbefec00000 ( 4108 KB)
[ 0.000000] PCI I/O : 0xffffffbefee00000 - 0xffffffbeffe00000 ( 16 MB)
[ 0.000000] vmemmap : 0xffffffbf00000000 - 0xffffffc000000000 ( 4 GB maximum)
[ 0.000000] 0xffffffbf00000000 - 0xffffffbf1dc00000 ( 476 MB actual)
[ 0.000000] memory : 0xffffffc000000000 - 0xffffffc880000000 ( 34816 MB)
[ 0.000000] Hierarchical RCU implementation.
[ 0.000000] Build-time adjustment of leaf fanout to 64.
[ 0.000000] RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4.
[ 0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=64, nr_cpu_ids=4
[ 0.000000] NR_IRQS:64 nr_irqs:64 0
[ 0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
[ 0.000000] GIC: Using split EOI/Deactivate mode
[ 0.000000] arm_arch_timer: Architected cp15 timer(s) running at 99.99MHz (phys).
[ 0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x170f8dc196, max_idle_ns: 440795203664 ns
[ 0.000003] sched_clock: 56 bits at 99MHz, resolution 10ns, wraps every 4398046511099ns
[ 0.000292] Console: colour dummy device 80x25
[ 0.000309] Calibrating delay loop (skipped), value calculated using timer frequency.. 199.98 BogoMIPS (lpj=399960)
[ 0.000316] pid_max: default: 32768 minimum: 301
[ 0.000411] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes)
[ 0.000417] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes)
[ 0.000906] ASID allocator initialised with 65536 entries
[ 0.001395] zynqmp_plat_init Power management API v0.3
[ 0.001467] EFI services will not be available.
[ 0.001769] Detected VIPT I-cache on CPU1
[ 0.001794] CPU1: Booted secondary processor [410fd034]
[ 0.002038] Detected VIPT I-cache on CPU2
[ 0.002055] CPU2: Booted secondary processor [410fd034]
[ 0.002296] Detected VIPT I-cache on CPU3
[ 0.002313] CPU3: Booted secondary processor [410fd034]
[ 0.002347] Brought up 4 CPUs
[ 0.002361] SMP: Total of 4 processors activated.
[ 0.002366] CPU features: detected feature: 32-bit EL0 Support
[ 0.002373] CPU: All CPU(s) started at EL2
[ 0.002385] alternatives: patching kernel code
[ 0.003039] devtmpfs: initialized
[ 0.009114] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
[ 0.014488] xor: measuring software checksum speed
[ 0.051996] 8regs : 2302.000 MB/sec
[ 0.092024] 8regs_prefetch: 2052.000 MB/sec
[ 0.132055] 32regs : 2830.000 MB/sec
[ 0.172084] 32regs_prefetch: 2379.000 MB/sec
[ 0.172089] xor: using function: 32regs (2830.000 MB/sec)
[ 0.172154] pinctrl core: initialized pinctrl subsystem
[ 0.172673] NET: Registered protocol family 16
[ 0.190193] cpuidle: using governor menu
[ 0.190521] Failed to initialise IOMMU /amba/smmu@fd800000
[ 0.190746] vdso: 2 pages (1 code @ ffffff80089b7000, 1 data @ ffffff8008e24000)
[ 0.190755] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
[ 0.191206] DMA: preallocated 256 KiB pool for atomic allocations
[ 0.201482] reset_zynqmp reset-controller: Xilinx zynqmp reset driver probed
[ 0.202221] ARM CCI_400_r1 PMU driver probed
[ 0.204759] zynqmp-pinctrl ff180000.pinctrl: zynqmp pinctrl initialized
[ 0.230426] HugeTLB registered 2 MB page size, pre-allocated 0 pages
[ 0.296265] raid6: int64x1 gen() 406 MB/s
[ 0.364281] raid6: int64x1 xor() 441 MB/s
[ 0.432348] raid6: int64x2 gen() 674 MB/s
[ 0.500378] raid6: int64x2 xor() 599 MB/s
[ 0.568452] raid6: int64x4 gen() 984 MB/s
[ 0.636466] raid6: int64x4 xor() 738 MB/s
[ 0.704511] raid6: int64x8 gen() 1145 MB/s
[ 0.772562] raid6: int64x8 xor() 746 MB/s
[ 0.840650] raid6: neonx1 gen() 722 MB/s
[ 0.908627] raid6: neonx1 xor() 732 MB/s
[ 0.976710] raid6: neonx2 gen() 1166 MB/s
[ 1.044717] raid6: neonx2 xor() 1034 MB/s
[ 1.112786] raid6: neonx4 gen() 1504 MB/s
[ 1.180798] raid6: neonx4 xor() 1181 MB/s
[ 1.248841] raid6: neonx8 gen() 1585 MB/s
[ 1.316886] raid6: neonx8 xor() 1220 MB/s
[ 1.316890] raid6: using algorithm neonx8 gen() 1585 MB/s
[ 1.316894] raid6: .... xor() 1220 MB/s, rmw enabled
[ 1.316897] raid6: using intx1 recovery algorithm
[ 1.318175] SCSI subsystem initialized
[ 1.318353] usbcore: registered new interface driver usbfs
[ 1.318387] usbcore: registered new interface driver hub
[ 1.318421] usbcore: registered new device driver usb
[ 1.318480] media: Linux media interface: v0.10
[ 1.318507] Linux video capture interface: v2.00
[ 1.318530] pps_core: LinuxPPS API ver. 1 registered
[ 1.318534] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[ 1.318550] PTP clock support registered
[ 1.318571] EDAC MC: Ver: 3.0.0
[ 1.318807] FPGA manager framework
[ 1.318920] fpga-region fpga-full: FPGA Region probed
[ 1.318998] Advanced Linux Sound Architecture Driver Initialized.
[ 1.319275] Bluetooth: Core ver 2.22
[ 1.319297] NET: Registered protocol family 31
[ 1.319301] Bluetooth: HCI device and connection manager initialized
[ 1.319309] Bluetooth: HCI socket layer initialized
[ 1.319314] Bluetooth: L2CAP socket layer initialized
[ 1.319333] Bluetooth: SCO socket layer initialized
[ 1.319893] clocksource: Switched to clocksource arch_sys_counter
[ 1.319957] VFS: Disk quotas dquot_6.6.0
[ 1.319994] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[ 1.324300] NET: Registered protocol family 2
[ 1.324611] TCP established hash table entries: 32768 (order: 6, 262144 bytes)
[ 1.324816] TCP bind hash table entries: 32768 (order: 7, 524288 bytes)
[ 1.325246] TCP: Hash tables configured (established 32768 bind 32768)
[ 1.325288] UDP hash table entries: 2048 (order: 4, 65536 bytes)
[ 1.325362] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes)
[ 1.325519] NET: Registered protocol family 1
[ 1.325709] RPC: Registered named UNIX socket transport module.
[ 1.325713] RPC: Registered udp transport module.
[ 1.325717] RPC: Registered tcp transport module.
[ 1.325721] RPC: Registered tcp NFSv4.1 backchannel transport module.
[ 1.326137] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available
[ 1.326737] futex hash table entries: 1024 (order: 5, 131072 bytes)
[ 1.326789] audit: initializing netlink subsys (disabled)
[ 1.326808] audit: type=2000 audit(1.316:1): initialized
[ 1.327231] workingset: timestamp_bits=62 max_order=20 bucket_order=0
[ 1.327835] NFS: Registering the id_resolver key type
[ 1.327851] Key type id_resolver registered
[ 1.327855] Key type id_legacy registered
[ 1.327863] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[ 1.327880] jffs2: version 2.2. (NAND) (SUMMARY) © 2001-2006 Red Hat, Inc.
[ 1.332123] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247)
[ 1.332130] io scheduler noop registered
[ 1.332134] io scheduler deadline registered
[ 1.332144] io scheduler cfq registered (default)
[ 1.332602] nwl-pcie fd0e0000.pcie: Link is DOWN
[ 1.332638] OF: PCI: host bridge /amba/pcie@fd0e0000 ranges:
[ 1.332653] OF: PCI: MEM 0xe0000000..0xefffffff -> 0xe0000000
[ 1.332661] OF: PCI: MEM 0x600000000..0x7ffffffff -> 0x600000000
[ 1.332755] nwl-pcie fd0e0000.pcie: PCI host bridge to bus 0000:00
[ 1.332764] pci_bus 0000:00: root bus resource [bus 00-ff]
[ 1.332770] pci_bus 0000:00: root bus resource [mem 0xe0000000-0xefffffff]
[ 1.332776] pci_bus 0000:00: root bus resource [mem 0x600000000-0x7ffffffff pref]
[ 1.333068] pci 0000:00:00.0: PCI bridge to [bus 01-0c]
[ 1.334269] xilinx-dpdma fd4c0000.dma: Xilinx DPDMA engine is probed
[ 1.334533] Write failed gate address:1000f02
[ 1.334613] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success
[ 1.334745] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success
[ 1.334875] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success
[ 1.335005] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success
[ 1.335133] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success
[ 1.335268] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success
[ 1.335397] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success
[ 1.335529] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success
[ 1.335678] zynqmp_pm firmware: Power management API v0.3
[ 1.362286] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
[ 1.363684] ff000000.serial: ttyPS0 at MMIO 0xff000000 (irq = 39, base_baud = 6249999) is a xuartps
[ 2.335726] console [ttyPS0] enabled
[ 2.339804] ff010000.serial: ttyPS1 at MMIO 0xff010000 (irq = 40, base_baud = 6249999) is a xuartps
[ 2.348957] [drm] Initialized
[ 2.352169] [drm] load() is defered & will be called again
[ 2.358038] xilinx-drm-dp-sub fd4aa000.dp_sub: Xilinx DisplayPort Subsystem is probed
[ 2.365924] Unable to detect cache hierarchy from DT for CPU 0
[ 2.376063] brd: module loaded
[ 2.381677] loop: module loaded
[ 2.385395] ahci-ceva fd0c0000.ahci: couldn't get PHY in node ahci: -517
[ 2.392134] mtdoops: mtd device (mtddev=name/number) must be supplied
[ 2.399659] m25p80 spi0.0: SPI-NOR-UniqueID 1044002c399100021d000a00efd371d829
[ 2.406804] m25p80 spi0.0: found n25q512a, expected m25p80
[ 2.412314] m25p80 spi0.0: n25q512a (131072 Kbytes)
[ 2.417144] 4 ofpart partitions found on MTD device spi0.0
[ 2.422601] Creating 4 MTD partitions on "spi0.0":
[ 2.427373] 0x000000000000-0x000000100000 : "qspi-fsbl-uboot"
[ 2.433570] 0x000000100000-0x000000600000 : "qspi-linux"
[ 2.439234] 0x000000600000-0x000000620000 : "qspi-device-tree"
[ 2.445418] 0x000000620000-0x000000c00000 : "qspi-rootfs"
[ 2.451927] libphy: Fixed MDIO Bus: probed
[ 2.456878] tun: Universal TUN/TAP device driver, 1.6
[ 2.461847] tun: (C) 1999-2004 Max Krasnyansky <maxk@qualcomm.com>
[ 2.468119] CAN device driver interface
[ 2.473857] macb ff0e0000.ethernet: Not enabling partial store and forward
[ 2.481030] libphy: MACB_mii_bus: probed
[ 2.486673] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 23 (00:0a:35:04:ab:2d)
[ 2.496417] TI DP83867 ff0e0000.etherne:0c: attached PHY driver [TI DP83867] (mii_bus:phy_addr=ff0e0000.etherne:0c, irq=-1)
[ 2.507980] usbcore: registered new interface driver asix
[ 2.513334] usbcore: registered new interface driver ax88179_178a
[ 2.519393] usbcore: registered new interface driver cdc_ether
[ 2.525208] usbcore: registered new interface driver net1080
[ 2.530851] usbcore: registered new interface driver cdc_subset
[ 2.536754] usbcore: registered new interface driver zaurus
[ 2.542321] usbcore: registered new interface driver cdc_ncm
[ 2.549429] usbcore: registered new interface driver uas
[ 2.554691] usbcore: registered new interface driver usb-storage
[ 2.560897] mousedev: PS/2 mouse device common for all mice
[ 2.566800] rtc_zynqmp ffa60000.rtc: rtc core: registered ffa60000.rtc as rtc0
[ 2.573969] i2c /dev entries driver
[ 2.579025] usbcore: registered new interface driver uvcvideo
[ 2.584686] USB Video Class driver (1.1.1)
[ 2.589205] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer at ffffff80090c1000 with timeout 10s
[ 2.598227] Bluetooth: HCI UART driver ver 2.3
[ 2.602650] Bluetooth: HCI UART protocol H4 registered
[ 2.607707] Bluetooth: HCI UART protocol BCSP registered
[ 2.613000] Bluetooth: HCI UART protocol LL registered
[ 2.618122] Bluetooth: HCI UART protocol ATH3K registered
[ 2.623505] Bluetooth: HCI UART protocol Three-wire (H5) registered
[ 2.629790] Bluetooth: HCI UART protocol Intel registered
[ 2.635171] Bluetooth: HCI UART protocol Broadcom registered
[ 2.640780] Bluetooth: HCI UART protocol QCA registered
[ 2.646020] usbcore: registered new interface driver bcm203x
[ 2.651659] usbcore: registered new interface driver bpa10x
[ 2.657215] usbcore: registered new interface driver bfusb
[ 2.662683] usbcore: registered new interface driver btusb
[ 2.668125] Bluetooth: Generic Bluetooth SDIO driver ver 0.1
[ 2.673813] usbcore: registered new interface driver ath3k
[ 2.679339] EDAC MC: ECC not enabled
[ 2.682975] EDAC DEVICE0: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT)
[ 2.695879] sdhci: Secure Digital Host Controller Interface driver
[ 2.701972] sdhci: Copyright(c) Pierre Ossman
[ 2.706311] sdhci-pltfm: SDHCI platform and OF driver helper
[ 2.713279] ledtrig-cpu: registered to indicate activity on CPUs
[ 2.719325] usbcore: registered new interface driver usbhid
[ 2.724814] usbhid: USB HID core driver
[ 2.729214] ad9361 spi32766.0: ad9361_probe : enter (ad9361)
[ 2.738572] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered
[ 2.745451] xilinx-dp-snd-pcm dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed
[ 2.752626] xilinx-dp-snd-pcm dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed
[ 2.761202] Write failed to divider address:fd1a007c
[ 2.766246] xilinx-dp-snd-codec dp_snd_codec0: Xilinx DisplayPort Sound Codec probed
[ 2.774204] xilinx-dp-snd-card dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[ 2.783863] xilinx-dp-snd-card dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[ 2.793751] xilinx-dp-snd-card dp_snd_card: Xilinx DisplayPort Sound Card probed
[ 2.801222] pktgen: Packet Generator for packet performance testing. Version: 2.75
[ 2.808879] Netfilter messages via NETLINK v0.30.
[ 2.813604] ip_tables: (C) 2000-2006 Netfilter Core Team
[ 2.818885] Initializing XFRM netlink socket
[ 2.823134] NET: Registered protocol family 10
[ 2.827936] ip6_tables: (C) 2000-2006 Netfilter Core Team
[ 2.833295] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
[ 2.839475] NET: Registered protocol family 17
[ 2.843844] NET: Registered protocol family 15
[ 2.848272] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this.
[ 2.861200] Ebtables v2.0 registered
[ 2.864788] can: controller area network core (rev 20120528 abi 9)
[ 2.870945] NET: Registered protocol family 29
[ 2.875354] can: raw protocol (rev 20120528)
[ 2.879599] can: broadcast manager protocol (rev 20161123 t)
[ 2.885244] can: netlink gateway (rev 20130117) max_hops=1
[ 2.890759] Bluetooth: RFCOMM TTY layer initialized
[ 2.895578] Bluetooth: RFCOMM socket layer initialized
[ 2.900701] Bluetooth: RFCOMM ver 1.11
[ 2.904429] Bluetooth: BNEP (Ethernet Emulation) ver 1.3
[ 2.909721] Bluetooth: BNEP filters: protocol multicast
[ 2.914935] Bluetooth: BNEP socket layer initialized
[ 2.919879] Bluetooth: HIDP (Human Interface Emulation) ver 1.2
[ 2.925784] Bluetooth: HIDP socket layer initialized
[ 2.930881] 9pnet: Installing 9P2000 support
[ 2.935073] Key type dns_resolver registered
[ 2.939759] registered taskstats version 1
[ 2.944155] Btrfs loaded, crc32c=crc32c-generic
[ 2.957834] PLL: shutdown
[ 2.960463] [drm] load() is defered & will be called again
[ 2.966455] xilinx-psgtr fd400000.zynqmp_phy: Lane:1 type:8 protocol:4 pll_locked:yes
[ 2.974415] xilinx-drm-dp fd4a0000.dp: device found, version 4.010
[ 2.980513] xilinx-drm-dp fd4a0000.dp: Display Port, version 1.0200 (tx)
[ 2.987442] xilinx-psgtr fd400000.zynqmp_phy: Lane:3 type:3 protocol:2 pll_locked:yes
[ 3.005298] ahci-ceva fd0c0000.ahci: AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl platform mode
[ 3.014177] ahci-ceva fd0c0000.ahci: flags: 64bit ncq sntf pm clo only pmp fbs pio slum part ccc sds apst
[ 3.024474] scsi host0: ahci-ceva
[ 3.027896] scsi host1: ahci-ceva
[ 3.031234] ata1: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x100 irq 36
[ 3.039072] ata2: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x180 irq 36
[ 3.048565] xilinx-psgtr fd400000.zynqmp_phy: Lane:2 type:0 protocol:3 pll_locked:yes
[ 3.080056] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[ 3.085470] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1
[ 3.093305] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x02010810
[ 3.101939] xhci-hcd xhci-hcd.0.auto: irq 221, io mem 0xfe200000
[ 3.108011] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002
[ 3.114716] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[ 3.121918] usb usb1: Product: xHCI Host Controller
[ 3.126782] usb usb1: Manufacturer: Linux 4.9.0-g2398d50 xhci-hcd
[ 3.132856] usb usb1: SerialNumber: xhci-hcd.0.auto
[ 3.138000] hub 1-0:1.0: USB hub found
[ 3.141686] hub 1-0:1.0: 1 port detected
[ 3.145720] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[ 3.151128] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2
[ 3.158875] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003
[ 3.165578] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[ 3.172780] usb usb2: Product: xHCI Host Controller
[ 3.177640] usb usb2: Manufacturer: Linux 4.9.0-g2398d50 xhci-hcd
[ 3.183717] usb usb2: SerialNumber: xhci-hcd.0.auto
[ 3.188916] hub 2-0:1.0: USB hub found
[ 3.192598] hub 2-0:1.0: 1 port detected
[ 3.197168] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 25
[ 3.203222] 0-0020 supply vcc not found, using dummy regulator
[ 3.209660] GPIO line 322 (sel0) hogged as output/low
[ 3.214829] GPIO line 323 (sel1) hogged as output/high
[ 3.220082] GPIO line 324 (sel2) hogged as output/high
[ 3.225331] GPIO line 325 (sel3) hogged as output/high
[ 3.230502] pca953x 0-0020: interrupt support not compiled in
[ 3.236229] 0-0021 supply vcc not found, using dummy regulator
[ 3.242570] pca953x 0-0021: interrupt support not compiled in
[ 3.249015] ina2xx 3-0040: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.255685] ina2xx 3-0041: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.262356] ina2xx 3-0042: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.269028] ina2xx 3-0043: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.275700] ina2xx 3-0044: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.282371] ina2xx 3-0045: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.289043] ina2xx 3-0046: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.295710] ina2xx 3-0047: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.302384] ina2xx 3-004a: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.309061] ina2xx 3-004b: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.315349] i2c i2c-0: Added multiplexed i2c bus 3
[ 3.320703] ina2xx 4-0040: power monitor ina226 (Rshunt = 2000 uOhm)
[ 3.327373] ina2xx 4-0041: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.334046] ina2xx 4-0042: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.340716] ina2xx 4-0043: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.347387] ina2xx 4-0044: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.354064] ina2xx 4-0045: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.360729] ina2xx 4-0046: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.362091] ata2: SATA link down (SStatus 0 SControl 330)
[ 3.362116] ata1: SATA link down (SStatus 0 SControl 330)
[ 3.378165] ina2xx 4-0047: power monitor ina226 (Rshunt = 5000 uOhm)
[ 3.384460] i2c i2c-0: Added multiplexed i2c bus 4
[ 3.410881] random: fast init done
[ 3.422925] i2c i2c-0: Added multiplexed i2c bus 5
[ 3.427770] i2c i2c-0: Added multiplexed i2c bus 6
[ 3.432482] pca954x 0-0075: registered 4 multiplexed busses for I2C mux pca9544
[ 3.440431] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 26
[ 3.447145] at24 7-0054: 1024 byte 24c08 EEPROM, writable, 1 bytes/write
[ 3.453778] i2c i2c-1: Added multiplexed i2c bus 7
[ 3.458729] i2c i2c-1: Added multiplexed i2c bus 8
[ 3.465452] si570 9-005d: registered, current frequency 300000000 Hz
[ 3.471739] i2c i2c-1: Added multiplexed i2c bus 9
[ 3.490396] si570 10-005d: registered, current frequency 148500000 Hz
[ 3.496768] i2c i2c-1: Added multiplexed i2c bus 10
[ 3.501810] i2c i2c-1: Added multiplexed i2c bus 11
[ 3.506733] i2c i2c-1: Added multiplexed i2c bus 12
[ 3.511661] i2c i2c-1: Added multiplexed i2c bus 13
[ 3.516585] i2c i2c-1: Added multiplexed i2c bus 14
[ 3.521381] pca954x 1-0074: registered 8 multiplexed busses for I2C switch pca9548
[ 3.529414] ad7291: probe of 15-002f failed with error -5
[ 3.561202] i2c i2c-1: Added multiplexed i2c bus 15
[ 3.566134] i2c i2c-1: Added multiplexed i2c bus 16
[ 3.571067] i2c i2c-1: Added multiplexed i2c bus 17
[ 3.576309] i2c i2c-1: Added multiplexed i2c bus 18
[ 3.581246] i2c i2c-1: Added multiplexed i2c bus 19
[ 3.586179] i2c i2c-1: Added multiplexed i2c bus 20
[ 3.591112] i2c i2c-1: Added multiplexed i2c bus 21
[ 3.596039] i2c i2c-1: Added multiplexed i2c bus 22
[ 3.600838] pca954x 1-0075: registered 8 multiplexed busses for I2C switch pca9548
[ 3.655903] mmc0: SDHCI controller on ff170000.sdhci [ff170000.sdhci] using ADMA 64-bit
[ 3.664234] ad9361 spi32766.0: ad9361_probe : enter (ad9361)
[ 3.672573] ad9361 spi32766.0: ad9361_probe : Unsupported PRODUCT_ID 0xFF
[ 3.679908] PLL: enable
[ 3.682449] PLL: shutdown
[ 3.685149] OF: graph: no port node found in /xilinx_drm
[ 3.690377] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013).
[ 3.696975] [drm] No driver support for vblank timestamp query.
[ 3.812923] mmc0: new ultra high speed DDR50 SDHC card at address e624
[ 3.819589] mmcblk0: mmc0:e624 SL16G 14.8 GiB
[ 3.828361] mmcblk0: p1 p2 p3
[ 7.838462] xilinx-drm xilinx_drm: No connectors reported connected with modes
[ 7.845601] [drm] Cannot find any crtc or sizes - going 1024x768
[ 7.857135] Console: switching to colour frame buffer device 128x48
[ 7.867871] xilinx-drm xilinx_drm: fb0: frame buffer device
[ 7.891904] [drm] Initialized xilinx_drm 1.0.0 20130509 on minor 0
[ 7.898686] input: gpio-keys as /devices/platform/gpio-keys/input/input0
[ 7.905470] rtc_zynqmp ffa60000.rtc: setting system clock to 2018-09-14 11:24:16 UTC (1536924256)
[ 7.915460] ALSA device list:
[ 7.918346] #0: DisplayPort monitor
[ 7.934534] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
[ 7.942561] VFS: Mounted root (ext4 filesystem) on device 179:2.
[ 7.956862] devtmpfs: mounted
[ 7.959854] Freeing unused kernel memory: 512K (ffffffc000da0000 - ffffffc000e20000)
Mount failed for selinuxfs on /sys/fs/selinux: No such file or directory
* Setting up X socket directories... [ OK ]
* STARTDISTCC is set to false in /etc/default/distcc
* /usr/bin/distccd not starting
* Starting IIO Daemon iiod [ OK ]
Last login: Fri Sep 14 11:19:49 UTC 2018 on tty1
Welcome to Linaro 14.04 (GNU/Linux 4.9.0-g2398d50 aarch64)
* Documentation: https://wiki.analog.com/ https://ez.analog.com/
New release '16.04.5 LTS' available.
Run 'do-release-upgrade' to upgrade to it.
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment