library ieee;
use ieee.std_logic_1164.all;

entity core1 is 
end entity;
architecture core1 of core1 is
  signal a   : std_logic := '0';
  signal c   : std_logic_vector (3 downto 0) := '0';
begin
end core1;