Skip to content

Instantly share code, notes, and snippets.

View yuyichao's full-sized avatar

Yichao Yu yuyichao

View GitHub Profile
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@yuyichao
yuyichao / memoize.ipynb
Created May 18, 2014 17:40
Memoize Speed Test
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@yuyichao
yuyichao / build.log
Created May 18, 2014 22:01
PyPy Compile Error
==> 正在创建软件包:pypy-hg 71565-1 (2014年 05月 18日 星期日 16:35:23 EDT)
==> 正在检查运行时依赖关系...
==> 正在检查编译时依赖关系
==> 获取源代码......
-> 正在升级pypy hg仓库......
正在拉自 https://bitbucket.org/pypy/pypy
正在搜索修改
没有发现修改
-> 找到 pypy-c-jit-71543-88e8c347e2e2-linux64.tar.bz2
==> 正在验证源代码文件 md5sums...
@yuyichao
yuyichao / beignet-bug.c
Last active August 29, 2015 14:01
Beignet Haswell Bug
#include <CL/cl.h>
#include <stdio.h>
int
main()
{
cl_int ret;
cl_platform_id platform_id = NULL;
cl_uint num_platforms = 0;
@yuyichao
yuyichao / beignet-bug2.c
Last active August 29, 2015 14:02
Beignet OpenCL 1.2 Bug
// Compile with
// gcc -O2 --std=gnu99 -lOpenCL -g -Wno-deprecated-declarations
#include <CL/cl.h>
#include <stdio.h>
#include <assert.h>
int
main()
{
@yuyichao
yuyichao / gist:06ec3873fdcdca0f604b
Created June 23, 2014 19:20
Implicit decode benchmark
{
"metadata": {
"name": "",
"signature": "sha256:e02d00fa7e69f9c3faa99777646c177517bfb3e32ed2a82e0086422336cbeb48"
},
"nbformat": 3,
"nbformat_minor": 0,
"worksheets": [
{
"cells": [
@yuyichao
yuyichao / pypy-tproxy.ipynb
Created July 4, 2014 00:34
PyPy Transparent Proxy
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
{
"metadata": {
"name": "",
"signature": "sha256:11e8b8cbd1204e31844d1ba679a17aa92ed7f61d9670164bb5bbd7034529ec7c"
},
"nbformat": 3,
"nbformat_minor": 0,
"worksheets": [
{
platform number 1
platform_profile "FULL_PROFILE"
platform_name "Intel Gen OCL Driver"
platform_vendor "Intel"
platform_version "OpenCL 1.2 beignet 0.9.3"
platform_extensions "cl_khr_global_int32_base_atomics cl_khr_global_int32_extended_atomics cl_khr_local_int32_base_atomics cl_khr_local_int32_extended_atomics cl_khr_byte_addressable_store cl_khr_icd"
device_profile "FULL_PROFILE"
device_name "Intel(R) HD Graphics Haswell GT2 Mobile"
device_vendor "Intel"
device_version "OpenCL 1.2 beignet 0.9.3"