Skip to content

Instantly share code, notes, and snippets.

@ryantm
Created September 20, 2018 09:32
Show Gist options
  • Save ryantm/196c028cf54748b0d51c3a3750d1e34e to your computer and use it in GitHub Desktop.
Save ryantm/196c028cf54748b0d51c3a3750d1e34e to your computer and use it in GitHub Desktop.
12M /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/bin
4.0K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share/verilator/bin
68K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share/verilator/include/lxt2
68K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share/verilator/include/vltstd
680K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share/verilator/include
12K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share/verilator/examples/hello_world_c
12K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share/verilator/examples/hello_world_sc
24K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share/verilator/examples/tracing_c
24K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share/verilator/examples/tracing_sc
72K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share/verilator/examples
756K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share/verilator
72K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share/man/man1
72K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share/man
4.0K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share/pkgconfig
832K /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002/share
13M /nix/store/2jw6gg74c2fb0kgvchr1k9bdxf1r2ii8-verilator-4.002
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment