Skip to content

Instantly share code, notes, and snippets.

@ryantm
Created October 14, 2018 01:06
Show Gist options
  • Save ryantm/62b6ff604b5fa50b84a9afd23ce39bb1 to your computer and use it in GitHub Desktop.
Save ryantm/62b6ff604b5fa50b84a9afd23ce39bb1 to your computer and use it in GitHub Desktop.
12M /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/bin
4.0K /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share/verilator/bin
424K /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share/verilator/include/gtkwave
68K /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share/verilator/include/vltstd
1.1M /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share/verilator/include
12K /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share/verilator/examples/hello_world_c
12K /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share/verilator/examples/hello_world_sc
24K /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share/verilator/examples/tracing_c
24K /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share/verilator/examples/tracing_sc
72K /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share/verilator/examples
1.2M /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share/verilator
76K /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share/man/man1
76K /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share/man
4.0K /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share/pkgconfig
1.2M /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004/share
14M /nix/store/vg7dbd1z5cmsyns49gy5iq76shynz70k-verilator-4.004
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment