Skip to content

Instantly share code, notes, and snippets.

  • Save anonymous/65e51a7977fe5fd0c256f61b5149f047 to your computer and use it in GitHub Desktop.
Save anonymous/65e51a7977fe5fd0c256f61b5149f047 to your computer and use it in GitHub Desktop.
Технология проектирования программируемых логических интегральных схем баумана

Технология проектирования программируемых логических интегральных схем баумана


= = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = =
Файл: >>>>>> Скачать ТУТ!
= = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = =


Школа схемотехнического проектирования устройств обработки сигналов. Занятие 2. Некоторые полезные мелочи, о которых почти никто никогда не пишет, опасаясь прослыть любителем банальных фактов
Центр обучения ALTERA
Обучение ПЛИС Altera на базе МГТУ им. Баумана


























Но мы-то с тобой не ученые. Ошибка ученого — это, в конечном счете, его личное дело. А мы ошибаться не должны. Нам разрешается прослыть невеждами, мистиками, суеверными дураками. Нам одного не простят: И если в нашем доме вдруг завоняло серой, мы просто не имеем права пускаться в рассуждения о молекулярных флуктуациях — мы обязаны предположить, что где-то рядом объявился черт с рогами, и принять соответствующие меры, вплоть до организации производства святой воды в промышленных масштабах. И слава богу, если окажется, что это была всего лишь флуктуация, и над нами будет хохотать весь мировой совет и все школяры в придачу Аркадий и Борис Стругацкие. Школа схемотехнического проектирования устройств обработки сигналов. Алгоритмы, элементная база, способы реализации Школа схемотехнического проектирования устройств обработки сигналов. Некоторые полезные мелочи, о которых почти никто никогда не пишет, опасаясь прослыть любителем банальных фактов Школа схемотехнического проектирования устройств обработки сигналов. Интерфейсы передачи данных и сопряжение устройств Школа схемотехнического проектирования устройств обработки сигналов. Уровни, логика и быстродействие Школа схемотехнического проектирования устройств обработки сигналов. Стандарты, уровни, сопряжение Школа схемотехнического проектирования устройств обработки сигналов. Реализация вычислительных устройств на ПЛИС Школа схемотехнического проектирования устройств обработки сигналов. Средства визуальной разработки цифровых автоматов Школа схемотехнического проектирования устройств обработки сигналов. Язык описания аппаратуры Verilog HDL Школа схемотехнического проектирования устройств обработки сигналов. Программируемые аналоговые интегральные схемы Школа схемотехнического проектирования устройств обработки сигналов. Практические рекомендации по разработке печатных плат Школа схемотехнического проектирования устройств обработки сигналов. Особенности разработки конструкторской документации РЭА в соответствии с ЕСКД Школа схемотехнического проектирования устройств обработки сигналов. Цифровые синтезаторы прямого синтеза частот Школа схемотехнического проектирования устройств обработки сигналов. Занятие 18 Введение Когда автор приступал к этому циклу статей, у него уже имелся определенный опыт подобных работ. Казалось бы, уж как, чему и в какой последовательности излагать материал — ну совсем очевидное и простое мероприятие. Тем не менее автора подспудно преследует мысль, что очень часто в учебной литературе не рассматриваются подробно простые и очевидные на первый взгляд решения и приемы. В этом занятии хотелось бы осветить несколько очевидных на первый взгляд моментов, о которых как-то принято думать как о простых и понятных без дополнительных комментариев. Рассмотрим далее несколько деталей, в которых кроется тот самый дьявол. Тактовые сетки, синхронизация, задержки В замечательной книге И. Потемкина [4] красной нитью проводится исключительно здравая и мудрая мысль о необходимости проектировать синхронные схемы с двухфазной синхронизацией. Казалось бы, данный подход очевиден, и тем не менее автору регулярно доводилось слушать в разных аудиториях слова о реализации задержек на асинхронных узлах, таких как логические элементы или одновибраторы. Баумана, в кулуарах неоднократно звучал вопрос: Казалось бы, даже начинающему пользователю систем проектирования на ПЛИС должно быть известно: Совет здесь может быть только один: Что касается собственно вопросов тактирования — не жалейте времени и сил на формирование как минимум двух тактовых сеток при проектировании арифметических устройств — не прогадаете. Как правило, удобно использовать две тактовые сетки, сдвинутые друг относительно друга на четверть периода рис. По первой сетке производится фиксация данных в регистре, затем — обработка например, выполнение арифметических операций — умножения или сложения , по второй — считывание результата. Формирование такой сетки легко обеспечивается известной схемой на двух триггерах D- или Т-типа. В последнем случае не стоит забывать о предварительной установке начального состояния триггеров во избежание сбоя взаимного положения сеток. Как же описать проект на ПЛИС?.. Другая больная мозоль в вопросах проектирования устройств цифровой обработки сигналов — переход от использования дискретных элементов к реализации устройства на ПЛИС. Не буду повторять известные факты, но практически все логические элементы ПЛИС, независимо от производителя, архитектуры и логической емкости, состоят из последовательно соединенных комбинационной части и триггера регистра рис. Очевидно, что использование библиотек 74 серии приводит к худшим результатам, чем описание схемы на языках описания аппаратуры. Наиболее удобным и популярным является смешанный режим. В этом случае отдельные узлы описываются на языках описания аппаратуры, для них легко создать специальный символ, отредактировать его для пущей наглядности, а затем спокойно произвести соединения с использованием графического схемного редактора, как это показано на рис. Автор заметил в связи с этим некоторую закономерность. Мой коллега, отличный программист как по призванию, так и по образованию , освоил проектирование на ПЛИС. Для него нет особых проблем описать весь проект, вплоть до назначения выводов, целиком на AHDL или VHDL, при этом он гораздо сложнее воспринимает проект, заданный в виде схемы. В свою очередь, я, как человек, умеющий писать только простенькие программки на Фортране или Паскале в объеме стандартного вузовского курса образца середины х годов, привык работать именно в смешанном режиме ввода — описывать ключевые узлы в виде макросов на языках описания аппаратуры, а соединения между ними и назначения выводов производить в графическом редакторе. Очевидно, что каждый из разработчиков в данном случае использовал ту технику, которая ему ближе и к которой он привык на основе предшествующего опыта работы. Следует отметить, что в последнее время стали распространяться такие способы описания проекта, как ввод его в виде временных диаграмм или граф-схемы автомата. Так, в пакете Foundation фирмы Xilinx обеспечивается ввод описания цифрового автомата с помощью его графа переходов State Editor. Данный способ описания проекта позволяет весьма просто и наглядно задать поведение автомата и весьма удобен при разработке различныхных устройств управления. Подобными возможностями обладает также и пакет StatCAD, позволяющий сгенерировать по введенному графу автомата его описание на языке описания аппаратуры по выбору пользователя. Практические рекомендации по разработке печатных плат Современные электронные узлы значительно отличаются от устройств разработки конца х — начала х годов. Во-первых, новые технологии поверхностного монтажа привели к уменьшению габаритов компонентов в 3—6 раз. Во-вторых, появились новые корпуса интегральных схем с малым шагом между выводами 0,5—0,65 мм , корпуса с шариковыми выводами BGA , новые малогабаритные дискретные компоненты и соединители. В-третьих, повысилась точность изготовления печатных плат, добавились возможности для разводки сложных устройств в малых габаритах. Появление новой элементной базы позволяет говорить о возможности воплощения сложных систем на одной плате и даже на одном кристалле system-on-chip. Это означает, что на одной и той же типичной плате устройства обработки сигналов в малых габаритах размещаются высокочувствительный аналоговый тракт, аналого-цифровой преобразователь, высокоскоростная схема цифровой обработки на процессоре и или программируемых логических интегральных схемах, буферные элементы и драйверы линий связи, элементы стабилизаторов напряжения питания и преобразователей уровня и другие узлы. Естественно, это накладывает свой отпечаток на методологию разработки платы. При проектировании плат с использованием средств САПР необходимо всегда помнить, что сколь бы совершенными ни были алгоритмы автоматической трассировки, они никогда не заменят работу конструктора. В лучшем случае в автоматическом режиме возможна трассировка малочувствительных, медленных до 3—5 МГц цифровых цепей. А серьезные платы — ручками, господа, ручками. Особое внимание следует обратить на проблему заземления. Каждая фирма-производитель элементной базы уделяет ей огромное внимание в своих Application Notes, однако до сих пор многие разработчики наступают на одни и те же грабли. Как известно, земляная шина определяется как эквипотенциальная поверхность, потенциал которой служит для схемы уровнем отсчета напряжений. При проектировании земляных цепей преследуются две цели. Во-первых, следует помнить, что заземление минимизирует напряжение шумов, возникающее при прохождении токов от нескольких схем через общее сопротивление земли. Во-вторых, необходимо исключить образование контуров заземления, чувствительных к электромагнитным полям и разностям потенциалов. Таким образом, заземление представляет собой обладающую низким импедансом цепь возврата тока. Отсюда ясно, что протекание любого тока в системе заземления приводит к появлению разности потенциалов. Ясно также, что эта разность потенциалов должна быть минимальной. Следовательно, при проектировании топологии земли нужно обеспечить импеданс заземления на как можно более низком уровне и контролировать токи, протекающие между источниками и нагрузками. Поэтому необходимо использовать несколько цепей заземления, соединенных в одной точке. Причем традиционного деления на аналоговую и цифровую земли может оказаться недостаточно. Следует избегать заземления, обычно именуемого гирляндой. Рекомендуется использовать одноточечные схемы заземления, когда различные земли соединяются в точке ввода у разъема питания. В этом случае необходимо использовать многослойную плату, в которой внутренние слои представляют собой сплошные плоскости земли и питания. Нелишне напомнить об известном всем скин-эффекте и зависимости импеданса от частоты, поэтому область металлизации одного и того же размера будет иметь различный импеданс на низких и высоких частотах. Рекомендуется все свободное пространство платы заполнять сплошной областью металлизации, соединенной с общей шиной, чтобы избежать наводок. Выбирая шаг сетки трассировки, надо учитывать не только разрешающую способность фотоплоттера и другого технологического оборудования, но и помнить о возможных токах утечки через плату. Особенно это критично к входным высокоомным цепям усилителей, в этом случае неплохой мерой является защитное кольцо рис. Использование защитного кольца Если передается скоростной сигнал на достаточно большое расстояние, то сделать это можно только по согласованной линии на низкоомную нагрузку. Несколько слов следует сказать о фильтрующих емкостях. Очень часто начинающий разработчик чисто механистически устанавливает их где придется, руководствуясь только нормой установки блокирующих емкостей на число тех или иных микросхем. Следует помнить, что для того, чтобы фильтрующие емкости эффективно работали, длина цепи от вывода микросхемы до емкости должна быть минимальной. Использование планарных компонентов практически полностью позволяет решить эту проблему рис. Не следует разносить в пространстве сигнальную и возвратные цепи одного сигнала рис. Это замечание касается также дифференциальных входных цепей, которые должны иметь одинаковую длину. Следует стремиться выполнить разводку чувствительных аналоговых цепей в одном слое со стороны установки компонентов и избегать пересечений проводников, так как нарушение целостности заземляющего слоя вызывает увеличение его индуктивности и, следовательно, возрастает степень взаимного влияния возвратных токов рис. При проектировании платы, содержащей скоростные цифровые микросхемы ПЛИС, сигнальные процессоры и т. Полезны бывают дополнительные слои для отвода излишнего тепла от микросхем. Полезно после завершения разработки топологии платы максимально расширить все силовые цепи, земли, ключевые сигнальные цепи. При разработке ответственных устройств не следует забывать о возможностях современных средств по анализу целостности сигналов, тепловых режимов, прочности и т. Скачать статью в формате PDF. Другие статьи по данной теме: Перевод проектов ПЛИС в полузаказные БИС по технологии ПЛИС-БМК Поисковые технологии проектирования целочисленных цифровых фильтров. Часть 1 Краткий курс HDL. Алгоритмы, элементная база, способы реализации Сообщить об ошибке. Если Вы заметили какие-либо неточности в статье отсутствующие рисунки, таблицы, недостоверную информацию и т. Пожалуйста укажите ссылку на страницу и описание проблемы. Рекламодателям Купить Архив номеров Форум Авторам Подписаться Обзор рынка Контакты Поиск Поиск. Рубрикатор DSP и обработка сигналов JTAG-тестирование RFID и системы идентификации АЦП и ЦАП Беспроводные технологии Встраиваемые системы ВЧ и СВЧ компоненты Датчики Дисплеи Защита по току и напряжению Измерительное оборудование Интерфейсы Источники питания Конденсаторы, резисторы, индуктивности Микроконтроллеры Микропроцессоры Микросхемы памяти ОЗУ и ПЗУ Операционные усилители Оптоэлектроника и светотехника Осциллографы Печатные платы и монтаж ПЛИС и ПАИС ПЛК и промышленные компьютеры Разъемы, соединители, коммутационные устройства Рынок электронных компонентов САПР Силовая электроника Специализированные микросхемы Схемотехника и проектирование Телекоммуникационное оборудование и микросхемы Технологии Электронные компоненты. Новости 19 июня Практический семинар Xilinx: Введение в САПР Vivado. На примере платформы Artix A50T. Подписка на новости Да. Опрос Нужны ли комментарии к статьям? Да, обязательно Да, но только для зарегистрированных пользователей Нет, этот сервис не нужен Голосовать. Назад IRPLLNR1 — высокоэффективный электронный балласт для флуоресцентных ламп на базе драйвера IR Вперёд Школа схемотехнического проектирования устройств обработки сигналов. Алгоритмы, элементная база, способы реализации. Некоторые полезные мелочи, о которых почти никто никогда не пишет, опасаясь прослыть любителем банальных фактов http:


Программируемые логические интегральные схемы


Сравнив статистику посещения сайта за два месяца ноябрь и декабрь года , в MediaTek выяснили, что число посетителей ресурса из России увеличилось в 10 раз, а из Украины? Таким образом, доля русскоговорящих разработчиков с аккаунтами на labs. Амбициозная цель компании MediaTek - сформировать сообщество разработчиков гаджетов из специалистов по всему миру и помочь им реализовать свои идеи в готовые прототипы. Уже сейчас для этого есть все возможности, от мини-сообществ, в которых можно посмотреть чужие проекты до прямых контактов с настоящими производителями электроники. Начать проектировать гаджеты может любой талантливый разработчик - порог входа очень низкий. Компания Компэл, приглашает вас принять участие в семинаре и тренинге? Светодиод - это диод который излучает свет. А если диод имеет ИК излучение, то это ИК диод, а не "ИК светодиод" и "Светодиод инфракрасный", как указано на сайте. Чипинфо Школа разработки аппаратуры цифровой обработки сигналов на ПЛИС. О проекте Новости В работе Оформление подписки Реклама в журнале Рубрикатор Ссылки. Chip News Радио Новости Электроники Статьи Книги. Новое поколение Джобсов или как MediaTek создал свой маленький "Кикстартер" Амбициозная цель компании MediaTek - сформировать сообщество разработчиков гаджетов из специалистов по всему миру и помочь им реализовать свои идеи в готовые прототипы. Семинар и тренинг "ФеST-TIваль инноваций: ChipNews - 7, Журнал Радио 4 номер год. Ивашка пишет в теме Параметры отечественных излучающих диодов ИК диапазона: Владимир пишет в теме 2ТА-2 RUS со склада в Москве. Подскажите 2та-2 гарантийный срок. Стешенко Школа разработки аппаратуры цифровой обработки сигналов на ПЛИС Занятие 1. Последние годы характеризуются резким ростом плотности упаковки элементов на кристалле, многие ведущие производители либо начали серийное производство, либо анонсировали ПЛИС с эквивалентной емкостью более 1 млн. Цены на ПЛИС к сожалению, только лишь в долларовом эквиваленте неуклонно падают. Так, еще годполтора назад ПЛИС емкостью вентилей стоила в Москве, в зависимости от производителя, приемки и быстродействия, от до у. Что касается ПЛИС емкостью 10 30 логических вентилей, то появились микросхемы стоимостью менее 10 у. Такая ситуация на рынке вызвала волну вопросов, связанных с подготовкой специалистов, способных проводить разработку аппаратуры цифровой обработки сигналов на ПЛИС, владеющих основными методами проектирования и ориентирующимися в современной элементной базе и программном обеспечении. Идя навстречу многочисленным пожеланиям предприятий, заинтересованных в подготовке молодых специалистов, владеющих современными технологиями, на кафедре СМ5 "Автономные информационные и управляющие системы" МГТУ им. Баумана в программу четырехсеместрового курса "Схемотехническое проектирование микроэлектронных устройств" включен семестровый раздел "Проектирование аппаратуры обработки сигналов на ПЛИС", на основе лекционных и семинарских материалов которого и выходит этот цикл статей. В планах автора и редакции: В заключительных статьях цикла будут рассмотрены и практические вопросы реализации конкретных алгоритмов и устройств, отражающие опыт авторов в этой области. Конечно, в рамках одной статьи практически невозможно дать описание даже одного семейства микросхем, да, в общем, такая задача и не ставится. Цель этого занятия в нашей школе помочь разработчику, стоящему на пороге освоения новой элементной базы для реализации алгоритмов ЦОС, выбрать наиболее пригодную для решения его задачи элементную базу. Кроме того, учитывая реалии посткризисной или кризисной? России, нельзя не забывать о стоимости перехода на новую технологию, которая определяется не только стоимостью микросхем. Приведем известную классификацию ПЛИС [1,2] по структурному признаку, так как она дает наиболее полное представление о классе задач, пригодных для решения на той или иной ПЛИС. Следует заметить, что общепринятой оценкой логической емкости ПЛИС является число эквивалентных вентилей, определяемое как среднее число вентилей "2И-НЕ", необходимых для реализации эквивалентного проекта на ПЛИС и базовом матричном кристалле БМК. Понятно, что эта оценка весьма условна, поскольку ПЛИС не содержат вентилей "2И-не" в чистом виде, однако для проведения сравнительного анализа различных архитектур она вполне пригодна. Основным критерием такой классификации является наличие, вид и способы коммутации элементов логических матриц. По этому признаку можно выделить несколько классов ПЛИС. Программируемые логические матрицы наиболее традиционный тип ПЛИС, имеющий программируемые матрицы "И" и "ИЛИ". В зарубежной литературе соответствующими этому классу аббревиатурами являются FPLA Field Programmable Logic Array и FPLS Field Programmable Logic Sequensers. Примерами таких ПЛИС могут служить отечественные схемы KPT1,PT2,PT Недостаток такой архитектуры слабое использование ресурсов программируемой матрицы "ИЛИ", поэтому дальнейшее развитие получили микросхемы, построенные по архитектуре программируемой матричной логики PAL Programmable Array Logic это ПЛИС, имеющие программируемую матрицу "И" и фиксированную матрицу "ИЛИ". К этому классу относятся большинство современных ПЛИС небольшой степени интеграции. В качестве примеров можно привести отечественные ИС КМХП4, ХП6, ХП8, ХЛ8, ранние разработки серединаконец х годов ПЛИС фирм INTEL, ALTERA, AMD, LATTICE и др. Разновидностью этого класса являются ПЛИС, имеющие только одну программируемую матрицу "И", например, схема 85C фирмы INTEL. Следующий традиционный тип ПЛИС программируемая макрологика. Они содержат единственную программируемую матрицу "И-НЕ" или "ИЛИ-НЕ", но за счет многочисленных инверсных обратных связей способны формировать сложные логические функции. К этому классу относятся, например, ПЛИС PLHS и PLHS фирмы SIGNETICS, имеющие матрицу "И-НЕ", а также схема XL78C фирмы EXEL, основанная на матрице "ИЛИ-НЕ". Вышеперечисленные архитектуры ПЛИС содержат небольшое число ячеек, к настоящему времени морально устарели и применяются для реализации относительно простых устройств, для которых не существует готовых ИС средней степени интеграции. Естественно, для реализации алгоритмов ЦОС они непригодны. ИС ПМЛ PLD имеют архитектуру, весьма удобную для реализации цифровых автоматов. Развитие этой архитектуры программируемые коммутируемые матричные блоки ПКМБ это ПЛИС, содержащие несколько матричных логических блоков МЛБ , объединенных коммутационной матрицей. Каждый МЛБ представляет собой структуру типа ПМЛ, то есть программируемую матрицу "И", фиксированную матрицу "ИЛИ" и макроячейки. ПЛИС типа ПКМБ, как правило, имеют высокую степень интеграции до эквивалентных вентилей, до макроячеек. К этому классу относятся ПЛИС семейства MAX и MAX фирмы ALTERA, схемы XC и XC фирмы XILINX, а также большое число микросхем других производителей Atmel, Vantis, Lucent и др. В зарубежной литературе они получили название Complex Programmable Logic Devices CPLD. Другой тип архитектуры ПЛИС программируемые вентильные матрицы ПВМ , состоящие из логических блоков ЛБ и коммутирующих путей программируемых матриц соединений. Логические блоки таких ПЛИС состоят из одного или нескольких относительно простых логических элементов, в основе которых лежит таблица перекодировки ТП, Look-up table LUT , программируемый мультиплексор, D-триггер, а также цепи управления. Таких простых элементов может быть достаточно много, например, у современных ПЛИС емкостью до 1 млн. За счет такого большого числа логических элементов они содержат значительное число триггеров, а также некоторые семейства ПЛИС имеют встроенные реконфигурируемые модули памяти РМП, embedded array block EAB , что делает ПЛИС данной архитектуры весьма удобным средством реализации алгоритмов цифровой обработки сигналов, основными операциями в которых являются перемножение, умножение на константу, суммирование и задержка сигнала. Вместе с тем, возможности комбинационной части таких ПЛИС ограничены, поэтому совместно с ПВМ применяют ПКМБ CPLD для реализации управляющих и интерфейсных схем. В зарубеж-ной литературе такие ПЛИС получили название Field Programmable Gate Array FPGA. К FPGA ПВМ классу относятся ПЛИС XC, XC, XC, Spartan, Virtex фирмы XILINX; ACT1, ACT2 фирмы ACTEL, а также семейства FLEX фирмы ALTERA, некоторые ПЛИС Atmel и Vantis. Типичным примером FPGA ПЛИС могут служить микросхемы семейства Spartan фирмы XILINX рис. Особенностью современных ПЛИС является возможность тестирования узлов с помощью порта JTAG B-scan , а также наличие внутреннего генератора Osc и схем управления последовательной конфигурацией. Фирма Altera пошла по пути развития FPGA-архитектур и предложила в семействе FLEX10K так называемую двухуровневую архитектуру матрицы соединений рис. Внутри логических блоков ЛЭ соединяются посредством локальной программируемой матрицы соединений, позволяющей соединять любой ЛЭ с любым. Локальная и глобальная матрицы соединений имеют непрерывную структуру для каждого соединения выделяется непрерывный канал. Дальнейшее развитие архитектур идет по пути создания комбинированных архитектур, сочетающих удобство реализации алгоритмов ЦОС на базе таблиц перекодировок и реконфигурируемых модулей памяти, характерных для FPGA-структур и многоуровневых ПЛИС с удобством реализации цифровых автоматов на CPLD-архитектурах. Так, ПЛИС APEX20K фирмы Altera рис. В основе идеи SOC лежит интеграция всей электронной системы в одном кристалле например, в случае ПК такой чип объединяет процессор, память, и т. Компоненты этих систем разрабатываются отдельно и хранятся в виде файлов параметризируемых модулей. Окончательная структура SOC-микросхемы выполняется на базе этих "виртуальных компонентов" с помощью программ систем автоматизации проектирования САПР электронных устройств EDA Electronic Design Automation. Благодаря стандартизации в одно целое, можно объединять "виртуальные компоненты" от разных разработчиков. Рассмотрим с этих позиций продукцию ведущих мировых производителей ПЛИС, доступную на российском рынке. Фирма Altera Corporation, Innovation Drive, San Jose, CA , USA, www. В настоящее время High-end продуктом этой фирмы является семейство APEX20K, особенности архитектуры которого упоминались выше, а в табл. Дополнительным фактором при выборе ПЛИС Altera является наличие достаточно развитых бесплатных версий САПР. Для программирования и загрузки конфигурации устройств опубликована схема загрузочного кабеля ByteBlaster и ByteBlasteMV. Следует отметить, что новые конфигурационные ПЗУ EPC2 позволяют программировать с помощью этого устройства, тем самым отпадает нужда в программаторе, что, естественно, снижает стоимость владения технологией. ПЛИС фирмы Altera выпускаются в коммерческом и индустриальном диапазоне температур. ПЛИС данной серии обеспечивают высокие скорости межкристального обмена до МГц стандарт HSTL IV. Помимо семейства Virtex, Xilinx выпускает FPGA семейств XCA, XCE, Spartan, XC, а также CPLD XC и малопотребляющую серию CoolPLD. Существует бесплатная версия САПР WebPACK, поддерживающая CPLD XC и CoolPLD, ввод описания алгоритма с помощью языка описания аппаратуры VHDL. Следует заметить, что Xilinx существенно обновил модельный ряд как своих ПЛИС, так и программного обеспечения, которое теперь разрабатывается с участием фирмы Synopsys. Для ВУЗов предусмотрены значительные скидки на ПО. ПЛИС Xilinx выпускаются в коммерческом и индустриальном диапазоне температур с военной Military и космической Space приемкой. Компания Actel Corporation East Arques Avenue, Sunnyvale, CA , USA, www. Особенностью ПЛИС Actel является применение так называемой Antifuse-технологии, представляющей собой создание металлизированной перемычки при программировании. Данная технология обеспечивает высокую надежность и гибкие ресурсы трассировки, а также не требуется конфигурационное ПЗУ. Данные ПЛИС являются хорошей альтернативой БМК при среднесерийном производстве. Новое семейство ProASIC фирмы Actel обладает емкостью до эквивалентных логических вентилей. Его отличительной особенностью является энергонезависимость, благодаря применению FLASH-технологии, и наличие интегрированного на кристалле запоминающего устройства. Для проектирования устройств на ПЛИС фирмой Actel бесплатно до Пожалуй, система проектирования Actel DeskTOP является наиболее мощным из всех бесплатных пакетов САПР ПЛИС. К сожалению, микросхемы Actel, выпускаемые по Antifuse-технологии, требуют применения специального программатора, стоимость которого пока еще весьма высока. Однако, их отличает высокая надежность, поэтому они являются весьма перспективной базой для специальных применений. Так, ПЛИС серии RH имеют следующие характеристики: ПЛИС данного типа были применены в марсоходе в системе управления и обработки изображения цифровой видеокамеры робота-марсохода и в формирователе кадра для передачи информации на Землю. В настоящее время выпущены радиационно-стойкие ПЛИС новых семейств. ПЛИС всех семейств Actel выпускаются в коммерческом и индустриальном диапазоне температур, а также с военной и космической приемкой. Итак, мы рассмотрели основные архитектуры ПЛИС и дали обзор их ведущих производителей, представленных своими дистрибьюторами в России. Автор надеется, что материал этого занятия поможет читателю сориентироваться в огромной номенклатуре изделий и фирм, имеющихся на рынке при выборе элементной базы для проектирования новой техники. К счастью, наличие Internet позволяет получить исчерпывающую информацию о новых компонентах, поэтому занятие, посвященное элементной базе, носит обзорный характер. В следующем занятии мы перейдем к рассмотрению конкретных приемов проектирования устройств ЦОС с использованием современных средств автоматизированного проектирования на примере пакетов MaxPlus II фирмы Altera и Foundation фирмы Xilinx. В настоящее время при поддержке центра "Логиче-ские системы" и АО "Гамма" готовится книга с рабочим названием "Цифровая обработка сигналов на ПЛИС ALTERA: Данный цикл статей представляет анонс книги. Автор будет признателен всем заинтересованным организациям и лицам за замечания и пожелания, способствующие улучшению курса. Кафедра СМ-5 "Автономные информационные и управляющие системы" МГТУ им. Баумана , Москва, 2-я Бауманская улица, д. Ваш комментарий к статье Школа разработки аппаратуры цифровой обработки сигналов на ПЛИС: EPF10K10, EPF10K10A, EPF10K20, EPF10K30, EPF10K30A, EPF10K30E до 30 эквивалентных вентилей , EPM, EPMA, EPFA, EPFA, MAX, FLEX, MAX, MAXA, Classic. Схемный ввод, поддержка AHDL, средства интерфейса с САПР третьих фирм, топологический редактор, иерархическая структура проекта, наличие библиотеки параметризируемых модулей. Логический синтез и трассировка, автоматической обнаружение ошибок, поддержка мегафункций по программам MegaCore и AMPP. Временной анализ, функциональное и временное моделирование, анализ сигналов, возможность использования программ моделирования симуляторов третьих фирм. Школа разработки аппаратуры цифровой обработки сигналов на ПЛИС:.


Характеристика для цпмпк г москвы
Самостоятельные работы 6 класс зубарева
Причина сильного нагрева tl084cn
Скачать прошить андроид
Клапан балансировочный условное обозначение гост
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment