Skip to content

Instantly share code, notes, and snippets.

@kylemanna
Created June 29, 2015 22:47
Show Gist options
  • Star 1 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save kylemanna/9b701e4194c6c4e6cfb1 to your computer and use it in GitHub Desktop.
Save kylemanna/9b701e4194c6c4e6cfb1 to your computer and use it in GitHub Desktop.
From 0259b97225a4186a67538ec88a938d7fb61a0008 Mon Sep 17 00:00:00 2001
From: Kyle Manna <kyle@kylemanna.com>
Date: Mon, 29 Jun 2015 15:45:32 -0700
Subject: [PATCH] libierty: Add more tests
* This is broke on bintuils 2.25
---
libiberty/testsuite/demangle-expected | 8 ++++++++
1 file changed, 8 insertions(+)
diff --git a/libiberty/testsuite/demangle-expected b/libiberty/testsuite/demangle-expected
index 6ea64ae..8f5b2ca 100644
--- a/libiberty/testsuite/demangle-expected
+++ b/libiberty/testsuite/demangle-expected
@@ -4359,3 +4359,11 @@ f(std::string[abi:foo], std::string[abi:foo])
--format=gnu-v3
_Z18IndirectExternCallIPU7stdcallU7regparmILi3EEFviiEiEvT_T0_S3_
void IndirectExternCall<void ( regparm<3> stdcall*)(int, int), int>(void ( regparm<3> stdcall*)(int, int), int, void ( regparm<3> stdcall*)(int, int))
+# https://gcc.gnu.org/bugzilla/show_bug.cgi?id=65732
+--format=gnu-v3 --no-params
+_ZNK7VectorTIfEmlIfvEES_IDTmlcvf_EcvT__EEERKS2_
+VectorT<decltype (((float)())*((float)()))> VectorT<float>::operator*<float, void>(float const&) const
+#
+--format=gnu-v3 --no-params
+_ZN6uavcan9ArrayImplINS_11IntegerSpecILj8ELNS_10SignednessE0ELNS_8CastModeE0EEELNS_9ArrayModeE1ELj93EE10initializeIhEENS_8EnableIfIXszgecvT_Li0EcvS9__EEvE4TypeEi
+uavcan::EnableIf<sizeof (((unsigned char)(0))>=((unsigned char)())), void>::Type uavcan::ArrayImpl<uavcan::IntegerSpec<8u, (uavcan::Signedness)0, (uavcan::CastMode)0>, (uavcan::ArrayMode)1, 93u>::initialize<unsigned char>(int)
--
2.4.4
@kylemanna
Copy link
Author

Tested on 28bf096c62d7da6b349605f3940f4c586a850f78 @ git://sourceware.org/git/binutils-gdb.git

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment