Skip to content

Instantly share code, notes, and snippets.

@AustinRochford
Last active September 15, 2022 21:08
Show Gist options
  • Star 9 You must be signed in to star a gist
  • Fork 3 You must be signed in to fork a gist
  • Save AustinRochford/d640a240af12f6869a7b9b592485ca15 to your computer and use it in GitHub Desktop.
Save AustinRochford/d640a240af12f6869a7b9b592485ca15 to your computer and use it in GitHub Desktop.
PyMC3 BSplines
Display the source blob
Display the rendered blob
Raw
{
"cells": [
{
"cell_type": "markdown",
"metadata": {},
"source": [
"This is a port of Milad Kharratzadeh's [_Splines in Stan_](https://github.com/milkha/Splines_in_Stan/blob/master/splines_in_stan.pdf) to `pymc3`."
]
},
{
"cell_type": "code",
"execution_count": 1,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"%matplotlib inline"
]
},
{
"cell_type": "code",
"execution_count": 2,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"from matplotlib import pyplot as plt\n",
"import numpy as np\n",
"import pymc3 as pm\n",
"import scipy as sp\n",
"import seaborn as sns\n",
"from theano import shared"
]
},
{
"cell_type": "code",
"execution_count": 3,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"blue, green, red, purple, gold, teal = sns.color_palette()"
]
},
{
"cell_type": "code",
"execution_count": 4,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"N_KNOT = 30\n",
"\n",
"knots = np.linspace(-0.5, 1.5, N_KNOT)\n",
"c = np.random.normal(size=N_KNOT)\n",
"spline = sp.interpolate.BSpline(knots, c, 3, extrapolate=False)"
]
},
{
"cell_type": "code",
"execution_count": 5,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"x = np.random.uniform(0, 1, 100)\n",
"x.sort()\n",
"y = spline(x) + np.random.normal(scale=0.25, size=x.size)"
]
},
{
"cell_type": "code",
"execution_count": 6,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"x_plot = np.linspace(0, 1, 100)"
]
},
{
"cell_type": "code",
"execution_count": 7,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"/opt/conda/lib/python3.5/site-packages/matplotlib/font_manager.py:1297: UserWarning: findfont: Font family ['sans-serif'] not found. Falling back to DejaVu Sans\n",
" (prop.get_family(), self.defaultFamily[fontext]))\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAfQAAAFlCAYAAAAd7BpsAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRK5CYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7fb58477d048>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"fig, ax = plt.subplots(figsize=(8, 6))\n",
"\n",
"ax.plot(x_plot, spline(x_plot), c='k');\n",
"ax.scatter(x, y, alpha=0.75, zorder=5);\n",
"\n",
"ax.set_xlim(0, 1);"
]
},
{
"cell_type": "code",
"execution_count": 8,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"N_MODEL_KNOTS = 5 * N_KNOT\n",
"model_knots = np.linspace(-0.5, 1.5, N_MODEL_KNOTS)\n",
"\n",
"basis_funcs = sp.interpolate.BSpline(knots, np.eye(N_MODEL_KNOTS), k=3)\n",
"Bx = basis_funcs(x)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Many thanks to [Adrian Seyboldt](https://github.com/aseyboldt) for pointing out a reparameterization that mixes better than the `GaussianRandomWalk`."
]
},
{
"cell_type": "code",
"execution_count": 9,
"metadata": {},
"outputs": [],
"source": [
"Bx_ = shared(Bx)\n",
"\n",
"with pm.Model() as model:\n",
" σ_a = pm.HalfCauchy('σ_a', 5.)\n",
" a0 = pm.Normal('a0', 0., 10.)\n",
" Δ_a = pm.Normal('Δ_a', 0., 1., shape=N_MODEL_KNOTS)\n",
" a = pm.Deterministic('a', a0 + (σ_a * Δ_a).cumsum())\n",
" \n",
" σ = pm.HalfCauchy('σ', 5.)\n",
" \n",
" obs = pm.Normal('obs', Bx_.dot(a), σ, observed=y)"
]
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"Auto-assigning NUTS sampler...\n",
"Initializing NUTS using ADVI...\n",
"Average Loss = 105.09: 100%|██████████| 200000/200000 [00:44<00:00, 4517.58it/s]\n",
"Finished [100%]: Average Loss = 105.09\n",
"100%|██████████| 1000/1000 [01:51<00:00, 10.56it/s]\n"
]
}
],
"source": [
"with model:\n",
" trace = pm.sample(nuts_kwargs={'target_accept': 0.95})"
]
},
{
"cell_type": "code",
"execution_count": 11,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"/opt/conda/lib/python3.5/site-packages/matplotlib/font_manager.py:1297: UserWarning: findfont: Font family ['sans-serif'] not found. Falling back to DejaVu Sans\n",
" (prop.get_family(), self.defaultFamily[fontext]))\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAcwAAAFUCAYAAACp7gyoAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7fb58476bba8>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"pm.energyplot(trace);"
]
},
{
"cell_type": "code",
"execution_count": 12,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"100%|██████████| 1000/1000 [00:22<00:00, 44.44it/s]\n"
]
}
],
"source": [
"Bx_.set_value(basis_funcs(x_plot))\n",
"\n",
"with model:\n",
" pp_trace = pm.sample_ppc(trace, 1000)"
]
},
{
"cell_type": "code",
"execution_count": 13,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"/opt/conda/lib/python3.5/site-packages/matplotlib/font_manager.py:1297: UserWarning: findfont: Font family ['sans-serif'] not found. Falling back to DejaVu Sans\n",
" (prop.get_family(), self.defaultFamily[fontext]))\n"
]
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAfQAAAFlCAYAAAAd7BpsAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQogY8P8BsA0+/pBC6AgAAAAASUVORK5CYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7fb561a2f278>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"fig, ax = plt.subplots(figsize=(8, 6))\n",
"\n",
"ax.plot(x_plot, spline(x_plot),\n",
" c='k', label=\"True function\");\n",
"\n",
"low, high = np.percentile(pp_trace['obs'], [25, 75], axis=0)\n",
"ax.fill_between(x_plot, low, high,\n",
" color=red, alpha=0.5);\n",
"ax.plot(x_plot, pp_trace['obs'].mean(axis=0),\n",
" c=red, label=\"Spline estimate\");\n",
"\n",
"ax.scatter(x, y, alpha=0.75, zorder=5);\n",
"\n",
"ax.set_xlim(0, 1);\n",
"\n",
"ax.legend();"
]
}
],
"metadata": {
"kernelspec": {
"display_name": "Python 3",
"language": "python",
"name": "python3"
},
"language_info": {
"codemirror_mode": {
"name": "ipython",
"version": 3
},
"file_extension": ".py",
"mimetype": "text/x-python",
"name": "python",
"nbconvert_exporter": "python",
"pygments_lexer": "ipython3",
"version": "3.5.2"
}
},
"nbformat": 4,
"nbformat_minor": 2
}
@Qiuchumo
Copy link

figure_1
I run your code in Pycharm, but I found delay phenomenon in spline line compare with true line, could you help me how can I solve this problem?

@sammosummo
Copy link

I think that's just what happened in your particular case. Here is the output from when I ran it (no delay):

bspline3

@siavashk
Copy link

I can understand most of what is happening here, but I am kind of stumped at this line. Why do we need to do a cumulative sum? Are we doing inverse CDF sampling here?
a = pm.Deterministic('a', a0 + (σ_a * Δ_a).cumsum())

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment