Skip to content

Instantly share code, notes, and snippets.

% In preamble.
\usepackage{tikz}
\usetikzlibrary{shapes,backgrounds}
%...
\def\universe{(-0.6,1.5) rectangle (1.6, -0.6)}
\def\firstcircle{(0,0) circle (.5cm)}
\def\secondcircle{(60:1cm) circle (.5cm)}
\def\thirdcircle{(0:1cm) circle (.5cm)}
$ mvn -B archetype:generate \
-DarchetypeGroupId=org.apache.maven.archetypes \
-DgroupId=com.dnainator.dnainator \
-DartifactId=DNAinator
[...]
$ tree
.
├── CONTRIBUTING.md
├── DNAinator
│   ├── pom.xml
new Text {
text = "World!!!"
//text_=("World!!!")
//text value = "World!!!"
//text.value_=("World!!!")
style = "-fx-font-size: 100pt"
fill = new LinearGradient(
endX = 0,
stops = Stops(Cyan, DodgerBlue)
)
import h5py
import numpy
import scipy.io
f = h5py.File('machine.mat', 'r')
perf = numpy.array(f.get('performance'))
perf = numpy.transpose(perf)
meas = numpy.array(f.get('measurements'))
meas = numpy.transpose(meas)
Columns 1 through 16:
125 29 29 29 29 26 23 23 23 23 400 400 60 50 350 200
256 8000 8000 8000 8000 8000 16000 16000 16000 32000 1000 512 2000 4000 64 512
6000 32000 32000 32000 16000 32000 32000 32000 64000 64000 3000 3500 8000 16000 64 16000
256 32 32 32 32 64 64 64 64 128 0 4 65 65 0 0
16 8 8 8 8 8 16 16 16 32 1 1 1 1 1 4
128 32 32 32 16 32 32 32 32 64 2 6 8 8 4 32
diff --git a/core/src/main/java/nl/tudelft/ti2206/bubbleshooter/mode/MultiPlayerMode.java b/core/src/main/java/nl/tudelft/ti2206/bubbleshooter/mode/MultiPlayerMode.java
index fa52ce9..3288dca 100644
--- a/core/src/main/java/nl/tudelft/ti2206/bubbleshooter/mode/MultiPlayerMode.java
+++ b/core/src/main/java/nl/tudelft/ti2206/bubbleshooter/mode/MultiPlayerMode.java
@@ -3,6 +3,7 @@ package nl.tudelft.ti2206.bubbleshooter.mode;
import java.io.IOException;
import java.io.ObjectInputStream;
import java.io.ObjectOutputStream;
+import java.time.Duration;
import java.util.ArrayList;
library IEEE;
use IEEE.std_logic_1164.ALL;
entity eight_bitadder_tb is
end eight_bitadder_tb;
architecture behaviour of eight_bitadder_tb is
component eight_bitadder
port (
A, B: in std_logic_vector(7 downto 0);
idx:17--
txt: constant AxorB:--
idx:17--
txt: constant AnorB:--
idx:18--
txt: constant AplusB:--
idx:18--
txt: constant AxnorB:--
idx:17--
txt: constant AminB:--
Starting OpenRCT2 v0.0.1
Windows (x86)
Aug 19 2014 12:13:32
Time: 2014/08/19 12:27:21
err:ole:CoGetClassObject class {5a508685-a254-4fba-9b82-9a24b00306af} not registered
err:ole:CoGetClassObject no class object {5a508685-a254-4fba-9b82-9a24b00306af} could be created for context 0x1
wine: Unhandled page fault on read access to 0x00003c00 at address 0x98fdf710 (thread 0009), starting debugger...
Unhandled exception: page fault on read access to 0x00003c00 in 32-bit code (0x98fdf710).
Register dump:
110 float4
111 shade(
112 __constant shape *shape,
113 float4 *cam_pos,
114 float4 *intersect,
115 float4 *light_pos,
116 float4 *normal)
117 {
118 //float4 ambient = (float4)(0.f,0.f,0.f,0.f);
119 float4 diffuse = (float4)(0.f,0.f,0.f,0.f);