This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/env bash | |
# install tools | |
echo "========== installing tools ==========" | |
sudo apt install texlive-luatex texlive-lang-cjk lmodern texlive-xetex texlive-math-extra nodejs npm -y | |
curl -sSL https://get.haskellstack.org/ | sh | |
# install pandoc | |
# last version(2018/11/13): 2.4 | |
echo "========== installing pandoc ==========" |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/env bash | |
# -*- coding: utf-8 -*- | |
make clean; make distclean | |
./configure --with-features=huge \ | |
--disable-darwin \ | |
--disable-selinux \ | |
--enable-fail-if-missing \ | |
--enable-python3interp=dynamic \ | |
--enable-cscope \ |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/env bash | |
# -*- coding: utf-8 -*- | |
echo "vim install" | sudo dpkg --set-selections | |
sudo apt remove --purge vim -y |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
// Copyright (c) 2020 Kenta Arai | |
// | |
// Permission is hereby granted, free of charge, to any person obtaining a | |
// copy of this software and associated documentation files (the "Software"), | |
// to deal in the Software without restriction, including without limitation | |
// the rights to use, copy, modify, merge, publish, distribute, sublicense, | |
// and/or sell copies of the Software, and to permit persons to whom the | |
// Software is furnished to do so, subject to the following conditions: | |
// | |
// The above copyright notice and this permission notice shall be included in |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
# Original xdc file is https://github.com/Digilent/digilent-xdc/blob/master/Basys-3-Master.xdc | |
# Clock signal | |
set_property PACKAGE_PIN W5 [get_ports clk] | |
set_property IOSTANDARD LVCMOS33 [get_ports clk] | |
create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] | |
# Buttons | |
set_property PACKAGE_PIN U18 [get_ports rst] | |
set_property IOSTANDARD LVCMOS33 [get_ports rst] |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/usr/bin/env bash | |
# -*- coding: utf-8 -*- | |
# Download directory | |
DOWNLOAD=~/Downloads/tree | |
# create a tree directory | |
mkdir tree | |
cd tree |