% Rice班(5班)進捗報告 % % 2014/10/20
- 浮動小数点即値
- serial通信
- など
*~ |
proc intRand {b e} { | |
return [ expr int (rand() * ($e - $b +1) + $b) ] | |
} | |
proc makeResultWin {} { | |
global mi | |
global ma | |
label .l3 -text 結果 | |
label .l4 -text [ intRand $mi $ma ] | |
button .b2 -text 終了 -command exit |
#include <math.h> | |
typedef struct { | |
double x; | |
double y; | |
} vector; | |
vector make_vector(double x,double y); | |
vector plus_vector(vector a,vector b); | |
vector minus_vector(vector a,vector b); |
#!/usr/bin/ruby | |
require 'rubygems' | |
require 'mechanize' | |
require 'kconv' | |
require "pit" | |
username , password = ARGV | |
if (config = Pit.get("utroam-password")) == {} then |
CC = gcc | |
check-syntax: | |
$(CC) -fsyntax-only $(CHK_SOURCES) |
*~ | |
cache |
#!/bin/sh | |
pandoc -t latex $1 | perl -pe 's/section{/section*{/g;s/\n\n/\par\n/g' | cat head - tail | platex |
#!/bin/sh | |
# please change this variable when test target is changed | |
tests="fadd_isim i2f_isim" | |
ERROR_MES="User(VHDL) Code Called Simulation Stop" | |
NUM=$(echo $tests | wc -w) | |
PASSED="0" | |
FAILED="0" |
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
entity cmp_test is | |
port ( | |
Q0 : out std_logic; | |
Q1 : out std_logic); | |
end entity cmp_test; |