% Rice班(5班)進捗報告 % % 2014/10/20
- 浮動小数点即値
- serial通信
- など
#!/bin/sh | |
pandoc -t latex $1 | perl -pe 's/section{/section*{/g;s/\n\n/\par\n/g' | cat head - tail | platex |
#!/bin/sh | |
# please change this variable when test target is changed | |
tests="fadd_isim i2f_isim" | |
ERROR_MES="User(VHDL) Code Called Simulation Stop" | |
NUM=$(echo $tests | wc -w) | |
PASSED="0" | |
FAILED="0" |
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
entity cmp_test is | |
port ( | |
Q0 : out std_logic; | |
Q1 : out std_logic); | |
end entity cmp_test; |
/* | |
The MIT License (MIT) | |
Copyright (c) 2015 Masaki Waga | |
Permission is hereby granted, free of charge, to any person obtaining a copy | |
of this software and associated documentation files (the "Software"), to deal | |
in the Software without restriction, including without limitation the rights | |
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell | |
copies of the Software, and to permit persons to whom the Software is |
#! /bin/bash | |
if [ $# -lt 1 ]; then | |
echo "usage: $0 [DIR]"; | |
exit 1; | |
fi | |
DIR=$1; | |
MAX=999; |
(flycheck-define-checker vhdl-ghdl | |
"A VHDL syntax checker using ghdl." | |
:command ("ghdl" "-s" "--std=93" "--ieee=synopsys" "-fexplicit" source) | |
:error-patterns | |
((error line-start (file-name) ":" line ":" column | |
": " (message) line-end)) | |
:modes vhdl-mode) | |
(flycheck-set-checker-executable 'vhdl-ghdl) | |
(add-hook 'vhdl-mode-hook |
\documentclass[$if(fontsize)$$fontsize$,$endif$$if(lang)$$lang$,$endif$$if(papersize)$$papersize$,$endif$$for(classoption)$$classoption$$sep$,$endfor$]{jsarticle} | |
\usepackage{multicol} | |
\setlength{\textheight}{232mm} | |
\setlength{\topmargin}{4.6truemm} | |
\usepackage{amssymb,amsmath} | |
\usepackage{ifxetex,ifluatex} | |
\usepackage{fixltx2e} % provides \textsubscript | |
$if(graphics)$ | |
\usepackage{graphicx} | |
\makeatletter |
PANDOC = pandoc | |
PANDOC_FLAGS = --template=/home/calros/share/pandoc/report.latex -s | |
%.tex: %.md | |
$(PANDOC) $(PANDOC_FLAGS) -o $@ $< | |
# 生成するPDFの名前 (拡張子は不要) | |
TARGET = test | |
# LaTeXコマンド | |
LATEX = platex |
#include <OpenNI.h> | |
#include <opencv2/opencv.hpp> | |
#include <vector> | |
#include <stdexcept> | |
int main(int argc,char* argv[]) | |
{ | |
try { | |
openni::Status ret = openni::OpenNI::initialize(); | |
if ( ret != openni::STATUS_OK ) { |