MARK P. JONES
Pacific Software Research Center
Department of Computer Science and Engineering
Oregon Graduate Institute of Science and Technology
String.prototype.fixSpaces = function () { | |
return this.replace(/\s+/g, ' ').trim(); | |
}; | |
var Symbol = String; | |
function tokenize(s) { | |
return s.replace(/([()])/g, ' $1 ').fixSpaces().split(' '); | |
}; |
(define (mceval exp env) | |
(cond ((self-evaluating? exp) exp) | |
((variable? exp) (lookup-variable-value exp env)) | |
((quoted? exp) (text-of-quotation exp)) | |
((assignment? exp) (eval-assignment exp env)) | |
((definition? exp) (eval-definition exp env)) | |
((if? exp) (eval-if exp env)) | |
((lambda? exp) | |
(make-procedure (lambda-parameters exp) | |
(lambda-body exp) |
# helpers | |
inc = (x) -> x + 1 | |
church = (n) -> | |
if n > 0 | |
succ church n-1 | |
else | |
zero |
module Fantom where | |
data NoPower | |
data Power | |
data Product = Donut | Bread deriving Show | |
data Oven product power = Oven product | |
oven :: Product -> Oven Product NoPower |
module dff_async_reset ( | |
input wire reset, | |
input wire clock, | |
input wire [7:0] input_data, | |
output reg [7:0] output_data | |
); | |
always @(posedge clock or posedge reset) | |
if (reset) | |
output_data <= 0; |
-module(index). | |
-export([main/0, title/0, body/0, event/1]). | |
-include_lib("nitrogen_core/include/wf.hrl"). | |
-include("records.hrl"). | |
main() -> #template { file="./site/templates/bare.html" }. | |
title() -> "Simple Chat". | |
body() -> |
// ==UserScript== | |
// @name DuckDuckGo Explicit | |
// @namespace https://gist.github.com/b0oh/01354c52e2b66ccbf7a8 | |
// @include https://duckduckgo.com/* | |
// @version 1 | |
// @grant none | |
// ==/UserScript== | |
$(document).ready(function () { | |
var results = $('.results'); |
{-# LANGUAGE OverloadedStrings #-} | |
{-# LANGUAGE QuasiQuotes #-} | |
{-# LANGUAGE TemplateHaskell #-} | |
{-# LANGUAGE TypeFamilies #-} | |
import Yesod | |
data Links = Links | |
mkYesod "Links" [parseRoutes| | |
/ HomeR GET |
#define __STDC_CONSTANT_MACROS | |
#include <stdint.h> | |
#include <inttypes.h> | |
#include <windows.h> | |
#include <stdio.h> | |
extern "C" | |
{ | |
#include <libavfilter/avfilter.h> |