Skip to content

Instantly share code, notes, and snippets.

@esynr3z
esynr3z / Dockerfile
Last active August 17, 2022 16:50
iverilog-pls vs iverilog-v11
# Prepare system
FROM ubuntu:20.04
RUN apt-get update
RUN apt-get install -y build-essential flex gperf bison libreadline6-dev libncurses5-dev autoconf
# Copy sources
COPY ./iverilog /src/iverilog
COPY ./pint_iverilog /src/pint_iverilog
WORKDIR /src
@esynr3z
esynr3z / install_modelsim.sh
Last active June 30, 2023 03:40
Install Modelsim 20.1.0 on Ubuntu 20.04
sudo dpkg --add-architecture i386
sudo apt update
sudo apt install -y libc6:i386 libxtst6:i386 libncurses5:i386 libxft2:i386 libstdc++6:i386 libc6-dev-i386 lib32z1 libqt5xml5 liblzma-dev
wget https://download.altera.com/akdlm/software/acdsinst/20.1std/711/ib_installers/ModelSimSetup-20.1.0.711-linux.run
chmod +x ModelSimSetup-20.1.0.711-linux.run
./ModelSimSetup-20.1.0.711-linux.run --mode unattended --accept_eula 1 --installdir $HOME/ModelSim-20.1.0 --unattendedmodeui none
# Here you need to add "$HOME/ModelSim-20.1.0/modelsim_ase/bin" to your PATH