Skip to content

Instantly share code, notes, and snippets.

View expipiplus1's full-sized avatar
🙀
Trying to `fix error` in Haskell

Ellie Hermaszewska expipiplus1

🙀
Trying to `fix error` in Haskell
View GitHub Profile
component unnamed is
port (
amm_ready_0 : out std_logic; -- waitrequest_n
amm_read_0 : in std_logic := 'X'; -- read
amm_write_0 : in std_logic := 'X'; -- write
amm_address_0 : in std_logic_vector(24 downto 0) := (others => 'X'); -- address
amm_readdata_0 : out std_logic_vector(575 downto 0); -- readdata
amm_writedata_0 : in std_logic_vector(575 downto 0) := (others => 'X'); -- writedata
amm_burstcount_0 : in std_logic_vector(6 downto 0) := (others => 'X'); -- burstcount
amm_byteenable_0 : in std_logic_vector(71 downto 0) := (others => 'X'); -- byteenable
{-# LANGUAGE DataKinds #-}
{-# LANGUAGE MagicHash #-}
{-# LANGUAGE NoImplicitPrelude #-}
module NN where
import Availability
import CLaSH.Annotations.TopEntity
import CLaSH.Prelude
import CLaSH.Prelude.Explicit
module Main
( main
) where
import GHC.IO.Exception
import System.IO
import System.IO.Error
import System.Process.Typed
main :: IO ()
let
pkgs = import <nixpkgs> {};
d = {stdenv}:
stdenv.mkDerivation {
name = import (pkgs.runCommand "hello-output" {} ''
${pkgs.hello}/bin/hello -g '"d-name"'> $out
'');
buildCommand = "touch $out";
};
Nov 15 21:12:27 arria10 kernel[150]: fpga bridge driver
Nov 15 21:12:27 arria10 kernel[150]: socfpga_a10_fpga_manager ffd03000.fpgamgr: fpga manager [SoCFPGA Arria10 FPGA Manager] registered as minor 0
Nov 15 21:12:27 arria10 kernel[150]: dwmmc_socfpga ff808000.flash: Using internal DMA controller.
Nov 15 21:12:27 arria10 kernel[150]: dwmmc_socfpga ff808000.flash: Version ID is 270a
Nov 15 21:12:27 arria10 kernel[150]: dwmmc_socfpga ff808000.flash: DW MMC controller at irq 130, 32 bit host data width, 1024 deep fifo
Nov 15 21:12:27 arria10 kernel[150]: dwmmc_socfpga ff808000.flash: 1 slots initialized
set rtp+=/nix/store/jj0z2s0v5gsg1vmfp8rkgk2r3xsmsbpq-haskell-vim-2016-11-12
syntax on
filetype on
filetype plugin on
filetype indent on

"GET" /project/:projectName

Captures

  • projectName: Name of the project

Response

let
platform = crossPkgs.platforms.armv7l-hf-multiplatform;
crossSystem = {
config = "arm-linux-gnueabihf";
platform = platform;
libc = "glibc";
arch = "arm";
float = "hard";
fpu = "vfp";
@expipiplus1
expipiplus1 / A.hs
Last active October 27, 2016 11:30
{-# LANGUAGE GeneralizedNewtypeDeriving #-}
{-# LANGUAGE FlexibleContexts #-}
{-# LANGUAGE ConstrainedClassMethods #-}
module A where
class C m where
foo :: C m => m ()
newtype N m a = N (m a)
import Control.Monad (replicateM)
-- | Read an integer, n, on one line and read n values on subsequent lines
readCount :: Read a => IO [a]
readCount = do
n <- readLn
replicateM n readLn
main = do
ints <- readCount