Skip to content

Instantly share code, notes, and snippets.

Imports GridApp.Data
Public Class MyGridView
Inherits GridView
Protected Overrides Sub PrepareContainerForItemOverride(element As DependencyObject, item As Object)
Dim viewModel = DirectCast(item, SampleDataCommon)
element.SetValue(VariableSizedWrapGrid.ColumnSpanProperty, viewModel.ColumnSpan)
initial begin
$system("date +%s.%N");
end
@jz5
jz5 / gist:7510777
Last active December 28, 2015 13:49
$save sample
initial begin
#100; $display("a");
#100; $display("b");
$save("checkpoint");
#100; $display("c");
#100; $display("d");
end
@jz5
jz5 / gist:7510792
Created November 17, 2013 08:23
$restart sample
initial begin
integer fd;
fd = $fopen("checkpoint", "rb");
if (fd !== 0) begin
$fclose(fd);
$restart("checkpoint");
end
end
@jz5
jz5 / gist:7510802
Created November 17, 2013 08:24
$save sim time
initial begin
$save("checkpoint");
$display("CHECKPOINT"); // $save 呼び出しと sim 時間が同じ
end
@jz5
jz5 / gist:7510806
Created November 17, 2013 08:25
$bits sample
reg [7:0] r;
$display($bits(r)); // $bits(r): 8
$display($bits(1 << 8)); // $bits(1 << 8): 32
$display($bits(logic)); // $bits(logic): 1
@jz5
jz5 / gist:7510810
Created November 17, 2013 08:26
reg [$bits(r)-1:0] d;
reg [$bits(r)-1:0] d;
@jz5
jz5 / gist:7510823
Created November 17, 2013 08:27
file exists
integer fd;
fd = $fopen("filename", "rb");
if (fd !== 0) begin
$fclose(fd);
// ファイルあり!
end
@jz5
jz5 / gist:7510845
Created November 17, 2013 08:30
$test$plusargs sample
if ($test$plusargs("FOO")) begin
$display("FOO");
end
@jz5
jz5 / gist:7510849
Last active December 28, 2015 13:49
$value$plusargs sample
integer foo;
if ($value$plusargs("FOO=%d", foo)) begin
$display("FOO = %0d", foo);
end