Skip to content

Instantly share code, notes, and snippets.

@kahartma
Created February 8, 2017 00:51
Show Gist options
  • Save kahartma/268565892c85332655c8de42151d1295 to your computer and use it in GitHub Desktop.
Save kahartma/268565892c85332655c8de42151d1295 to your computer and use it in GitHub Desktop.
Display the source blob
Display the rendered blob
Raw
{
"metadata": {
"kernelspec": {
"display_name": "Python 2",
"language": "python",
"name": "python2"
},
"language_info": {
"codemirror_mode": {
"name": "ipython",
"version": 2
},
"file_extension": ".py",
"mimetype": "text/x-python",
"name": "python",
"nbconvert_exporter": "python",
"pygments_lexer": "ipython2",
"version": "2.7.12"
},
"name": ""
},
"nbformat": 3,
"nbformat_minor": 0,
"worksheets": [
{
"cells": [
{
"cell_type": "code",
"collapsed": false,
"input": [
"import numpy as np\n",
"import scipy\n",
"import random\n",
"\n",
"import logging\n",
"log = logging.getLogger()\n",
"log.setLevel(\"DEBUG\")\n",
"from braindecode.scripts.train_experiments import setup_logging\n",
"setup_logging()\n",
"\n",
"from braindecode.veganlasagne.layer_util import print_layers\n",
"\n",
"import os\n",
"from numpy.random import RandomState\n",
"\n",
"%load_ext autoreload\n",
"%autoreload 2"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "stream",
"stream": "stderr",
"text": [
"Using gpu device 0: GeForce GTX TITAN Black (CNMeM is disabled, cuDNN 5005)\n"
]
},
{
"output_type": "stream",
"stream": "stderr",
"text": [
"/home/hartmank/braindecode/vienv/local/lib/python2.7/site-packages/sklearn/cross_validation.py:44: DeprecationWarning: This module was deprecated in version 0.18 in favor of the model_selection module into which all the refactored classes and functions are moved. Also note that the interface of the new CV iterators are different from that of this module. This module will be removed in 0.20.\n",
" \"This module will be removed in 0.20.\", DeprecationWarning)\n"
]
}
],
"prompt_number": 1
},
{
"cell_type": "code",
"collapsed": true,
"input": [
"from matplotlib import pyplot as plt\n",
"import seaborn as sns"
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 2
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"import receptive_field\n",
"import analysis\n",
"import utils"
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 3
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"modelpath = '/home/hartmank/braindecode/data/models/'\n",
"modelname = 'paper/ours/cnt/deep4/car/22'\n",
"savepath = '/home/hartmank/data/convvisual/RF_data/'\n",
"RF_save = receptive_field.ReceptiveFieldInputsIO()"
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 4
},
{
"cell_type": "code",
"collapsed": true,
"input": [
"rng = RandomState(98787)"
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 5
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"KS_means = np.zeros((5,5,7))\n",
"KS_maxs = np.zeros((5,5,7))"
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 6
},
{
"cell_type": "code",
"collapsed": true,
"input": [
"cl = 0\n",
"cl = int(os.environ['EEGCLASS'])"
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 7
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"layers = [4,10,16,22,28]\n",
"feature_funcs = (analysis.get_frequency,analysis.get_frequency_change,analysis.get_offset,analysis.get_offset_change,analysis.get_phase_change,analysis.get_bandpower)\n",
"\n",
"for i,l in enumerate(layers):\n",
" filename = 'Layer%02d_nUnits200_nFilters05_filterdiff_traindata.data'%l\n",
" RF_data = RF_save.load(os.path.join(savepath,modelname,filename))\n",
" RF_results = RF_data.results[cl]\n",
" \n",
" classes = RF_data.classes\n",
" neg_classes = np.delete(classes,cl)\n",
" Inputs_baseline = np.array([])\n",
" for c in neg_classes:\n",
" Inputs_baseline = np.vstack([Inputs_baseline,RF_data.results[c].inputs]) if Inputs_baseline.size else RF_data.results[c].inputs\n",
" \n",
" n_chans = RF_results.n_chans\n",
" sampling_rate = RF_results.sampling_rate\n",
" \n",
" flip = False\n",
" for j,filt in enumerate(RF_results.max_filters):\n",
" X_RF_cropped = utils.get_RF_old(RF_results,j,n_chans) \n",
" \n",
" if not flip:\n",
" X_baseline = utils.cut_baseline(Inputs_baseline,3,X_RF_cropped.shape)\n",
" flip = True\n",
" \n",
" \n",
" features_class = list(utils.get_feature_vals(X_RF_cropped,feature_funcs,sampling_rate=sampling_rate))\n",
" features_class.append(np.angle(features_class[0])[:,:,1:]) #cut off phase 0Hz\n",
" features_class[0] = analysis.real_frequency(features_class[0])\n",
" \n",
" features_base = list(utils.get_feature_vals(X_baseline,feature_funcs,sampling_rate=sampling_rate))\n",
" features_base.append(np.angle(features_base[0])[:,:,1:]) #cut off phase 0Hz\n",
" features_base[0] = analysis.real_frequency(features_base[0])\n",
" \n",
" for k in range(KS_means.shape[2]):\n",
" feat_class = utils.create_flattened_featurearr([features_class[k]],shape=(features_class[0].shape[0],-1))\n",
" feat_base = utils.create_flattened_featurearr([features_base[k]],shape=(features_base[0].shape[0],-1))\n",
" print feat_class.shape,feat_base.shape\n",
" feat_diff,_ = utils.feat_diff_KS(feat_class,feat_base)\n",
" \n",
" KS_means[i,j,k] = np.mean(feat_diff)\n",
" KS_maxs[i,j,k] = np.max(feat_diff)"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "stream",
"stream": "stderr",
"text": [
"utils.py:92: VisibleDeprecationWarning: using a non-integer number instead of an integer will result in an error in the future\n",
" tmp = inputs[i,:,ind_start[i,j]:ind_end[i,j]]\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 640) (4026, 640)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 256) (4026, 256)\n",
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 512) (4026, 512)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 640) (4026, 640)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 256) (4026, 256)\n",
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 512) (4026, 512)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 640) (4026, 640)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 256) (4026, 256)\n",
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 512) (4026, 512)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 640) (4026, 640)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 256) (4026, 256)\n",
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 512) (4026, 512)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 640) (4026, 640)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 256) (4026, 256)\n",
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 512) (4026, 512)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 2432) (4026, 2432)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 1152) (4026, 1152)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 1024) (4026, 1024)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 2304) (4026, 2304)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 2432) (4026, 2432)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 1152) (4026, 1152)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 1024) (4026, 1024)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 2304) (4026, 2304)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 2432) (4026, 2432)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 1152) (4026, 1152)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 1024) (4026, 1024)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 2304) (4026, 2304)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 2432) (4026, 2432)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 1152) (4026, 1152)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 1024) (4026, 1024)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 2304) (4026, 2304)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 2432) (4026, 2432)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 1152) (4026, 1152)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 1024) (4026, 1024)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 2304) (4026, 2304)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 8064) (4026, 8064)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 3968) (4026, 3968)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 3840) (4026, 3840)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 7936) (4026, 7936)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 8064) (4026, 8064)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 3968) (4026, 3968)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 3840) (4026, 3840)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 7936) (4026, 7936)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 8064) (4026, 8064)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 3968) (4026, 3968)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 3840) (4026, 3840)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 7936) (4026, 7936)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 8064) (4026, 8064)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 3968) (4026, 3968)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 3840) (4026, 3840)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 7936) (4026, 7936)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 8064) (4026, 8064)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 3968) (4026, 3968)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 3840) (4026, 3840)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 7936) (4026, 7936)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 24704) (4026, 24704)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 12288) (4026, 12288)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 12160) (4026, 12160)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 24576) (4026, 24576)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 24704) (4026, 24704)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 12288) (4026, 12288)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 12160) (4026, 12160)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 24576) (4026, 24576)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 24704) (4026, 24704)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 12288) (4026, 12288)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 12160) (4026, 12160)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 24576) (4026, 24576)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 24704) (4026, 24704)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 12288) (4026, 12288)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 12160) (4026, 12160)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 24576) (4026, 24576)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 24704) (4026, 24704)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 12288) (4026, 12288)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n",
"(200, 128) (4026, 128)\n",
"(200, 12160) (4026, 12160)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(200, 24576) (4026, 24576)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(334, 33408) (4026, 33408)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(334, 16640) (4026, 16640)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(334, 128) (4026, 128)\n",
"(334, 128) (4026, 128)\n",
"(334, 16512) (4026, 16512)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(334, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(334, 33280) (4026, 33280)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(171, 33408) (4026, 33408)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(171, 16640) (4026, 16640)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(171, 128) (4026, 128)\n",
"(171, 128) (4026, 128)\n",
"(171, 16512) (4026, 16512)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(171, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(171, 33280) (4026, 33280)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(171, 33408) (4026, 33408)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(171, 16640) (4026, 16640)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(171, 128) (4026, 128)\n",
"(171, 128) (4026, 128)\n",
"(171, 16512) (4026, 16512)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(171, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(171, 33280) (4026, 33280)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(124, 33408) (4026, 33408)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(124, 16640) (4026, 16640)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(124, 128) (4026, 128)\n",
"(124, 128) (4026, 128)\n",
"(124, 16512) (4026, 16512)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(124, 128) (4026, 128)\n"
]
},
{
"output_type": "stream",
"stream": "stdout",
"text": [
"(124, 33280) (4026, 33280)\n"
]
}
],
"prompt_number": 8
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"plt.plot(KS_means.mean(axis=1))\n",
"plt.legend(['FFT','FFTc','Mean','Meanc','Phasec','Power','Phase'])\n",
"plt.show()\n",
"plt.plot(KS_maxs.mean(axis=1))\n",
"plt.legend(['FFT','FFTc','Mean','Meanc','Phasec','Power','Phase'])\n",
"plt.show()"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAq4AAAHcCAYAAAAX/ShRAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nEEKISvg/jkJLm4Y2qSQAAAAASUVORK5CYII=\n",
"text": [
"<matplotlib.figure.Figure at 0x2b3c1f1072d0>"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAqYAAAHcCAYAAAAEKmilAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n06v3AAkAAAAASUVORK5CYII=\n",
"text": [
"<matplotlib.figure.Figure at 0x2b3c275dfed0>"
]
}
],
"prompt_number": 9
},
{
"cell_type": "code",
"collapsed": true,
"input": [],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 10
}
],
"metadata": {}
}
]
}
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment