Skip to content

Instantly share code, notes, and snippets.

@mgeier
Last active December 19, 2015 17:19
Show Gist options
  • Save mgeier/5990729 to your computer and use it in GitHub Desktop.
Save mgeier/5990729 to your computer and use it in GitHub Desktop.
Bug in scikits.audiolab 0.11.0
Display the source blob
Display the rendered blob
Raw
{
"metadata": {
"name": "audiolab_bug"
},
"nbformat": 3,
"nbformat_minor": 0,
"worksheets": [
{
"cells": [
{
"cell_type": "heading",
"level": 1,
"metadata": {},
"source": [
"Bug in scikits.audiolab 0.11.0"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Issue on Github: https://github.com/cournape/audiolab/issues/3"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"%pylab inline\n",
"from scikits.audiolab import Sndfile, Format\n",
"from contextlib import closing"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "stream",
"stream": "stdout",
"text": [
"\n",
"Welcome to pylab, a matplotlib-based Python environment [backend: module://IPython.zmq.pylab.backend_inline].\n",
"For more information, type 'help(pylab)'.\n"
]
}
],
"prompt_number": 1
},
{
"cell_type": "heading",
"level": 2,
"metadata": {},
"source": [
"read_frames() with one argument"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"with closing(Sndfile('test_wav_float32.wav')) as f:\n",
" a = f.read_frames(f.nframes)\n",
"\n",
"set_printoptions(precision=3)\n",
"print(a)\n",
"\n",
"plot(a)\n",
"show()"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "stream",
"stream": "stdout",
"text": [
"[[ 1. 0.857 0.714 0.571 0.429 0.286 0.143]\n",
" [ 0.901 0.534 0.159 -0.127 -0.267 -0.257 -0.143]\n",
" [ 0.623 -0.191 -0.644 -0.515 -0.095 0.178 0.143]\n",
" [ 0.223 -0.772 -0.445 0.356 0.386 -0.064 -0.143]\n",
" [-0.223 -0.772 0.445 0.356 -0.386 -0.064 0.143]\n",
" [-0.623 -0.191 0.644 -0.515 0.095 0.178 -0.143]\n",
" [-0.901 0.534 -0.159 -0.127 0.267 -0.257 0.143]\n",
" [-1. 0.857 -0.714 0.571 -0.429 0.286 -0.143]\n",
" [-0.901 0.534 -0.159 -0.127 0.267 -0.257 0.143]\n",
" [-0.623 -0.191 0.644 -0.515 0.095 0.178 -0.143]\n",
" [-0.223 -0.772 0.445 0.356 -0.386 -0.064 0.143]\n",
" [ 0.223 -0.772 -0.445 0.356 0.386 -0.064 -0.143]\n",
" [ 0.623 -0.191 -0.644 -0.515 -0.095 0.178 0.143]\n",
" [ 0.901 0.534 0.159 -0.127 -0.267 -0.257 -0.143]\n",
" [ 1. 0.857 0.714 0.571 0.429 0.286 0.143]]\n"
]
},
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAX0AAAD9CAYAAABQvqc9AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRETSFwRB6ERE0hcEQehERNIXBEHoRP4fQaEbVJYwgrUAAAAASUVORK5CYII=\n"
}
],
"prompt_number": 2
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Everything looks fine up to now!"
]
},
{
"cell_type": "heading",
"level": 2,
"metadata": {},
"source": [
"read_frames() with additional \"dtype\" argument"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"with closing(Sndfile('test_wav_float32.wav')) as f:\n",
" b = f.read_frames(f.nframes, dtype=float32)\n",
" \n",
"plot(b)\n",
"show()"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAX0AAAD9CAYAAABQvqc9AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n"
}
],
"prompt_number": 3
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"Wow, something went wrong here!"
]
},
{
"cell_type": "heading",
"level": 2,
"metadata": {},
"source": [
"Version"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"from scikits import audiolab\n",
"audiolab.version.version"
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "pyout",
"prompt_number": 4,
"text": [
"'0.11.0'"
]
}
],
"prompt_number": 4
}
],
"metadata": {}
}
]
}
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment