Skip to content

Instantly share code, notes, and snippets.

View moaminsharifi's full-sized avatar
🎆
HARDCODE (not CORE)

Amin Sharifi moaminsharifi

🎆
HARDCODE (not CORE)
View GitHub Profile
@moaminsharifi
moaminsharifi / pandas-vs-modin-in-read_csv.md
Created December 1, 2019 09:11
pandas vs modin in read_csv

pandas vs modin in read_csv

datasets

system:

  • i5-6400
  • 24gb ram 2400mhz
  • samsung 860 evo ssd
  • gtx 10603gb
@moaminsharifi
moaminsharifi / pandas-vs-modin-in-concate.md
Created December 1, 2019 10:14
pandas-vs-modin-in-concate

pandas vs modin in concate

datasets

system:

  • i5-6400
  • 24gb ram 2400mhz
  • samsung 860 evo ssd
  • gtx 10603gb

pandas vs modin in fillna

datasets

system:

  • i5-6400
  • 24gb ram 2400mhz
  • samsung 860 evo ssd
  • gtx 10603gb
@moaminsharifi
moaminsharifi / Install h2o4gpu and tpot on google colab (GPU)
Last active December 29, 2021 11:27
how to install h2o4gpu on colab and use colab gpu for Machine Learning algorithm
# How To Install h2o4gpu and Tpot in colab?
1. export some env variable
2. install linux packges
3. uninstall sklearn and install python packges
4. enjoy fast auto ML with gpu
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@moaminsharifi
moaminsharifi / hog.py
Created June 4, 2020 05:08 — forked from aishwarya-singh25/hog.py
HOG feature Descriptor
#creating hog features
fd, hog_image = hog(resized_img, orientations=9, pixels_per_cell=(8, 8),
cells_per_block=(2, 2), visualize=True, multichannel=True)
@moaminsharifi
moaminsharifi / table-en.md
Last active June 21, 2020 09:33
A Step-by-Step Introduction to the Basic Object Detection Algorithms (Part 1) RCNN - Fast RCNN - Faster RCNN ــ پیشنهاد شی زمان بر هست و سیستم های مختلف یکی پس از دیگری کار میکنند ( به صورت توالی) که این باعث میشود کارایی کلی سیستم وابسته به توالی بین لایه ها داشته باشد. https://bigm.ir/a-step-by-step-introduction-to-the-basic-object-detection-a…
CNN
Algorithm Features Prediction time / image Limitations
@moaminsharifi
moaminsharifi / face_landmark_with_openCV.ipynb
Last active September 15, 2020 15:15
Simple Face Land Mark with OpenCV
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@moaminsharifi
moaminsharifi / half_adder.vhd
Created October 10, 2020 15:34
Half Adder Module in VHDL (from: www.nandland.com)
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity half_adder is
port (
i_bit1 : in std_logic;
i_bit2 : in std_logic;
--
o_sum : out std_logic;
@moaminsharifi
moaminsharifi / spotify_ad_blocker.md
Created October 16, 2020 05:38
#spotify ad blocker /etc/hosts

#spotify ad blocker

edit /etc/hosts on linux

c:\windows\system32\drivers\etc\hosts

127.0.0.1 media-match.com
127.0.0.1 adclick.g.doublecklick.net
127.0.0.1 www.googleadservices.com
127.0.0.1 open.spotify.com
127.0.0.1 pagead2.googlesyndication.com