This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#include <SPI.h> | |
#include "LedMatrix.h" | |
#define NUMBER_OF_DEVICES 1 | |
#define CS_PIN D8 | |
LedMatrix ledMatrix = LedMatrix(NUMBER_OF_DEVICES, CS_PIN); | |
void setup() { | |
Serial.begin(115200); // For debugging output | |
ledMatrix.init(); |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#include <SPI.h> | |
#include "LedMatrix.h" | |
#define NUMBER_OF_DEVICES 1 | |
#define CS_PIN D8 | |
LedMatrix ledMatrix = LedMatrix(NUMBER_OF_DEVICES, CS_PIN); | |
void setup() { | |
Serial.begin(115200); // For debugging output | |
ledMatrix.init(); |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#include <ESP8266WiFi.h> | |
#include <WiFiClientSecure.h> | |
#define pin1 D0 | |
#define pin2 D1 | |
#define pin3 D2 | |
const char* ssid = "Ollydbg"; | |
const char* password = "11223344"; | |
String thingKey = "xxxxxx"; | |
const char* host = "api.thingspeak.com"; | |
const int httpsPort = 443; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#include <SPI.h> | |
#include "LedMatrix.h" | |
#include "ClosedCube_HDC1080.h" | |
ClosedCube_HDC1080 hdc1080; | |
#define NUMBER_OF_DEVICES 1 | |
#define CS_PIN D8 | |
LedMatrix ledMatrix = LedMatrix(NUMBER_OF_DEVICES, CS_PIN); | |
void setup() { | |
Serial.begin(115200); // For debugging output |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
/* | |
* HTTP over TLS (HTTPS) example sketch | |
* | |
* This example demonstrates how to use | |
* WiFiClientSecure class to access HTTPS API. | |
* We fetch and display the status of | |
* esp8266/Arduino project continuous integration | |
* build. | |
* | |
* Created by Ivan Grokhotkov, 2015. |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#include <ESP8266WiFi.h> | |
#include <WiFiClientSecure.h> | |
#include <Wire.h> | |
#include "ClosedCube_HDC1080.h" | |
ClosedCube_HDC1080 hdc1080; | |
int StepCount = 1; | |
String thinkKey = "HIMSN43MQO0YURAJ"; | |
const char* ssid = "kk"; | |
const char* password = "12345678"; | |
const char* host = "api.thingspeak.com"; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.std_logic_unsigned.all; | |
entity Counter is | |
Port ( clk : in STD_LOGIC; | |
reset : in STD_LOGIC; | |
Outport : out STD_LOGIC_VECTOR (6 downto 0); | |
Outport2 : out STD_LOGIC_VECTOR (6 downto 0)); | |
end Counter; | |
architecture Behavioral of Counter is |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.std_logic_unsigned.all; | |
entity Counter is | |
Port ( Clk : in STD_LOGIC; | |
Enable : in STD_LOGIC; | |
UpDown : in STD_LOGIC; | |
Reset : in STD_LOGIC; | |
Output : out STD_LOGIC_VECTOR (6 downto 0)); |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
for(int i = 0; i < data; i++){ | |
for(int j = 0; j < data; j++){ | |
if(!(j<2 || i<2 || i>=data-2 || j>=data-2)){ | |
System.out.print(" X"); | |
}else{ | |
System.out.print(" "); | |
} | |
} |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
/* | |
This example is meant to be used as a starting point | |
for working with Anto.io services | |
2017/06/17 | |
by Anto.io team | |
*/ | |
#include <AntoIO.h> |
OlderNewer