Skip to content

Instantly share code, notes, and snippets.

@naotokui
Created June 29, 2017 01:01
Show Gist options
  • Star 14 You must be signed in to star a gist
  • Fork 4 You must be signed in to fork a gist
  • Save naotokui/2201cf1cab6608aee18d34c0ea748f84 to your computer and use it in GitHub Desktop.
Save naotokui/2201cf1cab6608aee18d34c0ea748f84 to your computer and use it in GitHub Desktop.
Conditional VAE in Keras
Display the source blob
Display the rendered blob
Raw
{
"cells": [
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# Conditional Variational Autoencoder in Keras \n",
"\n",
"http://wiseodd.github.io/techblog/2016/12/17/conditional-vae/\n"
]
},
{
"cell_type": "code",
"execution_count": 1,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"Using TensorFlow backend.\n"
]
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"Extracting MNIST_data/train-images-idx3-ubyte.gz\n",
"Extracting MNIST_data/train-labels-idx1-ubyte.gz\n",
"Extracting MNIST_data/t10k-images-idx3-ubyte.gz\n",
"Extracting MNIST_data/t10k-labels-idx1-ubyte.gz\n",
"784 10\n"
]
}
],
"source": [
"from tensorflow.examples.tutorials.mnist import input_data\n",
"from keras.layers import Input, Dense, Lambda, merge\n",
"from keras.models import Model\n",
"from keras.objectives import binary_crossentropy\n",
"from keras.callbacks import LearningRateScheduler\n",
"\n",
"import numpy as np\n",
"import matplotlib.pyplot as plt\n",
"import keras.backend as K\n",
"import tensorflow as tf\n",
"\n",
"\n",
"m = 50 # minibatch size?\n",
"n_z = 2 # dimention of latent space\n",
"n_epoch = 30\n",
"\n",
"mnist = input_data.read_data_sets(\"MNIST_data/\", one_hot=True)\n",
"\n",
"X_train, y_train = mnist.train.images, mnist.train.labels\n",
"X_test, y_test = mnist.test.images, mnist.test.labels\n",
"\n",
"n_x = X_train.shape[1] # 784\n",
"n_y = y_train.shape[1] # 10\n",
"\n",
"print n_x, n_y\n",
"\n",
"# Q(z|X) -- encoder\n",
"X = Input(batch_shape=(m, n_x)) # size of MNIST images\n",
"cond = Input(batch_shape=(m, n_y))\n",
"\n",
"inputs = merge([X, cond], mode='concat', concat_axis=1)\n",
"h_q = Dense(512, activation='relu')(inputs)\n",
"mu = Dense(n_z, activation='linear')(h_q)\n",
"log_sigma = Dense(n_z, activation='linear')(h_q)\n",
"\n",
"def sample_z(args):\n",
" mu, log_sigma = args\n",
" eps = K.random_normal(shape=(m, n_z), mean=0., std=1.)\n",
" return mu + K.exp(log_sigma / 2.) * eps\n",
"\n",
"z = Lambda(sample_z)([mu, log_sigma])\n",
"z_cond = merge([z, cond], mode='concat', concat_axis=1) # <--- NEW!"
]
},
{
"cell_type": "code",
"execution_count": 2,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"# P(X|z) -- decoder\n",
"decoder_hidden = Dense(512, activation='relu')\n",
"decoder_out = Dense(784, activation='sigmoid')\n",
"\n",
"h_p = decoder_hidden(z_cond)\n",
"outputs = decoder_out(h_p)"
]
},
{
"cell_type": "code",
"execution_count": 3,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"# Overall VAE model, for reconstruction and training\n",
"vae = Model([X, cond], outputs)\n",
"\n",
"# Encoder model, to encode input into latent variable\n",
"# We use the mean as the output as it is the center point, the representative of the gaussian\n",
"encoder = Model([X, cond], mu)\n",
"\n",
"# Generator model, generate new data given latent variable z\n",
"d_cond = Input(shape=(n_y,))\n",
"d_z = Input(shape=(n_z,))\n",
"d_inputs = merge([d_z, d_cond], mode='concat', concat_axis=1)\n",
"d_h = decoder_hidden(d_inputs)\n",
"d_out = decoder_out(d_h)\n",
"decoder = Model([d_z, d_cond], d_out)"
]
},
{
"cell_type": "code",
"execution_count": 4,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"def vae_loss(y_true, y_pred):\n",
" \"\"\" Calculate loss = reconstruction loss + KL loss for eatch data in minibatch \"\"\"\n",
" # E[log P(X|z)]\n",
" recon = K.sum(K.binary_crossentropy(y_pred, y_true), axis=1)\n",
" # D_KL(Q(z|X) || P(z|X)); calculate in closed from as both dist. are Gaussian\n",
" kl = 0.5 * K.sum(K.exp(log_sigma) + K.square(mu) - 1. - log_sigma, axis=1)\n",
" \n",
" return recon + kl"
]
},
{
"cell_type": "code",
"execution_count": 6,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Train on 49500 samples, validate on 5500 samples\n",
"Epoch 1/200\n",
"49500/49500 [==============================] - 15s - loss: 137.9083 - val_loss: 135.6084\n",
"Epoch 2/200\n",
"49500/49500 [==============================] - 14s - loss: 136.5206 - val_loss: 134.5766\n",
"Epoch 3/200\n",
"49500/49500 [==============================] - 14s - loss: 135.6138 - val_loss: 134.0674\n",
"Epoch 4/200\n",
"49500/49500 [==============================] - 14s - loss: 134.8819 - val_loss: 133.3267\n",
"Epoch 5/200\n",
"49500/49500 [==============================] - 14s - loss: 134.3215 - val_loss: 132.8428\n",
"Epoch 6/200\n",
"49500/49500 [==============================] - 14s - loss: 133.8154 - val_loss: 132.5298\n",
"Epoch 7/200\n",
"49500/49500 [==============================] - 14s - loss: 133.4274 - val_loss: 132.2938\n",
"Epoch 8/200\n",
"49500/49500 [==============================] - 15s - loss: 133.1127 - val_loss: 131.8600\n",
"Epoch 9/200\n",
"49500/49500 [==============================] - 15s - loss: 132.7737 - val_loss: 131.6924\n",
"Epoch 10/200\n",
"49500/49500 [==============================] - 15s - loss: 132.5264 - val_loss: 131.5100\n",
"Epoch 11/200\n",
"49500/49500 [==============================] - 15s - loss: 132.2754 - val_loss: 131.4352\n",
"Epoch 12/200\n",
"49500/49500 [==============================] - 15s - loss: 132.0826 - val_loss: 131.2213\n",
"Epoch 13/200\n",
"49500/49500 [==============================] - 14s - loss: 131.8900 - val_loss: 131.2285\n",
"Epoch 14/200\n",
"49500/49500 [==============================] - 15s - loss: 131.6712 - val_loss: 130.7224\n",
"Epoch 15/200\n",
"49500/49500 [==============================] - 15s - loss: 131.5225 - val_loss: 130.9763\n",
"Epoch 16/200\n",
"49500/49500 [==============================] - 15s - loss: 131.3861 - val_loss: 130.7968\n",
"Epoch 17/200\n",
"49500/49500 [==============================] - 15s - loss: 131.2142 - val_loss: 130.8915\n",
"Epoch 18/200\n",
"49500/49500 [==============================] - 15s - loss: 131.1074 - val_loss: 130.5177\n",
"Epoch 19/200\n",
"49500/49500 [==============================] - 15s - loss: 130.9767 - val_loss: 130.7766\n",
"Epoch 20/200\n",
"49500/49500 [==============================] - 17s - loss: 130.8435 - val_loss: 130.7809\n",
"Epoch 21/200\n",
"49500/49500 [==============================] - 17s - loss: 130.7425 - val_loss: 130.4382\n",
"Epoch 22/200\n",
"49500/49500 [==============================] - 15s - loss: 130.6048 - val_loss: 130.5166\n",
"Epoch 23/200\n",
"49500/49500 [==============================] - 16s - loss: 130.5164 - val_loss: 130.0970\n",
"Epoch 24/200\n",
"49500/49500 [==============================] - 16s - loss: 130.3904 - val_loss: 130.3945\n",
"Epoch 25/200\n",
"49500/49500 [==============================] - 16s - loss: 130.2870 - val_loss: 130.3284\n",
"Epoch 26/200\n",
"49500/49500 [==============================] - 16s - loss: 130.1616 - val_loss: 130.3388\n",
"Epoch 27/200\n",
"49500/49500 [==============================] - 16s - loss: 130.1057 - val_loss: 130.1869\n",
"Epoch 28/200\n",
"49500/49500 [==============================] - 16s - loss: 130.0076 - val_loss: 130.0874\n",
"Epoch 29/200\n",
"49500/49500 [==============================] - 16s - loss: 129.9216 - val_loss: 130.1133\n",
"Epoch 30/200\n",
"49500/49500 [==============================] - 16s - loss: 129.8405 - val_loss: 130.2667\n",
"Epoch 31/200\n",
"49500/49500 [==============================] - 16s - loss: 129.7317 - val_loss: 129.9085\n",
"Epoch 32/200\n",
"49500/49500 [==============================] - 16s - loss: 129.6628 - val_loss: 130.1768\n",
"Epoch 33/200\n",
"49500/49500 [==============================] - 16s - loss: 129.6188 - val_loss: 130.0617\n",
"Epoch 34/200\n",
"49500/49500 [==============================] - 17s - loss: 129.5200 - val_loss: 130.3366\n",
"Epoch 35/200\n",
"49500/49500 [==============================] - 16s - loss: 129.4461 - val_loss: 129.9790\n",
"Epoch 36/200\n",
"49500/49500 [==============================] - 15s - loss: 129.3573 - val_loss: 129.8545\n",
"Epoch 37/200\n",
"49500/49500 [==============================] - 15s - loss: 129.3132 - val_loss: 129.8702\n",
"Epoch 38/200\n",
"49500/49500 [==============================] - 15s - loss: 129.2232 - val_loss: 129.8541\n",
"Epoch 39/200\n",
"49500/49500 [==============================] - 16s - loss: 129.1453 - val_loss: 130.1594\n",
"Epoch 40/200\n",
"49500/49500 [==============================] - 14s - loss: 129.0752 - val_loss: 129.9074\n",
"Epoch 41/200\n",
"49500/49500 [==============================] - 15s - loss: 129.0437 - val_loss: 129.8531\n",
"Epoch 42/200\n",
"49500/49500 [==============================] - 15s - loss: 128.9666 - val_loss: 129.8445\n",
"Epoch 43/200\n",
"49500/49500 [==============================] - 16s - loss: 128.9105 - val_loss: 130.0421\n",
"Epoch 44/200\n",
"49500/49500 [==============================] - 16s - loss: 128.8636 - val_loss: 129.6990\n",
"Epoch 45/200\n",
"49500/49500 [==============================] - 14s - loss: 128.7981 - val_loss: 129.7255\n",
"Epoch 46/200\n",
"49500/49500 [==============================] - 15s - loss: 128.7616 - val_loss: 129.9555\n",
"Epoch 47/200\n",
"49500/49500 [==============================] - 14s - loss: 128.6786 - val_loss: 129.8646\n",
"Epoch 48/200\n",
"49500/49500 [==============================] - 14s - loss: 128.6151 - val_loss: 129.7133\n",
"Epoch 49/200\n",
"49500/49500 [==============================] - 14s - loss: 128.6035 - val_loss: 129.8153\n",
"Epoch 50/200\n",
"49500/49500 [==============================] - 14s - loss: 128.5407 - val_loss: 130.0285\n",
"Epoch 51/200\n",
"49500/49500 [==============================] - 14s - loss: 128.5274 - val_loss: 130.0896\n",
"Epoch 52/200\n",
"49500/49500 [==============================] - 14s - loss: 128.4374 - val_loss: 129.8709\n",
"Epoch 53/200\n",
"49500/49500 [==============================] - 14s - loss: 128.4081 - val_loss: 129.8762\n",
"Epoch 54/200\n",
"49500/49500 [==============================] - 14s - loss: 128.3629 - val_loss: 129.9238\n",
"Epoch 55/200\n",
"49500/49500 [==============================] - 14s - loss: 128.3252 - val_loss: 129.9790\n",
"Epoch 56/200\n",
"49500/49500 [==============================] - 14s - loss: 128.2698 - val_loss: 129.8766\n",
"Epoch 57/200\n",
"49500/49500 [==============================] - 15s - loss: 128.2244 - val_loss: 130.0016\n",
"Epoch 58/200\n",
"49500/49500 [==============================] - 14s - loss: 128.1858 - val_loss: 129.8597\n",
"Epoch 59/200\n",
"49500/49500 [==============================] - 15s - loss: 128.1625 - val_loss: 130.1001\n",
"Epoch 60/200\n",
"49500/49500 [==============================] - 15s - loss: 128.0951 - val_loss: 129.7517\n",
"Epoch 61/200\n",
"49500/49500 [==============================] - 14s - loss: 128.1078 - val_loss: 129.9192\n",
"Epoch 62/200\n",
"49500/49500 [==============================] - 15s - loss: 128.0159 - val_loss: 129.8667\n",
"Epoch 63/200\n",
"49500/49500 [==============================] - 15s - loss: 127.9952 - val_loss: 130.0722\n",
"Epoch 64/200\n",
"49500/49500 [==============================] - 14s - loss: 127.9285 - val_loss: 129.9449\n",
"Epoch 65/200\n",
"49500/49500 [==============================] - 14s - loss: 127.9288 - val_loss: 129.8379\n",
"Epoch 66/200\n",
"49500/49500 [==============================] - 14s - loss: 127.8919 - val_loss: 130.0142\n",
"Epoch 67/200\n",
"49500/49500 [==============================] - 15s - loss: 127.8498 - val_loss: 129.9107\n",
"Epoch 68/200\n",
"49500/49500 [==============================] - 16s - loss: 127.8069 - val_loss: 129.8713\n",
"Epoch 69/200\n",
"49500/49500 [==============================] - 15s - loss: 127.8012 - val_loss: 129.9799\n",
"Epoch 70/200\n",
"49500/49500 [==============================] - 15s - loss: 127.7588 - val_loss: 129.9048\n",
"Epoch 71/200\n",
"49500/49500 [==============================] - 14s - loss: 127.7215 - val_loss: 129.9788\n",
"Epoch 72/200\n",
"49500/49500 [==============================] - 14s - loss: 127.6983 - val_loss: 129.7742\n",
"Epoch 73/200\n",
"49500/49500 [==============================] - 14s - loss: 127.6471 - val_loss: 129.9289\n",
"Epoch 74/200\n",
"49500/49500 [==============================] - 14s - loss: 127.6232 - val_loss: 130.1457\n",
"Epoch 75/200\n",
"49500/49500 [==============================] - 14s - loss: 127.5908 - val_loss: 129.9356\n",
"Epoch 76/200\n",
"49500/49500 [==============================] - 14s - loss: 127.5661 - val_loss: 129.9611\n",
"Epoch 77/200\n",
"49500/49500 [==============================] - 14s - loss: 127.5602 - val_loss: 129.9345\n",
"Epoch 78/200\n",
"49500/49500 [==============================] - 14s - loss: 127.5018 - val_loss: 130.1664\n",
"Epoch 79/200\n",
"49500/49500 [==============================] - 14s - loss: 127.5071 - val_loss: 129.9555\n",
"Epoch 80/200\n"
]
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"49500/49500 [==============================] - 14s - loss: 127.4751 - val_loss: 129.9477\n",
"Epoch 81/200\n",
"49500/49500 [==============================] - 14s - loss: 127.4536 - val_loss: 130.0634\n",
"Epoch 82/200\n",
"49500/49500 [==============================] - 14s - loss: 127.3850 - val_loss: 130.2444\n",
"Epoch 83/200\n",
"49500/49500 [==============================] - 14s - loss: 127.3811 - val_loss: 130.0860\n",
"Epoch 84/200\n",
"49500/49500 [==============================] - 14s - loss: 127.3428 - val_loss: 130.0622\n",
"Epoch 85/200\n",
"49500/49500 [==============================] - 15s - loss: 127.3158 - val_loss: 129.9395\n",
"Epoch 86/200\n",
"49500/49500 [==============================] - 14s - loss: 127.2645 - val_loss: 130.0771\n",
"Epoch 87/200\n",
"49500/49500 [==============================] - 14s - loss: 127.2725 - val_loss: 130.0237\n",
"Epoch 88/200\n",
"49500/49500 [==============================] - 14s - loss: 127.2277 - val_loss: 130.0852\n",
"Epoch 89/200\n",
"49500/49500 [==============================] - 14s - loss: 127.2238 - val_loss: 130.0281\n",
"Epoch 90/200\n",
"49500/49500 [==============================] - 15s - loss: 127.1641 - val_loss: 130.1119\n",
"Epoch 91/200\n",
"49500/49500 [==============================] - 14s - loss: 127.1886 - val_loss: 130.1220\n",
"Epoch 92/200\n",
"49500/49500 [==============================] - 14s - loss: 127.1556 - val_loss: 130.0911\n",
"Epoch 93/200\n",
"49500/49500 [==============================] - 15s - loss: 127.1075 - val_loss: 130.3982\n",
"Epoch 94/200\n",
"49500/49500 [==============================] - 15s - loss: 127.0875 - val_loss: 130.1775\n",
"Epoch 95/200\n",
"49500/49500 [==============================] - 15s - loss: 127.0752 - val_loss: 130.3740\n",
"Epoch 96/200\n",
"49500/49500 [==============================] - 14s - loss: 127.0443 - val_loss: 130.3412\n",
"Epoch 97/200\n",
"49500/49500 [==============================] - 15s - loss: 127.0311 - val_loss: 130.2326\n",
"Epoch 98/200\n",
"49500/49500 [==============================] - 16s - loss: 127.0161 - val_loss: 130.1827\n",
"Epoch 99/200\n",
"49500/49500 [==============================] - 15s - loss: 126.9732 - val_loss: 130.0954\n",
"Epoch 100/200\n",
"49500/49500 [==============================] - 15s - loss: 126.9634 - val_loss: 130.2200\n",
"Epoch 101/200\n",
"49500/49500 [==============================] - 15s - loss: 126.9400 - val_loss: 130.3350\n",
"Epoch 102/200\n",
"49500/49500 [==============================] - 15s - loss: 126.9115 - val_loss: 130.3507\n",
"Epoch 103/200\n",
"49500/49500 [==============================] - 16s - loss: 126.8871 - val_loss: 130.3811\n",
"Epoch 104/200\n",
"49500/49500 [==============================] - 15s - loss: 126.8767 - val_loss: 130.1845\n",
"Epoch 105/200\n",
"49500/49500 [==============================] - 15s - loss: 126.8616 - val_loss: 130.2252\n",
"Epoch 106/200\n",
"49500/49500 [==============================] - 15s - loss: 126.8411 - val_loss: 130.3802\n",
"Epoch 107/200\n",
"49500/49500 [==============================] - 15s - loss: 126.8037 - val_loss: 130.3490\n",
"Epoch 108/200\n",
"49500/49500 [==============================] - 15s - loss: 126.7857 - val_loss: 130.2897\n",
"Epoch 109/200\n",
"49500/49500 [==============================] - 15s - loss: 126.7673 - val_loss: 130.2429\n",
"Epoch 110/200\n",
"49500/49500 [==============================] - 15s - loss: 126.7633 - val_loss: 130.3046\n",
"Epoch 111/200\n",
"49500/49500 [==============================] - 15s - loss: 126.7203 - val_loss: 130.4216\n",
"Epoch 112/200\n",
"49500/49500 [==============================] - 15s - loss: 126.7174 - val_loss: 130.2226\n",
"Epoch 113/200\n",
"49500/49500 [==============================] - 15s - loss: 126.7012 - val_loss: 130.3504\n",
"Epoch 114/200\n",
"49500/49500 [==============================] - 15s - loss: 126.6773 - val_loss: 130.3839\n",
"Epoch 115/200\n",
"49500/49500 [==============================] - 15s - loss: 126.6464 - val_loss: 130.3921\n",
"Epoch 116/200\n",
"49500/49500 [==============================] - 15s - loss: 126.6225 - val_loss: 130.3353\n",
"Epoch 117/200\n",
"49500/49500 [==============================] - 15s - loss: 126.6221 - val_loss: 130.4594\n",
"Epoch 118/200\n",
"49500/49500 [==============================] - 15s - loss: 126.6162 - val_loss: 130.3237\n",
"Epoch 119/200\n",
"49500/49500 [==============================] - 15s - loss: 126.5903 - val_loss: 130.5590\n",
"Epoch 120/200\n",
"49500/49500 [==============================] - 15s - loss: 126.5570 - val_loss: 130.2775\n",
"Epoch 121/200\n",
"49500/49500 [==============================] - 15s - loss: 126.5403 - val_loss: 130.3838\n",
"Epoch 122/200\n",
"49500/49500 [==============================] - 15s - loss: 126.5445 - val_loss: 130.6507\n",
"Epoch 123/200\n",
"49500/49500 [==============================] - 15s - loss: 126.5314 - val_loss: 130.5058\n",
"Epoch 124/200\n",
"49500/49500 [==============================] - 15s - loss: 126.5043 - val_loss: 130.5718\n",
"Epoch 125/200\n",
"49500/49500 [==============================] - 15s - loss: 126.4382 - val_loss: 130.5603\n",
"Epoch 126/200\n",
"49500/49500 [==============================] - 15s - loss: 126.4612 - val_loss: 130.5488\n",
"Epoch 127/200\n",
"49500/49500 [==============================] - 15s - loss: 126.4386 - val_loss: 130.3601\n",
"Epoch 128/200\n",
"49500/49500 [==============================] - 15s - loss: 126.4406 - val_loss: 130.5757\n",
"Epoch 129/200\n",
"49500/49500 [==============================] - 15s - loss: 126.4149 - val_loss: 130.5338\n",
"Epoch 130/200\n",
"49500/49500 [==============================] - 15s - loss: 126.4155 - val_loss: 130.4577\n",
"Epoch 131/200\n",
"49500/49500 [==============================] - 15s - loss: 126.3904 - val_loss: 130.7210\n",
"Epoch 132/200\n",
"49500/49500 [==============================] - 15s - loss: 126.3567 - val_loss: 130.6464\n",
"Epoch 133/200\n",
"49500/49500 [==============================] - 15s - loss: 126.3357 - val_loss: 130.5121\n",
"Epoch 134/200\n",
"49500/49500 [==============================] - 15s - loss: 126.3417 - val_loss: 130.4337\n",
"Epoch 135/200\n",
"49500/49500 [==============================] - 15s - loss: 126.3198 - val_loss: 130.6353\n",
"Epoch 136/200\n",
"49500/49500 [==============================] - 15s - loss: 126.2896 - val_loss: 130.7004\n",
"Epoch 137/200\n",
"49500/49500 [==============================] - 15s - loss: 126.3019 - val_loss: 130.5491\n",
"Epoch 138/200\n",
"49500/49500 [==============================] - 15s - loss: 126.2924 - val_loss: 130.5523\n",
"Epoch 139/200\n",
"49500/49500 [==============================] - 15s - loss: 126.2377 - val_loss: 130.6235\n",
"Epoch 140/200\n",
"49500/49500 [==============================] - 15s - loss: 126.2384 - val_loss: 130.6960\n",
"Epoch 141/200\n",
"49500/49500 [==============================] - 15s - loss: 126.1968 - val_loss: 130.4749\n",
"Epoch 142/200\n",
"49500/49500 [==============================] - 15s - loss: 126.2006 - val_loss: 130.6351\n",
"Epoch 143/200\n",
"49500/49500 [==============================] - 15s - loss: 126.1851 - val_loss: 130.5211\n",
"Epoch 144/200\n",
"49500/49500 [==============================] - 15s - loss: 126.1806 - val_loss: 130.6569\n",
"Epoch 145/200\n",
"49500/49500 [==============================] - 15s - loss: 126.1508 - val_loss: 130.8266\n",
"Epoch 146/200\n",
"49500/49500 [==============================] - 15s - loss: 126.1379 - val_loss: 130.8512\n",
"Epoch 147/200\n",
"49500/49500 [==============================] - 15s - loss: 126.1392 - val_loss: 130.8475\n",
"Epoch 148/200\n",
"49500/49500 [==============================] - 15s - loss: 126.1260 - val_loss: 130.8358\n",
"Epoch 149/200\n",
"49500/49500 [==============================] - 15s - loss: 126.0972 - val_loss: 131.0119\n",
"Epoch 150/200\n",
"49500/49500 [==============================] - 15s - loss: 126.0803 - val_loss: 130.7999\n",
"Epoch 151/200\n",
"49500/49500 [==============================] - 15s - loss: 126.0808 - val_loss: 130.8113\n",
"Epoch 152/200\n",
"49500/49500 [==============================] - 15s - loss: 126.0522 - val_loss: 130.9507\n",
"Epoch 153/200\n",
"49500/49500 [==============================] - 15s - loss: 126.0474 - val_loss: 130.8012\n",
"Epoch 154/200\n",
"49500/49500 [==============================] - 15s - loss: 126.0287 - val_loss: 131.2575\n",
"Epoch 155/200\n",
"49500/49500 [==============================] - 15s - loss: 126.0168 - val_loss: 130.7993\n",
"Epoch 156/200\n",
"49500/49500 [==============================] - 15s - loss: 126.0020 - val_loss: 130.7898\n",
"Epoch 157/200\n",
"49500/49500 [==============================] - 15s - loss: 125.9813 - val_loss: 130.8477\n",
"Epoch 158/200\n",
"49500/49500 [==============================] - 15s - loss: 125.9738 - val_loss: 130.6328\n",
"Epoch 159/200\n"
]
},
{
"name": "stdout",
"output_type": "stream",
"text": [
"49500/49500 [==============================] - 15s - loss: 125.9728 - val_loss: 130.7757\n",
"Epoch 160/200\n",
"49500/49500 [==============================] - 14s - loss: 125.9602 - val_loss: 130.9115\n",
"Epoch 161/200\n",
"49500/49500 [==============================] - 14s - loss: 125.9162 - val_loss: 131.0202\n",
"Epoch 162/200\n",
"49500/49500 [==============================] - 14s - loss: 125.9007 - val_loss: 131.1456\n",
"Epoch 163/200\n",
"49500/49500 [==============================] - 14s - loss: 125.9119 - val_loss: 131.1405\n",
"Epoch 164/200\n",
"49500/49500 [==============================] - 14s - loss: 125.9154 - val_loss: 130.8137\n",
"Epoch 165/200\n",
"49500/49500 [==============================] - 14s - loss: 125.9073 - val_loss: 131.0335\n",
"Epoch 166/200\n",
"49500/49500 [==============================] - 14s - loss: 125.8582 - val_loss: 131.1667\n",
"Epoch 167/200\n",
"49500/49500 [==============================] - 14s - loss: 125.8601 - val_loss: 131.3358\n",
"Epoch 168/200\n",
"49500/49500 [==============================] - 14s - loss: 125.8280 - val_loss: 131.1046\n",
"Epoch 169/200\n",
"49500/49500 [==============================] - 14s - loss: 125.8515 - val_loss: 131.3299\n",
"Epoch 170/200\n",
"49500/49500 [==============================] - 14s - loss: 125.8236 - val_loss: 130.9938\n",
"Epoch 171/200\n",
"49500/49500 [==============================] - 14s - loss: 125.7874 - val_loss: 131.0089\n",
"Epoch 172/200\n",
"49500/49500 [==============================] - 14s - loss: 125.7951 - val_loss: 131.2747\n",
"Epoch 173/200\n",
"49500/49500 [==============================] - 14s - loss: 125.7880 - val_loss: 130.8101\n",
"Epoch 174/200\n",
"49500/49500 [==============================] - 14s - loss: 125.7886 - val_loss: 131.1559\n",
"Epoch 175/200\n",
"49500/49500 [==============================] - 14s - loss: 125.7457 - val_loss: 131.3588\n",
"Epoch 176/200\n",
"49500/49500 [==============================] - 14s - loss: 125.7478 - val_loss: 131.1672\n",
"Epoch 177/200\n",
"49500/49500 [==============================] - 14s - loss: 125.7188 - val_loss: 131.2322\n",
"Epoch 178/200\n",
"49500/49500 [==============================] - 14s - loss: 125.7317 - val_loss: 130.9684\n",
"Epoch 179/200\n",
"49500/49500 [==============================] - 14s - loss: 125.7075 - val_loss: 131.3059\n",
"Epoch 180/200\n",
"49500/49500 [==============================] - 14s - loss: 125.7257 - val_loss: 131.0354\n",
"Epoch 181/200\n",
"49500/49500 [==============================] - 14s - loss: 125.6730 - val_loss: 131.4749\n",
"Epoch 182/200\n",
"49500/49500 [==============================] - 14s - loss: 125.6688 - val_loss: 131.1839\n",
"Epoch 183/200\n",
"49500/49500 [==============================] - 14s - loss: 125.6584 - val_loss: 131.1881\n",
"Epoch 184/200\n",
"49500/49500 [==============================] - 14s - loss: 125.6756 - val_loss: 131.1026\n",
"Epoch 185/200\n",
"49500/49500 [==============================] - 14s - loss: 125.6439 - val_loss: 131.1152\n",
"Epoch 186/200\n",
"49500/49500 [==============================] - 14s - loss: 125.6138 - val_loss: 131.4445\n",
"Epoch 187/200\n",
"49500/49500 [==============================] - 14s - loss: 125.6156 - val_loss: 131.2416\n",
"Epoch 188/200\n",
"49500/49500 [==============================] - 14s - loss: 125.6120 - val_loss: 131.3990\n",
"Epoch 189/200\n",
"49500/49500 [==============================] - 14s - loss: 125.5913 - val_loss: 131.4464\n",
"Epoch 190/200\n",
"49500/49500 [==============================] - 14s - loss: 125.5896 - val_loss: 131.5294\n",
"Epoch 191/200\n",
"49500/49500 [==============================] - 14s - loss: 125.5689 - val_loss: 131.3547\n",
"Epoch 192/200\n",
"49500/49500 [==============================] - 14s - loss: 125.5519 - val_loss: 131.3071\n",
"Epoch 193/200\n",
"49500/49500 [==============================] - 14s - loss: 125.5675 - val_loss: 131.2121\n",
"Epoch 194/200\n",
"49500/49500 [==============================] - 14s - loss: 125.5201 - val_loss: 131.3717\n",
"Epoch 195/200\n",
"49500/49500 [==============================] - 14s - loss: 125.5310 - val_loss: 131.3917\n",
"Epoch 196/200\n",
"49500/49500 [==============================] - 14s - loss: 125.5270 - val_loss: 131.5196\n",
"Epoch 197/200\n",
"49500/49500 [==============================] - 14s - loss: 125.5053 - val_loss: 131.4068\n",
"Epoch 198/200\n",
"49500/49500 [==============================] - 15s - loss: 125.5077 - val_loss: 131.5644\n",
"Epoch 199/200\n",
"49500/49500 [==============================] - 14s - loss: 125.5075 - val_loss: 131.4557\n",
"Epoch 200/200\n",
"49500/49500 [==============================] - 14s - loss: 125.4559 - val_loss: 131.5269\n"
]
},
{
"data": {
"text/plain": [
"<keras.callbacks.History at 0x1267efc90>"
]
},
"execution_count": 6,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"vae.compile(optimizer='adam', loss=vae_loss)\n",
"vae.fit([X_train, y_train], X_train, batch_size=m, nb_epoch=200, validation_split=0.1)"
]
},
{
"cell_type": "code",
"execution_count": 7,
"metadata": {
"scrolled": true
},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Training history\n"
]
},
{
"data": {
"text/plain": [
"<matplotlib.text.Text at 0x126b1e390>"
]
},
"execution_count": 7,
"metadata": {},
"output_type": "execute_result"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAloAAAEICAYAAACH9VqLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x126fae2d0>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"import matplotlib.pyplot as plt\n",
"%matplotlib inline\n",
"\n",
"print \"Training history\"\n",
"fig = plt.figure(figsize=(10,4))\n",
"ax1 = fig.add_subplot(1, 2, 1)\n",
"plt.plot(vae.history.history['loss'])\n",
"ax1.set_title('loss')\n",
"ax2 = fig.add_subplot(1, 2, 2)\n",
"plt.plot(vae.history.history['val_loss'])\n",
"ax2.set_title('validation loss')"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## random Z / conditioning with 0-9"
]
},
{
"cell_type": "code",
"execution_count": 8,
"metadata": {
"scrolled": false
},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAABIEAAACBCAYAAABXearSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSUVORK5CYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x123ef1110>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"from keras.utils import np_utils\n",
"digit_size = 28\n",
"\n",
"z_sample = np.random.rand(1, 2) # random \n",
"\n",
"plt.figure(figsize=(20, 2))\n",
"for i in range(10):\n",
" c = np_utils.to_categorical(i,n_y)\n",
" x_decoded = decoder.predict([z_sample, c])\n",
" digit = x_decoded[0].reshape(digit_size, digit_size)\n",
"\n",
" plt.subplot(1, n_y, i+1)\n",
" plt.axis('off')\n",
" plt.imshow(digit, cmap='Greys_r',)\n",
"plt.show()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## conditioning with numbers (0-9) / interpolating Z"
]
},
{
"cell_type": "code",
"execution_count": 18,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAABIEAAACBCAYAAABXearSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\niIj0gP8FpcokVmvClOUAAAAASUVORK5CYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x12c5f0c90>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAABIEAAACBCAYAAABXearSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAPwXrZc/W8zuyL0AAAAASUVORK5CYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x12b1e84d0>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAABIEAAACBCAYAAABXearSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x12b9e2a90>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAABIEAAACBCAYAAABXearSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJztnefPZlXVhxcKr10QpCm9DCBtYEBAYABF7GhiizHRmPif\n+EFjjCa2xN6+mNjAEgtYQBna0GHoM7QBZ2iCFdu8H96w32v/eM7xAXVm7nOu69N6Zu+5n/s566y9\n9zlZv7V22LJlS4mIiIiIiIiIyLR51rb+AiIiIiIiIiIi8t/Hl0AiIiIiIiIiIjPAl0AiIiIiIiIi\nIjPAl0AiIiIiIiIiIjPAl0AiIiIiIiIiIjPAl0AiIiIiIiIiIjPAl0AiIiIiIiIiIjPAl0AiIiIi\nIiIiIjPAl0AiIiIiIiIiIjNgx635y3bYYYctW/P3yf+zZcuWHf4Tn6MPtx3/KR9W6cdtibG4+BiL\n08BYXHyMxWlgLC4+xuI0MBYXn+X60EwgEREREREREZEZ4EsgEREREREREZEZ4EsgEREREREREZEZ\n4EsgEREREREREZEZ4EsgEREREREREZEZ4EsgEREREREREZEZ4EsgEREREREREZEZ4EsgERERERER\nEZEZ4EsgEREREREREZEZsOO2/gIiIiIiIiIic2SHHXYYHNuyZctW/CaSjPlmufPow+3Fn2YCiYiI\niIiIiIjMAF8CiYiIiIiIiIjMAF8CiYiIiIiIiIjMgMnWBEpdHn9+1rOeNTiPULP3dLSa//znPwfH\nxv6fjPNMfMixnMfP+Mc//tGNDWk3x3xGv8sw/64fn/3sZw+OJUP+Sn/Td+lH43ScMR8O+Tp9+D//\n8z9LzlvqM5/kiSee6H7+29/+1uy///3v3Zix+a9Zrh932mmnZu+4Y3+EeN7zntfs5zznOd3Y0P97\n/PHHu3l//OMfm/3nP/+5G2PcGpdPZcyHHGO8Pfe5z+3mveAFL2j2S17ykm6MP9PX999/fzdv8+bN\nzf7d737XjTFujculGdvv6Ef6gHZV1Ytf/OJm77///t3YXnvt1WzG4gMPPNDNu+uuuwbH/vCHPzQ7\n91MZ9yGvOeMvffjCF76w2QcccEA3tvfeezebMfvggw928+64445m33PPPd0Y197cM+X/WK4fx2Jx\nl112afaBBx7Yje22227Npr9zX7z77rubffvtt3djjzzySLP/+te/LvFXzJsxH/JcQr8xpqqqdt11\n12Zz/ayq2nnnnZf8f7kucg295ZZburGHHnqo2Xnu+W9iJpCIiIiIiIiIyAzwJZCIiIiIiIiIyAxY\naDlYpnUxrXL33XfvxphKyTRKpnhV9Wl7L3/5y5udKXxMtaUMoapPoV2/fn2zr7vuum7etdde2+xN\nmzZ1Y0zpm3LKdKasM70y0/GYNvmyl72s2elr+pR+X7FiRTdvjz32aHbeS0x1fvTRR5u9du3abt5v\nfvObZt98883dGFP65iZjYMp6ykLoV/qKsVfVxyn9eNhhh3XzDjrooMHPYOwwpi6//PJu3sUXX9zs\nK6+8shujnIGxPnU/jqXQcq3lWphp0Pvtt1+zDz744Ga/4hWv6OatXLmy2RnPvJfow1xPf/7znzf7\noosu6sYefvjhZv/pT39q9tR9mKTUjrIgxmWuvZSTHHHEEc0+8sgju3knnHBCs7m+5u9mXHK/rKr6\nyU9+0uyf/vSn3djGjRub/dhjjy35eVNnuXtm+pDxd+yxxzY711P6MNPeh+RmlH9VVf3yl79s9g9/\n+MNujGnwlK7MTVI0Jtd7/vOf3+z046GHHtrs4447rtn0b1XVK1/5ymbzLLvU734SxlRV1VVXXdXs\n9OMVV1zR7Pvuu6/Zc/MjoQ+5R9KfVf16Sh9yv6yqOuWUU5q9zz77dGNcu7k/87xaVXXTTTc1O9fT\nSy65pNmUis1dGjZ0fs2zLM8q9OMhhxzSzVuuHylN4jNIVdWGDRua/Ytf/KIb48+U/+Wz6ZwYkrDz\nelf1zyBHH310s8f2RT6bVA3fLxlHlIOtWbOmG7vggguafeuttzb7v+1DM4FERERERERERGaAL4FE\nRERERERERGbAwsnBmHbFSupVfZrsiSee2I2ddtppzT788MObzareVb20gZ8/1qEhU2tPOumkZv/l\nL39pNlO8qqq+/vWvN/v888/vxjK9+kmmkPY+lKZX1Uu+6M+qqpNPPrnZvMaZXsmfKQ/K30UfpkyC\n15npeKeeemo3jzLBL3/5y90Y/c2OKFNMl87rR1kQfVrVp80yxTL9TckCZQnZzYZ+zTilH5mamame\nTN/NGLv00kubzRTdqaVMPx1pJmOMsoOUXJ5++unNplwh190xHzJeeM0z7ikLTJhqS0nZHNKleT0z\nnZ3dnlatWtXsjI/Xvva1zaaUL2N7rMsbfccYS9kYpb4Zi+edd16zKbflPjtFGJvZkY2xRN9w/azq\nfcix9CHvkfThkKz5pS99aTePPs39jnKV3//+981mV7g5kNf2RS96UbN5rhjzI/fP9AHX7DE/8t4a\n82OulZQPUW6bMpYpk9eVsi+uY5SZVFWtXr262dwj99xzz24ez6/5u4a61qacmlLA3OPvvPPOZvOZ\nI2Nx6rLpvLZDpUXyjEGZ1+te97pmZyc3rrFjscj9LiVH++67b7NT0sRnDXZqzA5jU/Zj3tvcxxhH\neW7k+XXonFPVr43pQ54pec7J8xafM7jeV/XlRO69995m/7d9aCaQiIiIiIiIiMgM8CWQiIiIiIiI\niMgM8CWQiIiIiIiIiMgMWLiaQNTDZzto1jGgzq+qr+VCDX3q6wl1fmMa2dQispYQtaVZ84TtddlS\ntarqkUceafYU6gCRoVaoVb2OmjUqqqre8Y53NJstNrM2FH1KvW1q1YdqVFT1NW2G9MH5HS+88MJu\n7O67717yd02lJtBYnQrqXbNOzJvf/OZmUw9P31f1etqhGk1VfT0QxmxVXw9lqK15Va//Td33unXr\nms3aTlOvCcTrn3VDzjrrrGa/9a1vbfYxxxzTzeN9QF9kDNCHWd+FLd3pw6wNxfa6rKlR1de+YR2S\nKdYESj/yuudayZoijMuzzz67m8faIKzflL9rbM/83e9+1+whvX5VXxcjY5HrL/dIxmXV9GofjO2Z\nrLX1mte8ptmMy6o+Jhg7YzUq6LOqvp4PPyPXB8Zbrv+8l1j7gHFeNT0fVvXXOut68Lqw5uHb3/72\nbh7rywytr1X99WOsVPV1Jvg98nzDz88W9JzLz8g1YWp+HDv38L6nn97ylrd0884444xmM3aybiWv\nHfetqj42OY/1E6v6NZ91Zar69tj5u+dExg6vGdfNc845p5vHPZO1l/JsQnKde/DBB5vNsw/PM1V9\nja+sHcVn2lzP58JYXVLGBJ85qqre9ra3NXusZg/JWNy4cWOzee7h51X160OutbzntqYP53m3iIiI\niIiIiIjMDF8CiYiIiIiIiIjMgIWQgw2l0Kakg+lyOTYkN8g0WaY7r1+/vtlM96rq5SmZfsn2j5SA\nZeoo0+CzBTPTEzO9dhEZaguffzfTU5leWdX7nj5M2QFTne+4445mswVfVd9iOFP/KCdcuXJls5li\nWNX/LZmmP8X0WvqRcZnXhdci01qHWl2mXI9psmxHe80113TzGLMZK/zdTMHOdFqmU2cq71iq+6Iz\nJk+gZCfTyJnmymuZMi+mrD/00EPNvu2227p5d911V7OzJSbXwle/+tXNPuKII7p5lOdlenf+bVOD\n9+XY3871tar3I+XU+RlMf2Y6O+U8VVW33HJLsx944IFujFIxygmPP/74bt6YTJd7KMemJjnJdHDu\nJSnpo9yKstbcj7hPPvbYY81mS+GqqhtuuGFwjD4888wzm01ZYVV//6T8gWNT9mHVU/eLsT2TEnfG\n5dhZlv747W9/283jPnnfffd1Y7zulEfkOYh7QO7P/Fu47k/Nj2M+zBbQbCPNswelflX92ZPnnIcf\nfribx1gcW08pH6Q0qKqPt/Qh4bo7NR8uBa9L+pHPZozLfNbj2rZ58+Zm53Ue2xe5tx511FHNTrkQ\n1wvePwkl7lP341gsMg4OOOCAZu+9997dPF4v+jDPNrfeemuz04d8BuEzfz77DP3eqv5sszV9aCaQ\niIiIiIiIiMgM8CWQiIiIiIiIiMgM8CWQiIiIiIiIiMgMWIiaQNTkUsuctWCow8z6L9REs05FanBZ\n+2eoxXdVX1uBNWOqeg0f7dRxUpc/1h51CrrOIR9mDRFqIVN3uWbNmmZTz8vrWNXXG6GOM68xtfas\nh1H11BoHT5ItyFm/hLrQqmnr5JOs3cG/N/XRrFXAOjGpkWUMX3fddUv+n6q+hg/121VV++yzT7NZ\nGyXvO/qRuuCq3uf5dy4iy61rRB9m7GzYsKHZa9eubXbWlOC8q6++utkZ27yurKtQ1bfazXoohOv6\nWP2Eqcdiwr83Y4z1KBiXWQuGMXfTTTc1O3XzrFeSNWlYw4n7Z9a/4TrKWmBV8/HjWIzm382aEvRN\n1tZiDLMGF+2qfj/NOgusOcSaFVlDirUWuQfn95iyD58ujB36JK8R42XTpk3NzjprXA8zxo499thm\ns6bbmB+5B1f1990U9sVnQvrmiSeeaDafJS666KJu3pVXXtls+onPHFXj9Q6POeaYZrPOScYsP4O/\nt6qP9anH4tNZU/m8x73w0ksv7eatW7eu2fR9nj/o44RrKs+vWS+V63n6kfv4lP34dGpy8jrw+uQ6\nxjMM/Z71gvlzPj/w+ZE2a0tV9Xtffg+u5VvTh2YCiYiIiIiIiIjMAF8CiYiIiIiIiIjMgIWQgw2l\ns6cMiKl5Kc1huio/I1O+mLbH1LNs13fQQQc1+5RTTunGXvayly35uzJ1nu0f82/h951aqu2YpI8p\ntJnCzDaLbKfHVL+qvlUq751MzWPb62xTfPDBBy/53bMNK9NDsw0rfZ/pg1NgTGbCtMeUA9BflIWk\nfIEptbxPMk32wAMPbDbT3Kv62GSLzYz7q666qtkpj6CMM//ORYc+TMkr/9a873/96183m9crP4P/\nj/7Nlu1s4Xn44Yd3Y+ecc06zuQ5nijXTa1POxPtnaj6sGpcP82dKOKqqLrvssmYzHZnra1V/rblX\npXyE8suU2L7pTW9qNvfIlItee+21zc41lft6SnOnRO75/DmlmZRZUspKmWxVf9+PtYpmG+SMxbe+\n9a3N5v6Z+/gVV1zR7PXr13dj/I5T9uG/gvKvql7Oxfs8JbA8F/FeyLWXfqQUs6rq7W9/e7MpQaGk\nparq8ssvb3aWWOBZLX/3lBhbT/P+5b7DcwOfTap6yRavXe5N9CFlQ1VV73rXu5rNM1DeVxdffHGz\nr7/++m6M6+uUfVj19PzIMyp9kmdD+pF75tOJxXe/+93N5p6Z98Ill1zSbK6vVb1seorPGk+SPuQz\nel4vnvHpj5Tq8SxKf+bn7bHHHs3OfZE+ZJzm9+V6yjN0VV86YWs+85sJJCIiIiIiIiIyA3wJJCIi\nIiIiIiIyAxZCDkbGpERMicuUL6atM/0rq43vtttuzWaK5SGHHNLNW716dbNTgkK5Cr8H0/mq+vTa\nTPFmKtoUqr3zb6APM3WVaZgp6dtpp52aTR+mbGznnXduNuUJ6cPTTz+92dkNjNIxdsbJNMwbb7yx\n2dlpjqnVU5P0JZn+SrlBdrygRIiShZQIMQ2e8jzGZVXVmWee2eyTTz65G2M8U47ELjpVvR9TgsK/\nZQqptoxFrn+5zozJZrn2Mj6yMwk78DEW99tvv27eaaed1uxTTz21G2MqNdfJO++8s5vH9ZSptVX9\nWjLFtPfl+jHlYBwb6+zFNZX+SD8y/l71qld1Y5SAcW1MuShlfenjoRTvqZHnkrE9k/7m+pSyWUpv\n2YGPUsyqqhNPPLHZuZ4yhnn9cz1l9xrKuKt6OVjKj6bOmISa+wz9n9eIsUg/ZmdMnmmyZAGlfLy3\n0o+UL6Qfue5PWdY31pEozwP0FdfaXJN5lh165qiqeuUrX9nsjEWuvfRhdh2iD++4445ujDLfKcqk\nyZgf83zOa5HPZoTnV66vLBdS1Z9vTjrppG6M+yLvE55Jq/rSE7kvUqI9ZT8+Ux8yLjMWeWZ9yUte\n0uz0Ic+l6cOXv/zlS37HlF/Sh9nNkT7cmuupmUAiIiIiIiIiIjPAl0AiIiIiIiIiIjPAl0AiIiIi\nIiIiIjNgIWoCDdVTSW0fNepsB13Va/2opT300EO7edTkHnnkkc3Odresi0B9b1WvlWZryNTqUteZ\n9Y2mUHuEjLUwJtTFZ4tbajepwcxaP/Qv2/UdffTR3Tzq4tOH1ErffvvtzWYr8arep9nifIo6+eX6\nkXUrch79uvfeezd7xYoV3byh9qjHHXdcN481LbKuEPXcrPXDtspVvV8Zv1VPrcExJYZqdVX1murU\nYjNeGIus3VTVxxjX0GOOOaabR/111hWinps1w3I9pQ/Zvriqvw+mUGMtGfub6NcxPTx9lX7kvsgW\nt9wjq/q6JOlHroeMRbaEr6pau3Zts7MlL9fYKddZSz+N/a0863AtTB/yrMP4y3WX8Zw+5Jpw7733\nNps1gKp6H7JWY9V8fLgUQ/XYqvoaeFwP84zK9sTcC7M+F/fW9CPPl+vXr2/2mjVrunncJ7NWHv04\ntfPqGPQha41W9bXUGIvZUprnGdb9YexVVe25557NTh/ye9CHY3UrN23a1I2xbtFUfDhWH49wLOuK\n0o/cF7O9O9dR1mxi7bSqqt13373Z6UcytqayXhfralZNz49jtX+W+3/oQ8ZV7ndcQ+lD+r2qr7+W\nzxn83fRNnm1YIyjrFm8rH5oJJCIiIiIiIiIyA3wJJCIiIiIiIiIyAxZCDjZEpvrtuOP//zlsu1hV\nddRRRzWbLd2zjS1Tb5n+lfIy8uc//7n7mdIutg0fk3xlWvQUJQtLkX8n0zIp4avq5ST0YbbOZKot\nJUVMt64ab8NKCRDlQdkqm75P+ddcfFj11L+VadK77LJLN8Z0zJUrVzabLW2reqkJU91f9KIXDf6u\n9AF/ZixmOi3H8l6Ykx/JWCzSN0ynTZkXf2aKdMYifZitv7lOUoLA1OmqXgKWbV3nJjshXOfYxraq\n6vjjj28211Tul1W9j9nSNvdF7sGZ0sw44l6YMhPKhygPrpqvHxmLuZ5y/2Nc0p9VVatWrWo298WU\nJzAWl9s6OddT+jBl0lOQKzxTGItjfqTshH6rqjrxxBObTZlJStp5z+QeNrSmUm5b1a+x+vH/4HXN\nswilXYzFPNvQ1/RhystSpkR4TmF7adpVfWzOwYdjkkvCa5vnEe6LPMOccsop3Tz6e8yP/B75nbim\nUhKUZxj6keUqqqa3Ly7XhxxLH/LZgnb6kOspn/mfjg95ZqXf8lli8+bNzX700Ue7sW3lQzOBRERE\nRERERERmgC+BRERERERERERmwELLwRKmw6YcjJ0ymIqZnaWY3snU9jGZScrB+P8oY2G3hqo+lX4s\n7XNOMDU906Up1TvppJOandKFnXfeudm8JzI1jyl86UOm5tFvTBes6jtdZfog0zznxnL9SJlJdm9j\nDDOm0ldMaU4f82d+jxe84AXdPFb7z1icqxyMPmSqc1UvV6DsJLtFUUbG65qpzvRhxg3H+J2yQ0PG\nH5mrD6v6a7bHHnt0Y5RmUkZLu6rfF3ktx2R3YxJn7n3px7G9cK5+5D6WexC7EPE8k12leM0ZUylT\nH4PXn+vpmFx+rlL3peAaxXNKVX/OYDcbduar6u8FnmHGupGmfIE+4D6bHVnHOgvO1Y9cn1784hd3\nY5RZ0maMVvX3Afe77ETK6z92LuE+O+bDucXimKyIP6esj5JnxmV2jOJnMP5S0s7rzrNswu+R/uZZ\nNv04NTkYWa4P80zPNZR2dm7j5/Maj62nedbkz0P7bFV/XtpefOibBxERERERERGRGeBLIBERERER\nERGRGeBLIBERERERERGRGbDQNYFSHzjWKpq6W7ZQHGsVzc9Pbd+Y/nPPPfdsNmsRnX766d28G264\nYfB7jOkRp0T6kHrZvK5D+ne2cK/q/Uu9Z2ou+RlZh4Q+pIaUNWyqqq655ppmZ8vqOTHmx7y29CP9\nk+2gWfuHvhuLxfQxtd2sh8JaKFVVl112WbPZ3nhOjK2nWYeJtQv4/7KmAdu200+5vvHzs6bB/vvv\n32zWPqBvq/r6DHOusZZ+5LVgC9qqPuYYlxmL/H/0Xdbg4ljWPGFtE45lvSlq+8faw06ZsdoH2QKa\n+x/r+2QbYbaHpt/Sh2N1a1hzaMyHY7Utps5YK2Fei2zXff/99zebaxv/vapvLTxWm5K1trhuVvX7\nH8d23XXXbt5YracpM+ZDkj686667ms1aS3fccUc3b9OmTc3mGSg/j+eosbp89GHGLGtITZGxelfL\n9WPui3feeWezGRM33XRTN49nRZ5Lc+2lD/Lcwhb0XDezTtGU/Tjmw+XuH3lmue2225rN+Hj+85/f\nzePPPAM98sgj3TzGYtaGYpt5rplZp2isbuW2Yl67s4iIiIiIiIjITPElkIiIiIiIiIjIDFhoOVjC\ndLxMDWN6HyULmXLHz2Cqbbb1Y4papl+eeeaZzWar3ZSgMC1wTmnvYymaTAPM9OYNGzYs+XnZgpwp\nfSkpG/oemS599tlnN3tMRpS+nxPL9WNKhOhHxtG6deu6eZSRMS7Tp0yxpIyvquqNb3xjs5nWS1lD\nVd9Ge8rtNhP6LdNueR0yFm+//fZm09dXX311N48+pJ2fx1TnbG1NOSbjdKxd6xR9OLZHcCxTjunX\nvO5Mb6cs6Morr+zmDUnAUtbHVOhVq1Z1Y5T1MU06117G+tRaGI+lto/5kD+nfIuycq61V111VTeP\n6ybn5TXmmYhnmape3k5fZ4o9f9cUYzH9OLSOPlM/Up7Cf6/q/UX5X/LSl7602dwHq3opEWVjtKv6\n+E4Z9qKTvhny4Zivc/275ZZbmk1J0HXXXdfN43XmfZBnG5493/SmN3VjlBHx8/L7si31VHw4tlaO\ntWAfIksW8HxD+WXui0N+zOvMFuXnnnvu4GfQznVzSLq9qPA+TZ/xPDhW0oM/pw8pwaS0a82aNd28\n5cYin9ff9ra3DX5f7ov57EMf5vfdVpgJJCIiIiIiIiIyA3wJJCIiIiIiIiIyA3wJJCIiIiIiIiIy\nAxauJtBYHRLqMFMrffPNNzebes/UblJ/yLHULLKmQdawOPLII5tNreBee+3VzWMthKlodYcY8tuY\nD1NPSb+tX7++2WM1B8ZaDbKeD2s3VVWtXr262awXk206x1qhT5GhmhZj9WRSN8/6XGypmrUp+DPt\n1ICz9sHKlSuHvnrXepztxKuWX0dqERlrU0xyjeN1Th9Sb01/JkP1XbLdKTXzWSOGmm3GYrbf5Hox\nNR9WPdWPQ+1Gs64H/Zr7DOOP7W5zTeX/4xj9UdXXjOE+mHPp//y7uHdPbU3N2EtfDf07fZ2++e1v\nf9vszZs3NzuvK2OYn5FxxL0w45Tfi5/PuiNVfU2bKZ5tcq3kvT1W62JoT6uqeuyxx5rNWl3L9WPW\nZWLb4jy30K/0z0MPPdTNm5ofeS0zxhgHQ23Gq4bXwqq+5sfdd9/d7Hvvvbebx/2Jn5c+fOELX9js\nAw44oBtj7RGuk/fff383b2o+THgdqvoaoWO1yYbiqKpfz3g9udZW9deTdq6pe++9d7OzHiW/P79v\n1kDl+jA1P2ZtXtbCYh3DrKM2dubj3AcffLDZucYNnRUzFg888MBm5zM//c1YzLMxY3F7qZVnJpCI\niIiIiIiIyAzwJZCIiIiIiIiIyAxYCDnYUDvATFWm3CNTrYZS6cbkYCTTuJn2ydS1qj41jCllmXY2\n5fS+hNePfksf8nqlL9hycyzNcyiVN+UTTBc8/PDDuzGmlDJdkz6rqtq4cePg95gCmQrN9HbajL2q\n4XTzqr7lJq/ZmBxsLI2bY5mGu9tuuzWbKfspNWS69hRicaz1+5AsJ9Nf+RmZhsv1b8yHhN8jU7iZ\n8put3+lD3nMPPPBAN49p21OJRfogpSWUCnAsU6t5LVImzTX1mfgx11TGH1sYV/XyW6ZMU4ZW1Uua\npuBHXq+Uz/GacIz7T1XvN/osx8bWLvqU9wu/Q1UvzTz66KO7Ma7zjz/+eLPZGruqT7+fgg+r+muW\n+wwlrBzLeQ8//HCzuQ9W9Wsg7dyDh/yYMtrjjz++2StWrOjGuO5THpHt6If26kWFf3fGGM/x3J/y\njMp9JmOR5wraYz5k3LMNdVXVa1/72mYfccQR3Ri/13333dfsyy+/vJs3NR9W9etQltngzzzT5N9O\nuR5biFf1zxeUjQ1J6fN3pa/e+c53NjtLT9CPLHNx2WWXdfOG9upFhdeLUquq3oeMjzyHDrWBr+ol\nffRnnlnoU56pKKetqnrve9/b7KOOOqobow9vvfXWZqcP+R23l+cMM4FERERERERERGaAL4FERERE\nRERERGbAQsjBmK5FKUimMTMdNruKUMbD9OlMe2eK1lgqPtN8s9o70/04L9PV2Jlle0kN+08x1pGI\nqZzpQ16vTP1jSh/9O+ZD+i1lREz/Pe6447oxdmJgOiLTuaumKQcbkxINyU6y2xavRUqv6Luh7lE5\nxnTLlBIddthhzT7nnHO6MXYOYxro2rVru3lMiR+TwiwKYzJIXj+mxKeMiOm0eW/Tp7xeYzHAOKLE\nq6rqlFNOaXb6kN+Xa/evfvWrbt7U0qWrxqVE3O/23HPPZqcfKQfILm+8T8b8yO8xlvZ+7rnnNnvV\nqlXdGNcOyi8vvPDCbh6lhlOIRcYf082r+n2GHWTS1+xKk+sppUMkrx2/B+Pv1a9+dTfvgx/8YLMP\nOuigwc+8+uqrm52xOLQ+LDLcg7ivVPWd8BiXuX/Sj+wAVjV8vkl4b/D+ede73tXNe8973tNsrg9V\n/T3z7W9/u9kpJcoz2KLDtSs7/PAczzU0fUH/pnxuqLtw3gdcB3j2fPe7393Ne/3rX7/kd6rqn2k+\n+9nPNnvsvpoKfG7IszulRbxm+fzFM+u1117bjQ118ct1mXF1+umnN5uxV9VLo/Mz+L0+/vGPN5uy\noqrpPSOG+DBvAAANIElEQVRyDaV0tarvMspYyS57fF687rrrujHGHH2YezBLgbzmNa9p9hve8IZu\nHmXSKRHdtGlTsz/2sY81m3K1qu3Th2YCiYiIiIiIiIjMAF8CiYiIiIiIiIjMAF8CiYiIiIiIiIjM\ngO2mJlDWkBkaY32IrMVDfSbrClT1rfdY7yD1vhyjdnP33Xfv5p166qnN/sAHPtCN7bfffkt+3i9/\n+ctuHrW7U6hhQQ1m+pMaSup5szU7/ZvtN4dahqfmeeh+yTaEZ599drNTi81aKdTPf/7zn+/mUQs6\nBR9WLb+eDGtYUMNb1ddu4TWq6u8TXtvUy3Ie9dvHHntsN+8d73hHs0877bRujPWnNmzY0OyvfvWr\ng993KjUsniRrYbEeCFtA77rrrt08+i21zbxGY/W5qMnn/cIaQFVV73vf+5rN9TP5wQ9+0Owf//jH\n3dhYHY1FhbGYWna2KeU6mq2ib7vttiU/r6r311grXN4zjD+2vq3qNfXZHpt78qc//elmX3PNNd28\n7VE3/+/Aa57tjE844YRm05/pa9asyHoE9O+YD7n/nXnmmc1+//vf380bOr9U9evApz71qWaz3fJS\n/28K0I9ZC4vrGWMx639cf/31zc4aL7fcckuzWYuHe1hV1cqVK5vNvY81SfLzs24Uazh97Wtfa3bW\nPJzaXkiyzTPPDqz/kWvmjTfe2Oxs6Z775JPk88PJJ5/cbLaBzzMqfc8aQFVVn/vc55r9ve99r9m/\n//3vu3lT9CHXl4xF7kE8x+e+cvPNNzc7r/t9993XbJ55s44U66nxeZS/t6o/R+d5+KMf/Wizf/KT\nnzSbNRmrpudH7lX5LM9nM54jsqbhnXfe2WzWZavq66/xbJu1ClmPiOecPDfz+vN9QlXVhz/84Waz\nxuEi+NBMIBERERERERGRGeBLIBERERERERGRGbDdyMFSdkLYWpapYSklYmpmSokoJ6H04/HHH+/m\nsYUk06JPPPHEbh7TqcfkC0xX+8pXvtKNMW1ze0wTe7ow/Zw+q+pT6yhXYGpzVZ8G/8ADD3Rj9CHT\npVMGwlRMpnmmBGX16tXNzpbVTJ8+//zzm810zapptt9kLGY7dqaY875nenNVn3qbKdJs88i03rxn\nmMLJWD/rrLO6eWwRyvitqrr//vub/ZGPfKTZt99+ezdvavIFxlve2/vvv3+zx+KD6bTZYviee+5p\nNtPlMw2aab5sk5otQffZZ59m515wySWXNPsTn/hEs8fkolOBftx33327MUowGQOZFs29K1tAMw7o\nx2yBzXWavyu/E9cL7rNVVZ/85CebzbbU2fJ8anBdoySyqo8PXmPKUXJs3bp13RhlDfRh+oYyPrZ+\nT+kZ18KUeX3oQx9q9po1a5o9RSlmwmvLs0hVv46uWLGi2XvssUc3jxIkSkmqqjZu3NhsSv64Nlb1\n9wa/R+6flCJQ/lXV74V33XVXs6cmxUx4Xku5B33F2EmZ9Cte8Ypms4V7VX+m51qYezDPKfR1Ss8o\nHcpSBHyeePDBB5s9dR9WPVVmQyihowwv5ZfcPykhq+rXMz5zpsQ5Y+5J8rmA+yz3waqq73//+81m\nu/ip+5HP3nkG4PXj/sRSIlX9WTblsNzHGIv5TMPnVv7evMeGJLRVVRdffHGz+XctwnOFmUAiIiIi\nIiIiIjPAl0AiIiIiIiIiIjPAl0AiIiIiIiIiIjNgu6kJRFJnSU0g9dHZ1o9t27M2CDWH1OllG1XW\nq6GONzXg/I7Zto6tPr/whS80+7LLLuvmTa2eDK9ltkbldT3ssMOane0dWbcg9dFDWstsoUptN/Xc\neU/w+z766KPd2HnnndfsL37xi83evHlzN28RNJ9PF/ou9bOsu0VNdbZtP+CAA5qd2ma2OuX1yxij\nnptrQH4nfj5rcFX1+usf/ehHzZ56HRJq1/O+Z10StjylP6v6Ok9vfvObuzHqpXn9syYQNdysfZBt\nrlk/5qc//Wk39pnPfKbZ1NZPXTNf1cdi7lWMF/o06/lwn8zadqytxuuZMcb6Gdz78juxBhfrN1VV\nfec732n2Qw891OwprqGE1yjPCtzjeM2z9gTXwozTN77xjc3mtczaWvQbf2/W87nmmmuazda3VVW/\n/vWvmz21mob/Cv6N2Yab6yGvbfqAZ5OsF3T00Ucv+XvzHMSfGbOsC1NV9c1vfrPZ3/jGN7qx2267\nrdmsfzh1P/J6Zc1J1sDjc0ZeE55F8swyRH4GvwfPnqzvVVX1pS99qdm/+MUvujHWC5pDTS7C63fr\nrbd2Y6wfyfNH1oDiuSifVzLmniT9yHWAbeXTV9/97nebfcMNN3RjPA9P7ZlwDP6tV199dTfGGpTc\nP/PMx/gb8yHtPPuztiT3t6z/yuf3XDv+8Ic/DH7H7R0zgUREREREREREZoAvgUREREREREREZsB2\nIwcbSwlnGjOlP9nCjel9lKNU9anWTAsca01PmDJbVbVhw4Zm/+xnP+vG2PKPqdVTb2k85sOh1DxK\nvKp6H+61117dGNP9xiQJ/Hx+p/xdN954Y7O/9a1vdWMXXnhhs9kml/KJqcJ0xvQpY44pkCmTY+vj\nbC9OecpYe9QhyUKmYrKFePqRbYznJEGh7CTXSaYtcx3bb7/9unmUw1LOWdX7dCwWucbxe3BdrOql\nCxdddFE3xhbGc5IuVPV+TLkHr+FYajvlQykNZLr80LpZ1aduM/7YGrWq9+PatWu7Me5/i5Yy/e9A\nHzLeqqouuOCCJedlu1uumelDnmHGpGe8/tzTvve973XzeH659957uzHG39TX0ITX8/rrrx8c4/o6\n5sdsWc29kNc2pWeUAVG+8O1vf7ubd9NNNzWbe3VVv5/OYR19Evrp8ssv78a4vq5bt67ZJ510Ujdv\nxYoVzV5umYiNGzd286688spmU2bCNtRVVQ8//HCzU/I1pzU04TmcZ7yqqnvuuafZxx13XLOPOeaY\nbh7LUmTrca6jjD/GVFW/x3E/Tokazz65bs4p/gjj49JLL+3GWFLlyCOPbHY+1/MZMc+ojBfGUe7B\nlGByjM8LVeNSvUX2oZlAIiIiIiIiIiIzwJdAIiIiIiIiIiIzYIetmca0ww47DP6ysY4KlG9RosCO\nKFVVJ5xwQrNXr17djR1//PFLfkbCtDGm9GW6GtNFs7I5uwxsL2m3W7ZsWbrc/dNkzIdjshCmsLPz\nAmVDVVWrVq1q9hlnnNGNcS67p2TaO68/fZPSBcrB2C2jqpeObS9pt/8pH1aN+zG7rRGmzVJmkh1r\nmHrLLlNVfUo8pSuZss5OX4y/lJnQjykVG+oKuC3ZGrFI+WvK7IY69WW3Raa9M626qmr//fdvNtfr\nlAUyVZuxmD7k/8v7gPG3vaTdbq1Y5JqaHdXYBYx+zH2RP++7776DY+xSkmnvTJmmlGisS0amT28v\nviNbIxYZf2NdMynHZFfL/DnlYDwfMY5yT6PchfIEduarGpcDb49srVgkGYuUBbGTW+6l6TvCcwyl\nCIzLqn5P4/kyzynbY7yNsTVikeRzBn3FDmBjXfZyjRuSYecZlXFFPy2az5JtEYv5rEH/ZEew+Pxm\nZ+zQr8tdDxfdd2Rrx+JYKQjG39g1zrGhGJsLy/WhmUAiIiIiIiIiIjPAl0AiIiIiIiIiIjPAl0Ai\nIiIiIiIiIjNgu6kJtFyo/0wtKPWf2fKPGm7+v9SCsqYBtdep/eXPi6A33NoazzF4/VNvzZoJ2X5z\nqIVqts5kG1u2kkwfbo+1RsbYWnrr1OfGd2j2mB8Zi6xPU9VrtsdqGlBHPzZvEepWkG2ttx7y4Zi2\nPmtg0N/0zVhrcY4tepvUbVH7YMyPHEs/jo2RsdpnQ3UrFs1vyfa0L9JPY2vwGMv1x6L7jWyLWHwa\nn7fsuVPyyTNhe4pFeWZsz7Eoy8dYXHysCSQiIiIiIiIiIg1fAomIiIiIiIiIzICFk4PJM8P0vsVn\nKqm2TJGfYwr8FGJRH04jFufOFGJx7hiL08BYXHyMxWlgLC4+ysFERERERERERKThSyARERERERER\nkRngSyARERERERERkRmw47+eIiLyn2OONWSmhj4UEREREVlMzAQSEREREREREZkBvgQSERERERER\nEZkBW7VFvIiIiIiIiIiIbBvMBBIRERERERERmQG+BBIRERERERERmQG+BBIRERERERERmQG+BBIR\nERERERERmQG+BBIRERERERERmQG+BBIRERERERERmQG+BBIRERERERERmQG+BBIRERERERERmQG+\nBBIRERERERERmQG+BBIRERERERERmQG+BBIRERERERERmQG+BBIRERERERERmQG+BBIRERERERER\nmQG+BBIRERERERERmQG+BBIRERERERERmQG+BBIRERERERERmQG+BBIRERERERERmQG+BBIRERER\nERERmQG+BBIRERERERERmQG+BBIRERERERERmQG+BBIRERERERERmQG+BBIRERERERERmQG+BBIR\nERERERERmQH/C2zDv6fdZZPaAAAAAElFTkSuQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x12644e910>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAABIEAAACBCAYAAABXearSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAA0AD/D/StC4M5T8SWAAAAAElFTkSuQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x126edfd50>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAABIEAAACBCAYAAABXearSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nkCRJkiRJUgf8EkiSJEmSJKkD/wvZa2TwkleaEgAAAABJRU5ErkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x12b0e2fd0>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAABIEAAACBCAYAAABXearSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x12c87a390>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAABIEAAACBCAYAAABXearSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x126f19e90>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAABIEAAACBCAYAAABXearSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nj85edjhEAAAAAElFTkSuQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x12f1acdd0>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAABIEAAACBCAYAAABXearSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x12d1d2250>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"for j in range(10):\n",
" c = np_utils.to_categorical(j,n_y)\n",
"\n",
" plt.figure(figsize=(20, 2))\n",
" for i in range(10):\n",
" z_sample = np.array([[0.1 * i, 0.1*i]])\n",
" x_decoded = decoder.predict([z_sample, c])\n",
" digit = x_decoded[0].reshape(digit_size, digit_size)\n",
"\n",
" plt.subplot(1, n_y, i+1)\n",
" plt.axis('off')\n",
" plt.imshow(digit, cmap='Greys_r',)\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": []
}
],
"metadata": {
"kernelspec": {
"display_name": "Python 2",
"language": "python",
"name": "python2"
},
"language_info": {
"codemirror_mode": {
"name": "ipython",
"version": 2
},
"file_extension": ".py",
"mimetype": "text/x-python",
"name": "python",
"nbconvert_exporter": "python",
"pygments_lexer": "ipython2",
"version": "2.7.13"
}
},
"nbformat": 4,
"nbformat_minor": 2
}
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment