Skip to content

Instantly share code, notes, and snippets.

@perrette
Created June 29, 2015 14:05
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save perrette/596b05808b6ddfbee454 to your computer and use it in GitHub Desktop.
Save perrette/596b05808b6ddfbee454 to your computer and use it in GitHub Desktop.
Tests mapping Greenland
Display the source blob
Display the rendered blob
Raw
{
"cells": [
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# Experimentations to determine outlet glacier gates and map Greenland domain to individual glaciers"
]
},
{
"cell_type": "code",
"execution_count": 1,
"metadata": {
"collapsed": false
},
"outputs": [],
"source": [
"\"\"\"Compute Greenland masks based on Greenland gate\n",
"\"\"\"\n",
"from os.path import exists\n",
"import numpy as np\n",
"from netCDF4 import Dataset as NC\n",
"import dimarray as da\n",
"import matplotlib.pyplot as plt\n",
"\n",
"import find_gates\n",
"isgates = find_gates.gates.isgate\n",
"compute_gates = find_gates.gates.compute_gates\n",
"#label_gates = find_gates.gates.label_gates\n",
"\n",
"import map_basins\n",
"map_basin_from_velocity = map_basins.map_basins.map_basin_from_velocity"
]
},
{
"cell_type": "code",
"execution_count": 2,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"# Load data\n",
"from config import dataset as fname\n",
"# fname = \"/home/perrette/data/greenland/Present_Day_Greenland/Greenland_5km_v1.1.nc\"\n",
"\n",
"z = da.read_nc(fname, \"usrf\").squeeze()\n",
"thk = da.read_nc(fname, \"thk\").squeeze()\n",
"\n",
"lc = da.read_nc(fname, \"landcover\").squeeze()\n",
"# lc.attrs # see codes\n",
"ice = lc.values == 4\n",
"ocean = lc.values == 1\n",
"missing = lc.values == 0\n",
"land = lc.values == 2\n",
"local_ice_caps_not_connected_to_the_ice_sheet = lc.values == 3\n",
"\n",
"x = lc.x1\n",
"y = lc.y1\n",
"\n",
"# make sure arrays have double-type (required by PISM Regional tool)\n",
"# (also transforms from dimarray to array)\n",
"for v in [\"x\",\"y\",\"thk\",\"z\"]:\n",
" globals()[v] = np.array(globals()[v], dtype='d')"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Compute gates (fortran routine)\n",
"\n",
"Use ice/bedrock/ocean mask to determine glacier gates, provided the number of neighbors (nn) to label patches of pixels as belonging to the same glacier (nn = 4 or 8).\n",
"These doors will be used later to group the basins."
]
},
{
"cell_type": "code",
"execution_count": 3,
"metadata": {
"collapsed": false
},
"outputs": [],
"source": [
"label = compute_gates(ice, ocean, nn=8)\n",
"label = np.ma.array(label, mask=ocean | missing)"
]
},
{
"cell_type": "code",
"execution_count": 4,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"<matplotlib.colorbar.Colorbar instance at 0x7f901e5ab998>"
]
},
"execution_count": 4,
"metadata": {},
"output_type": "execute_result"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA0kAAAHpCAYAAABX+neHAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzsvXu8JFV57/192Ih3QYYERVAx4jniyznegp5oIhyjIklQ\nk3hLvOurkT3R5HjeKHvO9O69x9lKjMdLZrxFg6KveMOovEGEKKMxUYwXEAMeMV7BgDoIRo0Rhuf9\no2vtvXbtqurq7qruqurfdz71me6qVatX967utX713MzdEUIIIYQQQggx4KBZD0AIIYQQQgghmoRE\nkhBCCCGEEEJESCQJIYQQQgghRIREkhBCCCGEEEJESCQJIYQQQgghRIREkhBCCCGEEEJESCQJIYQQ\nQjQYMzvSzD5lZj82s1fNejx1YGYnmdl3Zz0OIQISSUIIIYQQgJk9xcwuMbOfmNl1ZvZZM3thyXPv\naWa3mFkda6vnA9939zu5+/9TQ/9CiBQSSUIIIYSYe8zsJcBrgTOBI939SOCPgIeZ2SGjdFXD8O4B\nXJn7gmYLNbymEHONRJIQQggh5hozOxRYAV7o7h90958CuPul7v40d/9F0u63zOxLZnajmX3HzJaj\nbj6V/H+Dmf2bmT0kOec5ZnaFmV1vZheY2d2j131NYrG60cy+bGb3yxjb24FnAH+WuNs90sz6ZvYB\nM3unmd0IPNPMjjKzj5jZfjO7ysyeF/XRN7P3J+1/nLzWcWZ2RvL63zazRxV8Pt8ys/+ZnPdvZva2\nxAXwo8nYLzKzw6L27zezfzWzG8zsk2Z2fHTsVDP752QcVyfiNOs1X5S0Oyr/LydEfUgkCSGEEGLe\n+W/ArYEPD2n3E+Bp7n4o8FvAC83sccmxX0/+P9Td7+julyTHzgCeABwB/D1wDoCZPSY557ikvycC\n+9Mv6O7PAv5f4MzE3e7jyaHTgPcn574beA/wHeCuwO8Da2Z2ctTVbwNnA3cGvgRclOw/CtgFvLng\nfTvwu8Ajgf+U9PVR4GXALzNYT74oav+3wL2BXwK+mIw/8Dbg+e5+J+B+wCfSL2ZmPQbC8Dfc/XsF\n4xKiNiSShBBCCDHvHAH80N1vCTvM7B/N7Edm9jMz+3UAd/+ku/9z8vhyBsLkEeGUjH7/CHiFu/+f\npO9XAPdPrEm/AO4I3NfMDkraXFswxnT//+juH0ke/xLwa8BL3f0X7n4Z8FYGQiPwKXe/yN0PAB8A\ntgGvTJ6/F7inmd2p4PX/0t1/kIiWvwc+4+6Xuft/AH8DPCA0dPe3u/tP3f0mBha6/2pmd0wO/wK4\nn5ndyd1vdPcvxe/RzP438JvAye6+RTQKMS0kkoQQQggx7+wHjoiTLrj7r7n7nZNjBmBmDzGzi83s\n+2Z2A/ACBmIjj3sAr0vE1o/YsBQd5e4XA3uAvcB1ZvbmSEiU4ero8VHA9cFNMOE7wN2i59+PHv87\nA1Ho0XOAOxS83nWp8+PnPw/nmtmCmb3SzL6euAJ+k4El6oik7e8BpwLfMrN9ZvbQqJ/DgOcxEG//\nVjAWIWpHIkkIIYQQ885ngP8AHj+k3buBDwFHu/thwJvYWEt5RvvvMHAtu3O03d7dPwvg7n/p7g8G\njgfuA5TNXOep1/secLiZxSLn7mwWUlWTl6DiDxi4Aj4ycQU8NmlrAO7+eXd/PAPr14eA90Xn/oiB\nK99ZZvZrdQ1ciDJIJAkhhBBirnH3Gxi4hb3BzH7PzO5oZgeZ2f2B20dN7wD8yN1/YWYnMhAEQaz8\nALgF+JWo/ZuApZC4wMwONbMnJo8fnFimbgX8jIE15kDOENOCZNNzd/8u8I/AK8zs1mb2X4DnAO8a\n4WOoijswEJzXm9ntgbVwwMxuZWZ/aGaHJm5+/0bqPbv7p4A/BD5oZr86xXELsQmJJCGEEELMPe7+\nKuB/AH8GXJtsb0qefyZpdjqwamY/BnYyiOUJ5/8M2A38Q+Jed6K7f4hBSvH3JK5nlwOPSU65E/AW\n4HrgW8APgbxCsWnLUfo5wFOBezKwKn0Q6Ln7JwraD3s+jLzxnA18G7gG+AqDzy5u+zTgm8nn8XwG\ngmhTn+7+dwxE3nmJUBVi6tiGO2qFnZr1GfiU/iDZteTuH02OncHgwj8AvMjdL0z2Pwh4O3Ab4Hx3\nf3Gy/9YMvnAPZODL+2R3/3Zy7JnAjuQ1Xu7uZyf7j2UQTHk48AXg6UnwoBBCiJLYoPbK54Gr3f13\nMo6/Hngsg7vgz0oFYAshhBCtpS5LkgP/290fkGxBIB0PPJmB7+0pDMzawWT8RuC57n4ccJyZnZLs\nfy6wP9n/GgZ3ZDCzw4EecGKyLdugzgFJm1cn5/wo6UMIIcRovBi4gow7zGZ2KnDv5Hf2+Qx+w4UQ\nQohOUKe7XVZA3+OAc9z9Jnf/FvB14CFmdlfgju7+uaTd2WwET54GvCN5fC6DHP0wMFdf6O43JL7E\nFwGPTUTXyQzSW5KcOywQUwghRISZHc0gA9Vbyf49X/9tdvdLgMPM7MjpjVAIIYSojzpF0h+b2WVJ\nVeZQhfkoNmdauZpBesr0/mvYSFt5N+C7AO5+M3CjmW0r6Otw4Iao1kHclxBCiHK8hkGmrVtyjq//\nNidcDRxd96CEEEKIaXDwuCea2UXAXTIO7WDgdrGaPN8FvJrpuLyVDrAys+qDsYQQIgd3z0uXW5o6\nf7fi8ZnZbwPfd/cvmdlJRUNKd1PH2OYVzVNCiGkz6VxV9+9WFXNpWcYWSe7+qDLtzOytwHnJ02uA\nY6LDRzO4+3gNm+9Ahv3hnLsD3zOzg4FD3X2/mV0DnBSdcwzwCQZZYg5LqlffkvR1Tc57mNoHPQwz\n67t7f9bjgGaNBZo1niaNBZo1niaNBZo1nionjX5VHRX3+WvAaUnc0W2AO5nZ2e7+jKhN1u955m+t\nGB/NU9k0aSzQrPE0aSyg8RTRpLFAdXNVv4pOpthvHrW42yUxRoEnMEh5CfAR4ClmdkiSge444HPu\nfi3w46RegAFPBz4cnfPM5PHvAx9PHl8IPNrMDjOzOwOPAj6WVI++GHhi0u6ZDIqVCSGEKIG7L7n7\nMe5+LPAU4BMpgQSD3+ZnAJjZQxm4OV835aEKIYQQtTC2JWkIZyZ57R34JvACAHe/wszexyBb0s3A\n6b6Rg/x0BinAb8sgBfgFyf63Ae80s6sYpAB/StLX9Wa2C/inpN1KksAB4KUMahK8HPhi0ocQQrSe\nun60h+AAZhZ+y9/s7ueb2alm9nXgp8CzZzM0IYQQTWJG81Tl1PI+Mu44xsfWiKovR/u/AJyQsf8/\ngCfl9HUWcFbG/m8CDxlhyE1g36wHELFv1gNIsW/WA4jYN+sBpNg36wFE7Jv1AFLsm/UA6uBWU349\nd/8k8Mnk8ZtTx7ZPeThituyb9QAi9s16ACn2zXoAEftmPYAU+2Y9gBT7Zj2AiH2zHkAdTHueqota\nism2ATPzJvl6CyG6S1W/N2bmZ1YxoBQvpVmxL2KA5ikhxDSp4jenrnkKpj9XdcUiJoQQc4F+tIUQ\nQjSZrsxTddZJEkIIIYQQQojW0RWxJ4QQc0FXfL2FEEJ0k67MU7IkCSGEEEIIIUSELElCCNEi9KMt\nhBCiyXRlnurK+xBCiLmgK24MQgghuklX5im52wkhhBBCCCFEhCxJQgjRIvSjLYQQosl0ZZ6SJUkI\nIYQQQgghIroi9sbCbMVnPYaZs7I86xHA8sqsR9BNJv3b6u8CgPvy1Kp7l6Ervt5CCCG6SVfmKVmS\nhBBCCCGEECJiri1Jc08TrEiQPQ5ZMUan6r/nyrL+Dg1EP9pCCCGaTFfmqa68DzEqTRFIecTj00I9\nm2n8DSWUGkdX3BiEEEJ0k67MUxJJ80jTBVKavPHO0+J9ln8zCSUhhBBCzBkSSfNG2wRSEV23NjXp\nbyWh1Bi6codOCCFEN+nKPCWRNE80adFdNW2Pa+ry30YIIYQQomVIJM0L87gIH+c9VyGsuvpZy5rU\nCPSjLYQQosl0ZZ7qyvsQRXR10V4H+qyKkVASQgghxBwgkdR1tOgXolN0xddbCCFEN+nKPKViskKI\n0ZDwnikH17AJIYQQVVHHPDWLuUoiqctoMSuEEEKIMTBbcbMVn/U4hJgVuokoxAzY2dvBrtXdsx7G\n+Cg2aWZ0xY1BCDFbygqgMu3cl23yEYmu0JV5ytzn8yaBmTn0Zz2MepAFaebs7O2YuI9WiKg5EEpV\nTP5m5u5eST+fnrSTDB4OVDE+US1VXTdivpmWNUhCqf1U8ZtT1zwF05+rZEnqGhJIUyVLDI0qbnat\n7mZnbwere9cA6C0ubeq7FWJJTA39aAshmojZiksoCejOPKWYJCHGJBZIQeAU7S/qZ3XvGr3FJXqL\nS6zuXcvtr3FIlAshRKMIsUSKKRJdx8z+2syuM7PLU/v/2MyuNLOvmNmZ0f4zzOwqM/uqmT16aP9y\nt+sIWqxOhViwBAtQIAid+HlMEEChTXicJaTiflphSeqw213T3O2+MGknGTwIuds1EbnbiVGYtSBy\nX7Z4DLIqtY+q3O3qmKdg61xlZr8O/AQ4291PSPadDCwBp7r7TWb2S+7+AzM7Hng38KvA3YC/A+7j\n7rfkvZ4sSV1AAql20q5vq3vX1i1AsZtcnuBJC6SwPz6e3p81hsZalXQNTo0upFUVQlRHUyxGTRiD\naAbTSgHu7n8P/Ci1+4XAK9z9pqTND5L9jwPOcfeb3P1bwNeBE4e9DyFEDrEo2SSUeoN9aatQ+nH8\nPE/8BLKOB1HWWHEkhBBiqrRFjIRxyqIkpsxxwG+Y2Rrwc+B/uvvngaOAz0btrmZgUcpFIqnt6A5+\npcRCKJ2mOxwL/6etRlnudVn7Y4a50mWJo8YmdFBa8KnQldSqQohyjJKCuy0CSnSbquapzyXbiBwM\n3NndH2pmvwq8D7hXTtvC74tEkphb8qwzZcVH7F6X5U4Xt8t6jUa7z42LhJIQQkydujLLpeOMxiHr\nfFmXRBlOZLM/3BvKnXY18EEAd/8nM7vFzI4ArgGOidodnezLRTFJbUZWpInIE0Npa9K0XndUgshK\nW7hEt1FMkhDdJZ2ZbhSBUocVqS7LlDLwdZtpxSTl8CHgvwOY2X2AQ9z9h8BHgKeY2SFmdiwDt7xC\nQ5Wy27URiaOxyKtpFKfgTgujOCYoy/UOtsYgZZF1bhkrVFnyBN3MXPI6ZE1qWna7r03aSQb3Qdnt\nmoiy280HEgkbyMI0W6rKblfHPAVb5yozOwd4BLAN+D6DiPF3AX8N3B/4BfASd9+XtF8CngPcDLzY\n3T9W9HoSSW1EIqk06fidca0tk1iV0kIrFmUx6WKyVY5n6mJJImkTVYqkb07aSQbHIpHURCSS5gOJ\npM1IKM2OqkRSHfMUTH+ukkhqGxJII5EnJOIaRXmiJBYieaJk2Pl5YyiT5GGYWBqlbTyeqSCRtIkq\nRdLVk3aSwdFIJDURiaRuks76JpG0GYmk2VGVSKpjnoLpz1VyR28TEkhDSbvF5WH7nZ3sKBRKeQKp\nSJzEbfPOCefl1VQqyoaXbttYwrXaIbEkhBDjkJdUoa5kC0KIalDihrYggbSFPCtRLFKGJWeA4WKj\nSOyk98UFZvNee1jh2DI1lUalcenCxdhMIxjWzG5jZpeY2aVmdoWZvSJrLGZ2kpl9ycy+Ymb7qnuX\nQoh5RKKxG8w4cUNlyN2uDUgglaZMDaEysTx57nBljg+LLSo6Pkpc0ijMVCS13JrUNHe7ayftJIO7\nsNWFwcxu5+4/M7ODgU8zKMj36ej4YcA/AI9x96vN7Igkg5CoCLnbdZPYvS7+fZHbnUTSrKnK3a6O\neQqy56o6kbtd05FAGokiy9G6AGGri9wWepuF0LDsc2XETeijqE1wt5s0212jUO2kSrlVHb/aN2/d\n5e4/Sx4eAiwA16ea/AFwrvvA/VwCSYhySAiIrlPLPAWZc1WdSCSJuSMtaNKWpSCa0vFBcbKHLIaJ\nmrKip1NWJFE5B09JJJnZQcAXgV8B3ujuV6SaHAfcyswuBu4IvM7d31nD6ISYOXnWn6K2Re3SViMJ\nJ30GXaKWeQqmLpLkbtdk5sSKVMZFLm47rF1WnaOwPy104qxycRKHOAFDmUQKaXe7pliBGiWQWmpN\napq73Y23mbQX+PsD8OlbNp6/8kC+C4OZHQp8DHhZqDWR7N8DPBB4JHA74DPAb7n7VZOPUIDc7epk\nUte2UQRQ1rlyrdtM3udZlAlQoqp6qnK3q2KeyuLQnysF+FRovEhqsUAaFvMTBEhWWu1hi3rfZusC\nJJ1iOz7Xtw2+Q1lth1mC0kKpbLa5rL5mQVlhlCU4fZth+2v8TWihUGqaSPrZ7SftZSu3+2nxxGNm\nO4F/d/e/iPa9FLitu/eT528FLnD3D1Q/wvlEIqkeqhYo6d+IMiKpjnG0jTK/rVmiqIylLuv8UV53\nXqlKJNUxT8HwuapqlN2uiXRMIIUYm6I2sFnI5LWJY3aKLFDp2J8y2ewmsboMS+wwLUZ9D+nPeRSB\n5NsM32bs7O1YF6VDafG1PU+Y2RFJYgbM7LbAo4AvpZp9GHi4mS2Y2e2AhwBplzwhGoHZiodtFq+v\nhflmxvk8qqovFV8L8y5URTESSWIigqApI1jSwiG9QC8SR4FRxEdW22EiooyYy6MpLnajkn6fZQRP\n3CZ8ZkE0lRZMYiwOPrj6LYO7Ap8ws0uBS4Dz3P3jZvYCM3sBgLt/FbgA+HLS5q8y4paEmBnTWgjH\n/ee9lhbj+aRFS9FnVfT5xueO8nfX36Z66pinaotzKkDudk2iRXfZiwREVjxPGQExzP1uU4a6qL8s\n4RMv1LPaDlvIj2oZmoVAKhvDNeycYTFh6eOjiKBcy1SL3O6a5m73i0Mn7WUrh9w4XRcGUQ65203G\nNBe/Za0bZVN+dyluaZz4rWEujEXHx/3sZO2rzt2ujnkKpj9XKbtdU2iRQBqFUcVDLJTSi/Y8wZUV\nWxPHF+WNK+tYb3GJBVuDxc3jyeuvbnE0rgvgKFaw8B7zkmLsWt2NbzN2MfpYao9xmkNqS60qhKgd\nsxUfN5amyZQVQmWFSPpzyhI+ebFJbfvsukhX5im52zWBDgmkrEV2WlikY5Ti8+KFenqhX1RjqCiN\nd7wvFgN5xVwP+OZYpgXbGOs0LEa7Vnevb8Mo46KYbl/0mlntdvZ2YPt9tNijYXTomp86CzVsQswZ\n41oNqrQ2uC9bm60XYfyxFW2Y69wkAib9elX0KWqijnlqBnOVRNKsmYPFYlpYDBMaZdJ8lyHuI+3K\nlyUWVrYPtsCCbRVzsCHW6hBMRS5vWVt8vGpii154XOl7noNrXwjRTGa5sO5CwoC022Ad7ycd61Xk\nctdmsSmai0SSqJSqFutZ/RQJp9hKlNdfsGDFlizb7/QWl1jZzroFacHW1q1HQTTF1qRJM9elx1DE\nqJaiMmQlzEiT91kXjTlLQMnVrgYOrmETokPUKUKqTMzQdqEEk73vcc/NslLVWfdKjEEd85QSN0yP\nRiRuaOGd9DosFnnudXE9pXB8wTa7ww3rM5wX1zyKi8amWd27tsmadMCX1vfFIiocyzo/MCz5Q7qG\nU5q0a1vZ5BeBYck1stqlP/P4+KhFddOvk0vDkzg0LXGDHzVpLxn9fk+JG5qIEjeMThOERz/5V5a2\nJGmosr5T2fdc5jWr+PwkkgZUlbihjnkKpj9XyZI0KzoukPKSLuS1y+o77AuxMKNYc/KsJfEiPliM\n4m1lOyzv2dxXbE1KjyEeR9GYhr3/eJx5n/MoFqxJ/lZp18RRXB/LWsg20cLvwkzpwN05IcZllHTR\ns2BUgdQWZl0Ad5wMeWKGdMSSJJEk1smLdxm3r5i8bHKhbZbYWN27tmmBHouXoux16YV66KPs4j0d\nl1TULm4bkxWHFe/rLS5lCqSsx1nnZ1FFHFf82vG1MKviuEIIMUwQNUkwjSOQ3m4283EPo+rPd9Qa\nRk3424r5Q+5206Zhd81jV6p4ER6eh/TPIbtZWjQs72GTK9ooFNVTimOGYMP1LH6tPJe5wIKt0U9+\nV/vLtklk5YmbcQl9540nuOwt79kskGIxNOz95FHGxS79+WbVnyoa+ziMHI/UULe7xrnb3WvSXjL6\n/Ybc7ZqI3O3mY3F8VrIW+T7w0oZZoap0BZy1NSoPudptUJm7XQ3zFEx/rpKjxRyTFihBEMX0l40V\noI+xvA3Sy9ggNuJF9riL/Szr0LqbHPmWqCL6y7YulNKxRkVWolFZ73tx8/7119gzeM0VW2Nh+xqs\n7N4ikMYhL+V6WvDmUWQxnMR61FtcgtURT1pZbqxQahRK2S3mhFEW069PxMWLGiYy2srL1z/Ham7s\nNlUgiZroyDwld7tp0iArUp4FJya9SC6yvqxs34jZKdNXGEP8+nnxLHluZMOEWD/6LV7es7GFZAxV\ns7xnc9rwkGTigG+4yoXngbxED7HLYR7pY2nBU/S3TVPUZtTzs1wJhRAij9iVa1zXuRfRb71AapIV\n6X/R53/R540VuQI2xR0yjaxIoghZkqZBQ8TRpHFGZa0vRdnf0qxsBxbzM7/Bhpga1UVuZ28HB3pL\n9M3WLWBByNRhrwiugL0SbX2b0WNpU6xWnstjmvD5hvkm7TIZzkkLl1Eom5AippIaSrImDUe/2qKj\nNHERPQ2eTZ+zki087wrvos/TJng/dWf+M1txCaUa6Mg8JUtSx8lLxDCuJSWd+a2IonTYecerLtIa\ni7oQPzXKe6jidbMIlrdR6w7F7fvLtunvmhZcraUhNxWEEPUzr8IozbPpr29N4vSGjUeIaSKRVDcz\nXPCNajka1n7UGJ6V7cWL9XTCh0ldvmDwennjDK9XddKGmHSa8DTp8WWNZdhnFki77Y0bC5b12kGs\nZlml0lQpakUJOpBWVcwvTU7fLapnEisSSES3lo6kAFd2u7qZokgqK4qGWXjiNvGiPLbETCI0Qoa3\nUdzyYLNIS58Tjzc+FuKCxnHXq4L0e42Ji9OGdllxREXve1yyMgumXzc+FhfgHRbrVFk8UkPc7hqX\n3e6ESXvJ6PdyZbdrIl3MbqdFr2gKcrPbSmXZ7WqYp2D6c5UsSXXSQLehstaGIre3ScVGcDWLxxST\nJSiKrCuhCGx6XKGfcHwWhPcaEkbAZnEUxhhb3YIQSVulstwERyneGmcxTO8Lr5tun45rSsc9pfsQ\nU2Chhk2IKSCBJEZBIqbF1DFPzWCukiWpLqYskCZNyhATL46z6iIF6hQeaYtJehwhiUSeVavqFN91\nM8w6FyxNeYziahfXaMqqT1VU+DeQJ5Iqz2zXAGtS4yxJD560l4x+Py9LUhPpmiVJIkmMQt1JGyTC\ntlKZJamGeQqmP1dJJNXBDCxIWYkZqlhU5wmNtomQthF/vuFxOgapTCa8NMMEUtbzsC9+PnKh2EmY\nsVCSSBKzQiJJzCt1FLEVw5FI2ozc7aqmQS52w6wB47hJxa5iVcXHiK1k/W2yXOPSCRvGdX3LOq9M\n0gYxAzoQDCuEENMinSxESUOmQEcSN0gkVUmDBFJMVtzKuKm2V/eurRdllSWpPmLXuzhuKZDOQhcY\n92+aPj9PbKVfL04vn5VqvhIa+r0SQghRD9MQMRJKYhhyt6uKBi3kqo5PSsfKVJXlToxHiAuLEymM\nS17ihtBvnAUPst31YkIflcYlBWbkdtc4d7uHTdpLRr//IHe7JtI1d7sYLVBFE5Ar3mYqc7erYZ6C\n6c9VsiRVQYMEUkx6oTrOwjVrAS5hNFt6i0uZVqWy5F0HWf3EAml171opa1EtAgka+z0TQgjRPiSQ\nxDDGFklm9kQz+2czO2BmD0wdO8PMrjKzr5rZo6P9DzKzy5Njr4v239rM3pvs/6yZ3SM69kwz+1qy\nPSPaf6yZXZKc8x4zu1V07PXJ/svM7AHjvsdSNHDhtmt19/pCNTxOWwSqQGJpNqStP8MSdIxzbJh1\nKis9ONQokMQGHfDzFsJ92cI267EIISpGMUlcDjwB+FS808yOB54MHA+cArzBzMKP4BuB57r7ccBx\nZnZKsv+5wP5k/2uAM5O+Dgd6wInJtmxmhybnnAm8OjnnR0kfmNmpwL2T/c9PXnNuCXf+swqHinZS\nVpxmueLFYjl9rKiwcHzdhMfpuk5QratnJg28KTF1OjDxCBEjoSREx5h3keTuX3X3r2Ucehxwjrvf\n5O7fAr4OPMTM7grc0d0/l7Q7G3h88vg04B3J43OBRyaPHwNc6O43uPsNwEXAYxPRdTLwgaTdO6K+\nHhf6cvdLgMPM7Mhx32chLViwhTv7oxQdTaMsds0kLpZbFB8UkxYxwRJVVBspS0zlCaqpWJJa8L0T\nQgxHmcbErJAwF2WoQ5cdBXw2en41cDfgpuRx4JpkP8n/3wVw95vN7EYz25b0dXVGX4cDN7j7LRl9\nHRX6is45GrhusrfVLtKxJErj3D0O+EDYrFBNmu50H3lJHbKYat2keUeWH9EBJIzErJBAmgIdmacK\n34aZXQTcJePQkrufV8+QhlLmhzX9Bcg55+Lo8T2BY8uNoOF3sn2bsRJ9AivAwvatVoKQnS7PUqQU\n381mwQYCKTzOKjo7Cel04LGr3q7V3ewisRqtDkT5VOORVpZnXmS2CDM7CThpxsMQHcDM+tHTfe6+\nb0ZDqZxz6fN7VWaZFSKDdGFasxWXUBqguaqYQpHk7o8ao89rgGOi50czsOZckzxO7w/n3B34npkd\nDBzq7vvN7Bo2//GOAT4BXM/Aje6gxJp0dNJH3utfQyYnj/jWaLxAgsFd/f4WnbiVkMa7l9qflfZb\nNJflPRtxQSuMV+g3ji9KM8yK5NsM9sLqtjVZlBKShey+8NzMqvvhWKisJ9EC3L0/6zHUgfuyfdD6\n+sEQtSOrZT61zVUdmaeqSgEer8g/AjzFzA4xs2OB44DPufu1wI/N7CFJTNHTgQ9H5zwzefz7wMeT\nxxcCjzazw8zszsCjgI/5oLjTxcATk3bPBD4U9fUMADN7KAO3vLlytRuVOKZFAql9rGxPrErJ3y24\n4Y3CuMWFfdsMb8a14IaFECKbD5pp4SqEaDRjew2a2ROA1wNHAH9rZl9y98e6+xVm9j7gCuBm4HTf\nqFh7OvCs7ixDAAAgAElEQVR24LbA+e5+QbL/bcA7zewqYD/wFAB3v97MdgH/lLRbSRI4ALwUeI+Z\nvRz4YtIH7n6+mZ1qZl8Hfgo8e9z3uIkWLcj6I1iRw8J6ZfvAJa+5DkyiLAu2Bnvq6Tu41M1UHMU0\n3O2uFjri6y3mE7MVP1cudqJG0u51YgZ0ZJ4a+224+98Af5NzbA3Ycivb3b8AnJCx/z+AJ+X0dRZw\nVsb+bwIPyTlnLm0hvs1kBRJANYkc8vBtVpgNT9RMRyYfMX8MrEf99eeKRxJ1IIHUADoyT3XkbdRM\nC6xI/WWTFUisk066MUqMUlE2xJ29HYP4oyYJpHm0JgkxZcouPLMC4s8z89Pocy5K1iCEaA8SScOo\nWSCVLb6ZlzlsFNc6Mb/EomnS7HfBipTOfjdT5kkodSQgVkyfIqETxE1Wm1EygcWZw8xW/COyHAkx\nf0xpnjKzvwZ+C/i+u5+QOvYS4FXAEe5+fbLvDOA5wAHgRe5+YVH/VSVumHt29nasb/HzdJv0sbJp\nk9N9+TaTQBJjMSy1e5GrXrheQ5tdq7vXM9rNPLNdCyy+QsyCMgVbi9qM6r5ktuLnKTGDaBCx0Ff6\n705xFnBKeqeZHcMg2du3o33HA08Gjk/OeYOZFeog28ipMF9Yyjd6CyUXXLMo2qr6RWJSxrUmhVpJ\nC7ZGv6lu3xVblKqYUM3M3b2afp46aS8Z/Z4DVYxPVEt11830v6zBgnSarEdihlxMn5P021aaKn5z\n6pqnIHuuMrN7AufFliQzez+wi0EW7QclieDOAG5x9zOTNhcAfXf/bN7ryd2uQqYhkEItHCGmzYKt\n0WcNZLARojXMKohd4kjMgoui6+5RyePwHZAFaT4ws8cBV7v7lwcVh9Y5CogF0dXA3Yr6krtdFiNa\nkVb3rlUel5HVn2oYiVmRdT32l605qcBjuu52d3ANWwozu42ZXWJml5rZFWb2iow2f2hml5nZl83s\nH8zsv1T9VsX4lHGxE6JrPIr++iZmSB3zVAmzjpndDlhi8+3cooVK4W+kLEkxIyyufJuxknzswbKz\nsH1jIbm8Z3LLUtqtThYkURXh2hrmdheEeda1t7ynAXFI88gUAmLd/edmdrK7/8zMDgY+bWYPd/dP\nR82+AfyGu99oZqcAbwEeWv/ohBCiHCcnYklWpClT0Ty1719h37UjnfIrwD2ByxIr0tHAF8zsIcA1\nwDFR26OTfbnIkjQGZTLSTWrxkcVITIMiC+iCFVsup+FeKmaHu/8seXgIgynv+tTxz7j7jcnTSxhM\nOEIIIUQlnHRX6D9gYxuGu1/u7ke6+7HufiwDl7oHuvt1wEeAp5jZIWZ2LHAc8Lmi/iSSAiNYkXat\n7i61QFSCBdF0VraPdp0u7xls0JDU33l02eVuSi4MZnaQmV0KXAdc7O5XFIzqucD5k70xUSW6cy7E\nBsH9VC6oU2JK7nZmdg7wj8B9zOy7ZvbsVJP1v3cyh70PuAL4KHC6D8leJ3c7qHVBlVVPpujufFiA\nyrVOTJMFW+OALw0VTOHaPeBL9ICdbFhVy6aznxrzVDupBtz9FuD+ZnYo8DEzO8nd96XbmdnJDOpO\nPGzKQxRCiImIRZNuLLQPH5JHz93vlXq+BpS+wyuRNCZls8ytbN+IVSrbXohZUMaiFMfaBdGfZ1Ud\ntRZYLXRRKFXwq73vGtj3vXJtk5ijvwUeDOyLjyXJGv4KOMXdfzT5yIQQol7igseiJjqiLuRuN6YV\nSfEYYh7pLS7lZnMsE6snmsFJd4P+r25saczsCDM7LHl8WwZF+b6UanN34IPA09z96/WPWozDZ5Xl\nS4gtfNHMv6iCx2II811MtgLX1L5uRoiOk5cBL6t4crBGhUKzO3s7muGGN4E1qXHFZGuwNtuezQX6\nzOwE4B0MbqQdBLzT3V9lZi8AcPc3m9lbgScA30lOu8ndT6x+dPPLpNeN4i+E2MoXohsHD3Q31VHa\noLJisjV5RaXnqrqRSBqTuEbSyvaBG5Jc5UTXCDFygSwLarp+V7+p67IxhVLjRNKLJ+0lo9/XTXfi\nEeWQSBKieoJIeqB+87ZQmUiqYZ6C6c9VEkkTkHYvUjY70XWyrErxdd/o2kkSSfn9SiQ1kmoWLJsn\nuivoc7xc8ITga/Q5Tr97m5BISr2eRNLkpMVS+s66EPNCSOzQCBe7PEYUS40TSS+ZtJeMfl8tkdRE\nhl03Zd2EZFESIhv3ZVOGuw0qE0k1zFMw/blKiRtyKBuEHrvdlUnxLcQ8sbO3QwkdhKgZ1X8Ropir\n6a9vQpRFlqQCygSd5y0AF2xNcUpibuivOP1l44A33IoUGMGa1DhL0ksn7SWj3zNlSWoiZa6b9F3w\nLOuSBJSYd2JxdHRKKM279SimMktSDfMUTH+ukiWpgF2ruzeJoFHviEsgiS6zvGcgjg74Er5tIJBW\n967JciTEFMkTQ7IuiXnn2pQwCltM+P7o+yKykEgqQbzo822WuQjMqx0jRBfpr/h6goZdq7ux/b7p\n/xiJpopZqGETnSbvTvmNt+lz4236Ux6NENPjWvq4L1veNuvxdZY65qkZzFVytxuBOP4ozuC1s7dj\ni0Cy/Y5vM1mTRCdpjVtdESVd7hrnbtebtJeMflflbtdExrlusu6Gp4PThZg3in7H09+NeRZPlbnb\n1TBPwfTnKlmSRmDX6u71u+Xp/aF+TG9xadPjLNK1Z4RoEyENeBkLUaOtSCvLsx6BEJWTd7d8nhd+\nQpS5SSDrkkgjkVQhaVEU6scc8KVNmxBdodEiqKscXMMmWk+IqRjVYuTb+rnHfnb7/GNCCJFLHfPU\nDOYqTY8lCAvBIveirGMhkL2XarOL3ez0HaqnJBpPXobG1b1ruZbSVrGyPHaRWSGaQKYouoe5fae/\n/rTImmRGrqi63U/7eYeEEKLzKCapgJ29HSzY2rrYgcGCsaqCmYpZEm0gLZTi58Nik8qk0W8EBUKp\ncTFJNeSHsSXFJDWRUVOAj8MosRpCtJnsmwSKR4qpLCappjxm056rZEkqwYKtQRRHtLIdVlmD3tY0\n4YEy9ZV6LLGwXRnxRHM54EsDS+jihvWoB+vX7ereNXaRfa0HgdQKoSSLkphT0nWW0vuEEGJeUUzS\nEJZT4ih+HGKOdq3u3pQCfHXvGr7N8G1bxW4sqFb3rilGSbSGle1syeIoS+gM6EBaVTE9/IR+6bYS\nR6KL+L36+L36pa5v1UuqiI6kAJdIKklvcYnlPRuZvYJ42tnbwc7eDmz/Rt2YkOGut7i0fjyvzyC0\nhGgiC7a2vsHmmwOBvOt7PQYvx9raONqS7a4DwbBietjl/dHaa4EousadwL7R37QriCFltKuJjiRu\nkEgqINw1D4IoDlQPd9CDBalIDAXC8bid0oGLtpO2LqW/B7HbXeNpi1ASQghRCru0P/o5ulkgkEgq\nJFiH0nWRAsGqlOWGlKbImiREm0lfw8MSOYgJ6cDdOVEdugsuRHmC+IktSKO44Uk8lUSWpPkgbSGK\nXY0WbCOFd3BDil2Tskgfl7udaAN5sXPDCsumkzdkCaidvR2Z8XszQ9Yk0TKqFkr+0H6V3QkhRCuR\nSBrCuFm5YstS6GN179qmuCYJJNF0wrUart3YPbSMq2j6+zNOJkiRogPBsKLZ2Gf7sx6CELURW4XK\nWoZksR0RJW6YH+JFXFgYjhJLFO6Sh2QOw6xNQjSFUCcsWExDAhPIz2wXW1/Tj/PaN87ttMnWpA64\nMIjqkAuQEKMxjuDRd2xE5G7XfeIFXhBKZRdz6QVkEEXDYpeEaBrh2i269vPc6OLjee52jWVludli\nSYgU8eLPH9af4UiEaD8SRsLc5/MaMDNnzOt/Z2/HemHNcKd9wdaG3mEXoo0s78m/puNYpSCA0rXA\nYoLQapNY8h4Tu1lUUcV8vZ+3TtpLRr/Pm24Vc1GOousmawHnvmyZ+x/Zxz7er2GEQrSPvO9JUfs6\nx9Mkqpir6pqnYPpzlSxJGeS5BwXL0q7V3dh+31QMNrgkNc5tSIgJKRL9q3sHrqN5oqe3uLSeITL+\nbijLnRDVk7fwk0AS844/pj/Y5kjwiMmRN3oGcU2XrMVc2LdiwOLmY4o1EvPGAV+C1a37Y8tS7GoX\nnosxUaIFQfm74X5aH/tIfwojEqK52Mf6AJQxH8XfLYmqMenIPCVLUg5Fi7h0kdl4nxDzREh9n0Uc\nz5eOTxJCTE6ZBZwEkhDgv9vHf7c/sLb+gQ2/uRDVURLzi2KShpBXIymmKGZDiHkgjsfLqqnUZmHU\nuJikd07aS0a/T1dMUhMpe90owFyI8vhT+9g5/eI2GQJpHqxLlcUk1TBPwfTnKlmShrC6d22olUgC\nScwrsSAq+h6kizJnHRcl6UBaVVEdEkhCjMYwgQSbU+un0+zrO1cCpQDvPjt7O1jZXuxSNEq9JCG6\nRvhejHKjIBZEO3s71uuISSgJMR7+9P6shyBEJ8lNhiKhNBfI3W4IO3s7hiZjCCnAhZh3lveMnuEx\nWGqbmh68ce5275+0l4x+nyh3uyYidzshmksX3e4qc7erYZ6C6c9VsiQNocjV7oAvsbxnq5UpKyZD\niHmljMuqEKJ6/Hn9WQ9BiM6imxPdRyJpCEV3xXet7s48Hi8IY3c8ueaJNjLKdZv1fShjWVINpRFY\nqGETrcdf0t/8/Hn9Tf8LIapHQimHOuapGcxVEklD2LW6m/6Kb7IOLe/ZsBYFK1J43l/x3PgMFZoV\nbWPUzI15bqdF176+F0JMhvuy8RcDF5Qgluyt/fUNwP8o+f/F/U2bEEKIbBSTNAJxfFI/Ode3GbZ/\naz/9yFU1L2ZJqcNFGxl23VbhbtqkuKTGxSR9ZNJeMvo9TTFJTWSc68ZsxTdZlf7CtxTGXH/+4j72\nuv7WToQQpelSbFJlMUk1zFMw/blKlqQR2LW6mwO+tGkRmBZIIVtX3GbB1tbPC65L6f+FaDrhmh5m\n+VH8Uc10IK2qqA/3ZbNX91nfbMVjcbQplXEkkHypv6UvIYQYi46kAJclaQxCzES42x2ep13vVveu\nbcrYFSxRQRj1FpfWF5SyKIkmEqxGsXtpnMFude9a5rWbtiaF679JFqKyNM6SdP6kvWT0e6osSU1k\nkusmFkPuyxvWpDP7g+Mv7a+39V4fW+0jhBgQW4fmoYhsoDJLUg3zFEx/rpJIGpOsBV9wseuveObx\nIJLCAnLX6u5NbnkgFzzRLNIiKYssV9K89lnfiaYLp8aJpI9N2ktGv4+RSGoik143mYu7P7cNS1Ik\nlIQQxaTdVdP7u0BlIqmGeQqmP1dJJFWIbzN6i0uZi76dvR3rd92X9wzc9GILVIhbit3vJJZEE4gF\nT7qmUdiXvlbjc+LvwzBR1ETRJJEkZkVV183mPrcu8Lbs29PHtverfFkhWo9E0gh9SCS1mzpEUiDP\nigT5d9JjEQUqUCuawQFf2hRjFMRRbBENz+NzsigrfpomlBonkj4+aS8Z/T5y88RjZscAZwO/DDjw\nFnd/fWosRwDvAu7CwFv8L9z97dWPbn6pQyRt9L3VypR20ctqL0TXKSN6ir4rbaYykVTDPAVb56q6\nkUhqEHH2PCGaQplsdenrNricBkYRPRJJQ/qZjki6C3AXd7/UzO4AfAF4vLtfGbXpA7d29zMSwfR/\ngCPd/ebqRzif1CmSxmFdWL21jz2vP9vBCDEBfk4fAHtqf+sxiSSJpARlt2sIYWFYRfpkIapiec+G\nACoS8OksjemCsCoQWyFTyBjk7te6+6XJ458AVwJHpZr9K3Cn5PGdgP0SSPOBPa+PJ/WXhGgbRQIJ\nNrJAyno6AR3JbieR1DCCUOqv+HotJiFmRXD/LErrvWCDLI5xQeVdq7s3bbAhlIYJpuB+KnKYchVz\nM7sn8ADgktShvwLuZ2bfAy4DXjzZGxNtwX3ZZEkS80CeWHJftrwYJUE981TGXGVmf21m15nZ5dG+\nV5nZlWZ2mZl90MwOjY6dYWZXmdlXzezRw96GRFJDiN2L4kWlLEtilizvGbjbxbFIMfHzIKT6y8bO\n3o71DdgklgLDhJCEUn3s+yL037ax5ZG42n0AeHFiUYpZAi5196OA+wN7zeyOdY1ZzJ54URgvEoXo\nApNczxJKM+Ms4JTUvguB+7n7fwW+BpwBYGbHA08Gjk/OeYOZFeogiaQGkV4U7lrdrRglMTNicRSI\n3e+CKCpbZDYWTVAcp5S2PomIClwWTjoR+i/Y2LIws1sB5wLvcvcPZTT5NeD9AO7+L8A3gf9UzZsU\nbUALQ9FG7Kn9TFe7ouu5zLWu70PElNzt3P3vgR+l9l3k7rckTy8Bjk4ePw44x91vcvdvAV8HTix6\nGxJJDSJr0ZiO9RBiVgTRFIuivAx3sFnoxMkY0vvzkFCaHWZmwNuAK9z9tTnNvgr8ZtL+SAYC6RvT\nGaGYFbEFadPj9/fx9/dnOjYh6ibtfidrauN5DhBK2x4FXB0duxq4W9HJEkkNx/YPYpMklsQsWLC1\nTfFIq3vXCuOTYoK4ic+JhU8Z97smZblrDNMJhn0Y8DTgZDP7UrI91sxeYGbB9rQGPNjMLgP+Dvgz\nd7++8vcrGo/7svH7bvbE/qyHIsTE5LmS5lmK8tLozzUVzU37Li3nGp6Fme0AfuHu7y5oVvj3mkGu\nCDEOvcUlFrbL9U5Mj2AZWtnO+rW3Eg4uZrcNhJpfZWLqyiZykGCaHu7+aYbcRHP3HwK/M50RCSHE\n9BgmdkJcXngeF2VOHxPjc9KDB1tg5a/KnWdmzwJOBR4Z7b4GOCZ6fnSyL78f1UlqF31978SUiYXO\n6t613CQO6XPSBWfLus/liaFZCaXG1Um6dNJeMvq9/3RrT4hyNK1OUmnOM7fT+rMehRC1k1d0ua0i\nqbI6STXMU5A9VyUZWM9z9xOS56cArwYekdzMC+2OB97NIA7pbgw8IO7tBUJIIqll+DZbT8ssRF0c\n8KV1F7mshAwLtsbyHkoJpuU9A2tU2mW0KNFDk6xGjRNJlw9vN3K/J0gkNZE2iSS5GYm24+f3sVP7\no53TUjGUR2UiqYZ5CrbOVWZ2DvAI4AjgOmCZQTa7Q4Dg/v0Zdz89ab/EIE7pZgZZWz9W+HoSSc0m\n6657cGUSom7SiRmC4Em70Q3LwpgXU5cWSk0SRwGJJDEr2i6S/GN97DH9GYxGiOkgkZTTx5REUt0o\nJqnhZC4aeyg+SdRKXixRb3FpPR5pmFgPlqY4tilYqII4SidyiOsqiRz0qy0aiILXxTyi+KMcOjJP\nyZLUMnb2dsiSJGonWH56i0tbkjDE118ce5S+LsOx8HhUmiKUGmdJunLSXjL6va8sSU2kTZakGIkk\nMW90RShVZkmqYZ6C6c9VEkktRzFKomqCS10WWQkZYHhM0rBCs3k0QSg1TiRdNWkvGf0eJ5HURNoq\nktJINImuI5GU6qOGeQqmP1eNXSfJzJ5oZv9sZgfM7IHR/nua2b9HtTXeEB17kJldbmZXmdnrov23\nNrP3Jvs/a2b3iI4908y+lmzPiPYfa2aXJOe8J6kOH469Ptl/mZk9YNz32AYkkETVDBNIaUKsUh7j\nCiQhRPuRQBLzgK7zbjJJMdnLgScAn8o49nV3f0CynR7tfyPwXHc/DjguSdMH8Fxgf7L/NcCZAGZ2\nONBjkK7vRGDZzA5NzjkTeHVyzo+SPjCzUxmk9DsOeH7ymp1kZ2+HisyKqZG2IK3uXVsXTXlCaBw3\nu5hh6cLnkoUaNiFqQAtHMU8UXe9mKz5X34c65qkZzFVjiyR3/6q7f61sezO7K3BHd/9csuts4PHJ\n49OAdySPz2Wj+NNjgAvd/QZ3vwG4CHismRlwMvCBpN07or4eF/py90uAw8zsyFHfXxsIrkjLezY2\nIeokiKIF2xx/lOduF+8PKcWzHsfP0wwTSnMnpCqqZL5pE6IG3JfNfdn88/1ZD0WIqVCmCO20xjJT\n6pinZjBXTWJJKuLYxNVun5k9PNl3N+DqqM01yb5w7LsA7n4zcKOZbQOOSp1zddL2cOAGd78lo6+j\nQl/ROUdX8q4aSG9xaX2T652og0msQct72JTdLiauwzTMJU9CSYh2YrbiEuJiXpk7C1LHKPzpMrOL\ngLtkHFpy9/NyTvsecIy7/yiJVfqQmd1vwnHGlLnY0kFd2edc3N94fM+T4NiTxhvRjFCmOzENhtVA\nKiJcm6GPOP13LIzi/WUJacObiJmdBJxUS+dacM4VZtaPnu5z930zGspIaGEo5pU2pQWvba7qyDxV\n+Dbc/VGjdujuvwB+kTz+opn9C3AcA2tPbNE5mg0r0TXA3YHvmdnBwKHuvt/MrmHzH+8Y4BMMquge\nZmYHJdako5M+Ql/HpF7nGrI4uT/q22sMEkiiLcTpxHuQWSeJ3vB+YlEULEdNrauULGT3hedmtjyz\nwYhW4+79WY9hHNyXLQglu39/xqMRojkEERW+H7MUVJqriqnK3S4qImdHmNlC8vheDATSN9z9X4Ef\nm9lDkpiipwMfTk77CPDM5PHvAx9PHl8IPNrMDjOzOwOPAj7mg7zlFwNPTNo9E/hQ1Nczktd/KAO3\nvOsqep+NQQJJtIWV7YMtTvIQXO3GFTnp9jt7Oza53HXa/a4Dft6im8i1SIhydP57Mu8xSWb2BDP7\nLvBQ4G/N7KPJoUcAl5nZl4D3Ay9Iki4AnA68FbiKQQa8C5L9bwO2mdlVwJ8ALwNw9+uBXcA/AZ8D\nVqK+Xgr8j+ScOyd94O7nA98ws68Db05es/WkF30SSKKNZCVpyBNKu1Z3jyyeOi2OhGgZfmV/1kMQ\nonba4lonRkfFZFtMf9lya9cI0SRGKSibZSXKOpYniKp2vWtaMdlb9k/ay1YO2qZisk2k7cVkzVbc\nr+xj9+3PeihC1EbsOhfvg2KLURPFVVXFZOuYp2D6c5UcLVrKzt4OWJ4sqF6IabGyHVbZyHJXlDEv\nnZChrOhpWlxSXRzQr7ZoAZ13JxIiYhTB00RxVDVdmadkSWohStog2k6ZtOJNET1NsyTddOOkvWzl\nVofKktRE2mxJkkgS80qbRVBVlqQ65imY/lzVEa03X+xa3Q09WNguK5JoH8FFNE8o5bnUNUU0zZqu\n3KET3Sa4IPl3+gDY3fszHY8Qo+JX9bHj+rMeRivpyjxVVzFZUTO7VnfT14060RKW92xYj1b3ruVe\nu7tWd2/JVCeEaBchy537snFMOy1hQowrkGRF7Q4SSS0jvXgs47YkRBNYsLX1BA79ZRsaT5e+1iWc\nBty8cFDlmxBVkbVAlBVJtBH/Xh//Xn+sc4uE0jykyq9jnprFXKWYpI7Qb68LrJhDgrgvcruDDctS\nel8e6aQPVdC0mKSf/Lz6ieIOt7lFMUkNpM0xSWnMVvymG/vc6tD+rIcixEjcsr/PQdv6I5+Xjk3K\nEkZNi1+qKiapjnkKpj9X6RZiB9AddtEmYoG0vKeaDI1xraWufx8OHHxw5ZsQddL1u+ai24wjkAJZ\nVqOmCaM6qGOemsVcJZHUARTQLtrEgq2tC6OQoTFPKBVZkaZVJ6lpHFhYqHwToirmwZVIiDLkfQ9C\nvF6XxVId89Qs5iqJpJbT9bvmorvEyRyKSAujrMQOXRdGQrSFosXff9xaSw4xn8SCqcviqGsoJqkD\n+DZTzSTRSpb3DP4PBWZX9w4sSr3FgXgaxX2uLqHUtJikH/gdJu1mC79kP1FMUgPpQkxSvDj8yc9X\nucNterMcjhAzp8kiqaqYpDrmKZj+XKXbOi0mLB5tvyvLnWgV4XrtLS7RW1xieQ/rAilGllIh2kmW\n250EkhCiTShit4XEC8c4m1co0ilE0wlZ7eK04IEssSQ2uBnFEAkhhGguXZmnJJJaSFaMxjxk9RLd\nYXkPxPeUF2xQYDbrGk670ek6F6L5lEl/LESbuPHmwQ28Qw+W5868oJiklhMvGGVFEk0mjj9a3kNm\nHF3ZRA7TTNzQtJikq33bpN1s4Wjbr5ikBtKFmCTYLJD+1V/DXe1PZzkcIcaiCpHU5HgkqC4mqY55\nCqY/V8mS1HLCgnHX6m76SCSJZhMSNPSAVdY2CaW0dSmPOgrGtokDHXFjEEKINjGuOGq6MKqDrsxT\nStzQcsIddbkgiaYT10RasDV6i0sc8KWhqcBX965tiVPS9S5Ee5jHRaIQov1IJLWccEddrnaiSQTR\nE1zssgjXbJy0AbJd58q0mRcOsFD5JkRVhKx26Q3kaifEvFDHPDWLuUoiqUWkC2nqbrqYNQc82xqU\nlbUuCKa0gIotS7D1upZAEkIIMWt+4H8xUvuiwsqiHShxQwfY2dshS5KYOkEExQVgV/cOxE5I8Q0b\nFqPwfHXv2pakDUEw9RaXCrPZzUIgNS1xw1V+9KTdbOE4u1qJGxpImxM3BOuR+7Ips53oIrEAyrrG\n2yiQqkrcUMc8BdOfq2RJaiHpOklCTJs4O11s6QmPY6tS2uUuq83K9uxsdzAQRmHT9S6EEKIJpN1J\nJ20nmocsSS0nLBplSRKzIl3EOO1mV4bY2hSsRWVqJk2DplmSrvR7TNrNFu5r35YlqYG02ZKURgtE\nMe+0wbJUlSWpjnkKpj9XKQW4EGIocY0jGIiZ4DaXFugr24HF0fqPrUpF1qKmCKdZcmAKP9tmdgxw\nNvDLgANvcffX57T9VeAzwJPc/YO1D040HgkiIeabacxT06Ab72KOyCuiqRpJok7SrnALtsZKQftY\nOJUpEBsIMU1lmTeBNEVuAv7U3S81szsAXzCzi9z9yriRmS0AZwIXwOQWN9Ftvu1v4B52+qyHIcTU\naYMVSWxFMUktI47PEGIWFKX3Lkr5XQZlshvONNKquvu17n5p8vgnwJXAURnD+WPgA8AP6nzPol0o\nq5cQm5k366pSgIuZsrO3ozB2Q4hJSQue5T2b03iHYrAxvcWl9Tbh/3Qh2FEYdm3r2q8fM7sn8ADg\nktT+uwGPA96Y7JqrRYDYShycPm+LQiFE95C7XQvJEkhxtjEhqiAdfxT2rbI1hXec3jt9fkgJnm5b\nFl0M0mEAACAASURBVGW120wVd9M+v++nfH7fz4a2S1ztPgC8OLEoxbwWeJm7u5kZcrebe4ZZj+Rq\nJ+YZsxWfFwtrV4qUK7tdS0kvGpXdTlRFyFYX/x+SNAQxHtc1io/F7nLp+klBNI2T/W4YdbrlNS27\n3Rf9vpN2s4UH2pVbMgaZ2a2A/w/4qLu/NmMs32BDGB0B/Az4v939I5UPcE5pY3Y7WZBEm/mGvxmA\ne9kLaum/6SKpqux2dcxTkD1X1YlEUosIwiheEKqQrKiSIGCKisHGz+N2MNzqU5eL6DyJpM/5/zVp\nN1s40b6yaeJJLEPvAPa7+5+WGNdZwHnKblctbRdJcSHZK/0s7mvPnt3AhBiBuoogz4tIqmOegq1z\nVd3I3a5FpBeCYaGZrlMjxDDS7pnhGlrZDgvbB9dSuKbWrUYk2ecisZR2nYuFfF0ucvOezGFKqVUf\nBjwN+LKZfSnZtwTcHcA9ud0qRIp4cRkvMiWQxLzRdEFUJ11JAa7EDS0jLDzjxegkgfFiPold37JE\ndlaWunURlRJPMeFaHEUg6fptHu7+aXc/yN3v7+4PSLaPuvubswSSuz9bViQhRJeYZ5EjBkgktYxw\nFz2kAd/Z26GEDWIiFmxtXSyF/2FzAdm0qIKtcUVB7MSiJ8/qE+9XXaTR6EJaVdFd8lyUvuLv5Cv+\nzmkPR4ixmNTVbt4FVldSgCsmqUWErHZ5d+nlcifGJbjfxValeB9sjU0qIqsobJHAKWN5mpVAalpM\n0qf9QZN2s4WH2xem6uctytH2mCQh2kiewCl7bbdZIFUVk1THPAVb5yoz+2vgt4Dvu/sJyb7DgfcC\n9wC+BTzJ3W9Ijp0BPAc4ALzI3S8sej1ZklpEEEhxMVndWRdVELLTre7dsCoF69GCra1bm+LaR0Vu\ncqNmr1OB5PJ04e6c6CYSSKLLqEhyeaZoSToLOCW172XARe5+H+DjyXPM7HjgycDxyTlvMLNCHSRL\nUktIB8Sns4TJiiRGJU7jnbYexY/TlqN0woZgNYpTfqeZRADF1/ssaJol6RP+3ybtZgv/3T4jS1ID\naZslKUsk1ZUlTIhZEwumcI2nRVTe/qZSlSWpjnkKsueqpOD5eZEl6avAI9z9OjO7C7DP3f9zYkW6\nxd3PTNpdAPTd/bN5r9eN9BNzQCyKshaMKiYrRiWudxToLS7BYvIY1h/HlBVI8TXr26y0dSl9fcvC\nJEQ7CAvBWBRJIIl5IE8EtUUcdYwj3f265PF1wJHJ46OAWBBdDdytqCOJpJaRJZYWbI2VWQ5KtJIg\nrFfZuH5ii2RsacojHAv/x7FI8TWaF5+UVftLFHOz3OOEEGLmpG8ASBBtUNU8ddm+G7hs341jn+/u\nbmZFN2oKb+LI3a5lZCVvkKudGIei+lpZhWJX965lut/lMUmtpGGW02nSNHe7C/3hk3azhUfbp+Vu\n10C64G4nxDzSVsFUlbtdHfMUZM9VOe52J7n7tWZ2V+DixN3uZQDu/sqk3QXAsrtfkvteJJLaSTpG\nKSxghShLVia79PFALJhia1FegeOw37cNfstGcbUrElazEExNE0kf9ZMm7WYLj7V9EkkNpG0iKY1E\nk5h32iaWqhJJdcxTkD1XZYikPwf2u/uZiTA6zN1fliRueDdwIgM3u78D7u0FQkjudi0lXizuWt3N\n6jZZk0R5lvfASmRFykr1HYRR2qIUWN27lgQubRBflzt7O+ixVLpYbBkBJPc8IZpJOkA9fi6xJISo\nAzM7B3gEcISZfZfBquSVwPvM7LkkKcAB3P0KM3sfcAVwM3B6kUACpQBvBek76+O6MAkRGGZ1POBL\nme54acETnsfFjdNCpre4lJviO94fnyvyUQpw0WTMVrwoccMn/fzpD0qIGTGvNwimlQLc3Z/q7ke5\n+yHufoy7n+Xu17v7b7r7fdz90aFGUtJ+zd3v7e7/2d0/Nux9yJLUAmIXpPSd+rDP9jusQL9dll3R\nMFb3bk7ikLYgbXKz6yX/rw6OBbfP3uJSrrBPJ2zIE0XpdmIDiRrRdj7p5/MIO3XWwxBC1ERX5inF\nJDWcYYtExSSJKkjHJMWud3Es0sp26K/4RAkZ4uQjadE/7IZA3M+0aFpM0of90ZN2s4XH2YWKSWog\nbYpJKnvHPFiSJJJEXXzazwPg4fY7Mx7JgDbFJVUVk1THPAXTn6tkSWoBZe6q9xaXWNiuuCQxHkEg\nBRe7BVsbCKfk+IKt0fNBDaWdbBYzaRe8SZI0xM+zxJJikZQCXLQbiSNRN1WKo3Fj6tokjOqgK/OU\nRFILyAtWT8dwFKV0FqIMC6lkDmFfOhV4SNgQF5GNs96Fx2lr0DgWKLncCdEusorKCjFPxNf+vAum\nNiOR1HDy7pynU4AHJJTEJIQCsivbNx7D5iR2sRCKnwehlKZKVzllt4MD+tkWDSQWRhJHoitUcS2b\nrfi8CaWuzFPKbtcisoLddZddVMXynoHQiUVPb3FpU6zSsHTe4Zy0FSk+N75mxxU7yoQnRPOQOBJd\nZN4EjthAiRtaQtaCsKjwpqxJogoO+IYbXSyQ4rij2KI0rBhsTFFihjLnTIumJW44xx8/aTdbeKp9\nSIkbGkibEjeARJLoLqPGJrVVWFWVuKGOeQqmP1dJJLWYooWlst2JqggunLH7XZqyyRrSZMXZjdJ+\nGjRNJL3Lf2/SbrbwNDtXIqmBtEUk5RWSFaIrxKJn1Ou7TYKpKpFUxzwF05+r5G7XMsq4GS3Y2tiL\nViHSBKtkuKbS4rvoWlvdu5ZbgDaLvKKzAbnZCdFcFI8kukp8bbsv2yjCR9+J9iJLUsPJC3ovs1CU\ny52ok7iWUnp/sGSmi9EGsuojDSPP5bRummZJers/adJutvAse58sSQ2kLZYk0EJQzA9FAqnoe9AG\ni1JVlqQ65imY/lwlS1LDyaoRU0Ygre4tdo8SYlIWbMNKFF9rC7ZWmOwhxC3t7O3Atw1+68pc01lW\nJlmVhJgtsh6JeSNc81nX/qhWJtFsZElqCeMsBmVJEnWRthBlWZMmpSlpvptmSXqb/8Gk3WzhufZu\nWZIaiCxJQrSHLoijqixJdcxTMP25SpakhjMsBiMrvmPB1rYUABWiSlb3rq1bkuoU47IUCSGEaAN5\n1iXRXiSSWkQIgs8LfA933oM4SreLRZNc8cQkBHe68H/6egrX3rC6Sun2MXFdMCVs2OAAC5VvQoyL\nFoVCbKXIJW8eqGOemsVcJXe7BhMHtIcFYroOTahhU0RI37yyfWMxq/TgoirCtRUIiRti6sq2OI+J\nG97oz5q0my280N4ud7sG0gZ3u3lcAAoxKm1xxavK3a6OeQqmP1fJktRw0nfQy95NDy53MFi0BoHU\nW1ySQBKlKGttDFns4vaxKAqFaIusSvGxstanpsQsCSGEEHm0RSCJrRw86wGIfNJWoyzy7tDHrnWr\ne9dYYbCYXdiuZA6iHEFYlxHVCza4xuK04L2SMXFlrKFp5lkgyT1ONAFZkIQoR953pcviqSvzlCxJ\nDWTcGIzVvWvri8f4cW9xaYtVQDFJoojYLTNtJcoiK0lInNCht7i0LoSGueKNIpjScUtCCCFE0+my\nQOoSEkkNZNy75L3FpfWFYnqhGawBYTFbVOhTCBhcHwd8KTN7XVo0hTZBAIVzs8gSQaMmeoD8Qstd\n52YWKt+EGBUt8oQQedQxT81irpJI6hBhkZguuhkKyy7vGS/2Q8w3B3xgBYpFT7BOBivTMGtTWgTF\n/8fuduO43cXWJCGEEPPNuX4J5/olsx7GUOY1812bUHa7hjLKgi99Bz197uretU2Wo9gyUDbmRMwn\nWdagWJiMI7RjITROPFIWdVqRmpbd7tV++qTdbOEl9gZlt2sgTc9uN+oCz33ZtCgU80ywwMbfgyZZ\nZavKblfHPAXTn6uUuKHlxIvDvDThaYEUpwOXQBKB+HrIEkexoFm/7nobx/II2e3Sj7OOVUGcOr+L\ndCUgVggh5o15uUnQlXlKIqmDxAJpwdY2LXgP+BI9YJU1CSSxTuwqt7wHyLDwrGwfXDdBGMFmQZ62\nEGWRthyF53GK8HHEUhBGO3s7Bv305idGSQghRHsxW/EmWZPEBhJJDSVdRHaUczaxshtWM+6u94DF\nDRGVFZwv5ofe4sY1kJXUY11sp8RMuD5tv69fZ+FY1mvETBKLNM905Q6daD/xwm7YHfLQNu1yJxc8\nIbonlLoyTykmqQWMksUrdrlLn5t2wwuxSkLElqRYJIVrJBQiDmRZinqLS5m1vXat7sa32VSEUN7r\nT0LTYpJe4X8yaTdbOMNeq5ikBtL0mKSYLKGTt+iTKBJiK00QSVXFJNUxT8H056qxRZKZvQr4beAX\nwL8Az3b3G5NjZwDPAQ4AL3L3C5P9DwLeDtwGON/dX5zsvzVwNvBAYD/wZHf/dnLsmUBY9bzc3c9O\n9h8LvAc4HPgC8HR3vyk59nrgscDPgGe5+5cyxt8akTQqo1ifZEESgSKLYlG6+HSsUnB5i0VR2J/+\nfxp0TSTt8pdM2s0WdtqrN008ZnYMg9/kXwYceIu7vz5jPEN/a8X4tEkkjYJEkhBb6ZJIqmOegq1z\nVd1M4m53IfBSd7/FzF4JnAG8zMyOB54MHA/cDfg7MzvOB2rsjcBz3f1zZna+mZ3i7hcAzwX2u/tx\nZvZk4EzgKWZ2OAPHsAclr/kFM/twIsbOBF7t7u8zszcmfbzJzE4F7p309ZDkNR86wftsFUUL0Kws\neAd8SRYlAWQL5mBBykq2EESQ7Xd2shELFPaxutFPOk137P5Zp1hSXNLY3AT8qbtfamZ3YPDbe5G7\nXxkazPtvrShPEEVyrRMin6653HWBsUWSu18UPb0E+L3k8eOAcxKrzrfM7OvAQ8zs28Ad3f1zSbuz\ngccDFwCnAcvJ/nOB4PzzGOBCd78BwMwuAh5rZu8FTgaekrR7B9AH3pS8/juSMV5iZoeZ2ZHuft24\n77UtZC1A42PpxWiIM+ktLsGi3O/EZtaTfKQEUvw/DK6tBVvb+NbCFve6rIQNIblCHValtADrklg6\nMIVQUne/Frg2efwTM7sSOAq4Mmp2GnP6WyvKkRZE4wikt/sVPMuOr25QYm55l1/O0+yEWQ9jLpjG\nPDUNqnoXzwHOSR4fBXw2OnY1A4vSTcnjwDXJfpL/vwvg7jeb2Y1mti3p6+qMvg4HbnD3WzL6Oir0\nFZ1zNNCpiTsv9mh9QZvc0S9agKbdq1ZqGqtoJ+vXRpwqfjGnTYrY2pQuINtbXNrYnxJKVVmX0rF4\nXWLaAbFmdk/gAQxuhsWs/24ndPK3VoxHVRYjCSRRFVUKpKx6R1XQFWtSVxI3HFR00MwuMrPLM7bf\nidrsAH7h7u+ufbQDylyQ6QusU+b9Ile6sADNupMeNiHKcMCX1hM6HPCldVG9undtXRwt79loFyxF\ncTrvrAQP4Xhon2XtqeJaDa89rdinLpK42n0AeLG7/ySrSep5p35rRbV0YfEnRHwd65ruNoUiyd0f\n5e4nZGznAZjZs4BTgT+MTrsGOCZ6fjSDO4zXJI/T+8M5d0/6PBg41N33Z/R1TLLveuAwMzso6uua\ngte/hiwu7m9s39yX+zk0jbzFY9bCMhZLYbEYFrhK2iDyiLPdZdVLgg33zAUb3U0zTvRQZO1sq1Ay\ns5PMrB+2Kvs+wMLE2zf2fZeL+59e33Lew60YuD+/y90/lNGk/G+tGJv4OjKzk2Y9nrLkLR7dl63M\nwvIt/i/VD0qIGqhaKE0zbq+uuaqKeSprmzaTZLc7BXg18Ah3/2G0/3jg3cCJJIkbGAT3upldArwI\n+Bzwt8Dr3f0CMzsdOMHdX2hmTwEe7+4hccPnGWS9MwZZ7B7o7jeY2fuAc939vWb2JuBSdw+JG7a7\n+6lm9lDgte6+JZi4C9ntsmKPstqkCQtHxR+JPOKEHst7hl8rcfa7vEKysDXpA5QTQlWJnHFEV9Oy\n2y35zkm72cKa7UpntzMG8Ub73f1Pc8ZS6rdWjE8XstulayINayNEkykSRHVcx9O2VFWV3a6OeQq2\nzlV1M4lIugo4hIFVB+Az7n56cmyJQZzSzQzcND6W7A8pwG/LIAX4i5L9twbeycDvfT/wFHf/VnLs\n2UBYUb3c3d+R7I9TgH8ReFqUAnwPcArwUwapyb+YMf7Wi6RxiBebsiSJssTxa8HKFAunrCx4eeS5\ngw5jXKGUlaJ8FLHUNJH0Uu9P2s0WzrR+WiQ9HPgU8GU2XOiWSCz+7v7mpN3Q31oxPl0QSWWRUBJt\nYJhoqfo6bqtIqmOegq1zVd2omOwckLUQTbveCVFEOuYoJoiluABtTFYR2VgklRUss7ImzaNIEs2g\nbSIpTvU97rlCNJ1pWZNmEe8kkbSZwpgk0RwmWSCm79orkF2UJU7KEAR1SA6ysn0gkOIED+F4/D9k\nu+DF12NdKcDTr9+FxCU3s1D5JsQkmK14vDgMz8suGCWQRJuY1vU6yneoadQxT81irpIlqeFMGtCe\nlyo8IEuSKCKdJj7sy7MWxUkY4hTg8XGY7LquQlC12d3uT/wVk3azhdfaGbIkNZC2WJLKLuQUkyS6\nwjTd7qZpUarKklTHPAXTn6tkSWop4e77sAVjl4tqivpY3rMRexQehzTgIaHDyvYNq1Igdp8L+23/\nYK4IAmpnb0dhivCq0LUuRLPIuzOeXgQqrbJoOtMS9vouzBaJpA4wTCylU4Nr8SiGEURQ7GIXW5Ri\nwRQTW5LS6b2DOIoFUjpeqYyVaJzrN/4OtP3670JaVdFeRnWlK+ojvb+uAp1C1EHRdTquuOlKDaa5\nTwHedprobleUYGEU0udnuTiFfb7NlApcjEw6SUPa3W517xq23/Ftxb/xWUkdQj9lGHZzoAqa5m73\nx/7nk3azhb+0P5O7XQNportdXkrvcYSNRJFoO2WEzLDru0liqCp3uzrmKZj+XHXwtF5IzI6sDGJh\nnwSSKCKvRlKwKq2E59vX1oXTrtXd0ANWN9rHsUnx/1mMIm7GyZLXdmT5EV1B4kjMCvdlm/T6Kytu\n0jcDmiSK6qIr85QsSQ0iL25o3ED1LItU2qoU4kuEGJc4PXh8zfk2y7UUZdFUkdM0S9Lp/upJu9nC\nG+wlsiQ1kKZbkgKTLjirWLAKMQqTXHNdFjlVWZLqmKdg+nOVYpIaRBw3keUaV5b0Hfo8lzvIthII\nAWxK613UJksIZQn7OB4pTVMFUhPpQlpV0V6yFoiTChwJJDFtJJDqRSnAW04TLUmwdXE5qVUp7Y4U\n/w9KAS6GE1zu0q53wb0uJo5JCuQVk21LxsWmWZKe6yXU64i8zbbLktRA2mJJipFVSHSVUWLwRhVT\nZbI+ToOqLEl1zFOQPVeZ2RnA04BbgMuBZwO3B94L3AP4FvAkd79h1NeTSGoQ4wSh592xTy9M8yxJ\ncrcTZYgFUl6cUj/5PmVdYyENeBkLaVrIx4wjqIbdeBiGRJKYFU0TSaOIn0mTOghRFa9IrY1fxmtG\nFvLjXs+T1FOSSNpKeq4ys3sCnwDu6+7/YWbvBc4H7gf80N3/3MxeCtzZ3V826utJJDWEshaiUS1L\ncfaxrJpJfVmO///27j1esrK+8/3n50YZJxqB9oSLtEAC3hANGpVMYmiiKHJGcV4xKjNRvOTE0e7E\nmHOisPv0vvX09jIxKvYOkqiIZhQQDIqDKBLbMRm5jCDeQC5qlKtJc9FMPBE2v/PHWs+up9ZeVbsu\n61arvu/Xa726atWqVc/eu7qe9avf8/weyREWkQ3D6WZsuWuNJOgMtev1ntxo4dj4mPi5cVAV5jWF\njGev18zqlc0aJchqWpD0Gj9r3NOs8xF7o4KkBprkIAlUvU7aY9D38k7/KTvs0X3PNeurACxb7+Fj\ndQ3rKypIKqOfgvV9lZkdAHwVOA74KfA3wJnA+4Hj3f1uMzsI2OPuTxr29TQnacIMO9wuLPa5tLK8\nbv2ajcozy/SKh2HGt+e2zq4tLJsXeI8bIEGSpdoxt52F+U6AFF4zrN20MG/4JmPH3Paufxfmk23G\n1mdTRaRaRVXz+gPfr5gGiYygX4D0Ju8OiEKA9Me+bxVNm3rufg/wbuCHwB3Afe5+OXCgu9+dHnY3\ncOAo51cmqUGGCYCGWUspb/hdoDlJspFQwCF+D8XD4bJrJcX7go0yOfEcufB68TyovPlQIbsVZ5ni\nYwbNOm2kaZmkV/lfjnuadT5mf6BMUgM1KZOk6nUi5WpCUYiiMklF9VN37fkud+/57tr9byx+NptJ\n+hXgEuC5wP3AJ4GLgPe7+/7Rcfe4+wHDvr7WSWqAYYKjEPDEFet6zd/Ie57IILLD7WJLK8swR9/i\nDL2KNcD6eUl5FRe7ArOtwEp3ILS0sswi3UF+dhjg3FA/sYiUSYGSiAzroC1P5KAtT1y7/43Fz2YP\n+TXgf7r7XgAz+xTw68BdZnaQu99lZgcDPx7l9TXcrmHKqPQVhtyJDGppZXlteFscDM3Y8rp9uUEU\n3cf0Gn6XNycuzh7N2HJuIDS3dZZVn12rsBduh2GA2ddtk1VmCt9ERCQ/m/MqP6SOpky0MvqpHn3V\njcBxZvZIMzPg+cB3SLJLp6XHnAZcPMrPoeF2DTBqFmiYine9aLid9BOyMqFgQ691kaB72F14z/Yr\nNBKO7zesLk8IjMJrxMUkepUlH0fThtu93D8y7mnWucBeo+F2DdSU4XZFZICyF5/KKkmTNKEaY5uG\n25XRT0F+X2VmbyUJhB4CrgV+H3g0cAHweMYoAa7hdjXrV+44lvfNfTzkLpadrzTIJHqRXmYsGdoW\n388LRiCaAzTXPzgJ51z1WWa2dYKcQcrRh6BozvsHSG3MIolMKrNFjy8Cm3BRKgLdQ0HrClSaECBN\nKnd/F/CuzO57SLJKY9FwuwbIW1cm73a8L29/nhCA9QuQel3wynQLw+3iIW0hIJmx9e/BOHPUq7DI\n0sryusp580MspxAyWWHOVGhfaOMwBU0m1Sr7FL6JVMFs0UcJiF7uh5fQGpFE/J5UwF6MMvqpOvoq\n9Y4N1GsSfPaiNEygD/K+Oc8ryZzNXGnIneRZC2BWlmF311stCUqigCcEKXGVurBvxpZZIJ3jlPNd\nWbYiXa/MUAiK2NppWzbzlH2/i8j4ii66kM0qbeRn/NuiXlok1+/4kQBcZLdU+rrKIDWbMkk12+hi\nLsy56PVYrNc353E2Kf6mP3uBK5K1uK3/ELhVn+3KBIUsZ8j0LK0kwcz87k4xiPC8vPddnLmCTgAf\ngq74sbgK3jQF+ircINPkxf4ULrHv1N0MabmL7JbKA6Q2q7BwQ6lUuKFh+s1RyguWsoFSv4nyeecb\nZA6ISJ5Vn11XfCEO6vPeW3Fp8RAQxcF6vOZROEdeMJVdmyl7v8hMUtMKN7zUPzHuada52E5V4YYG\nakrhhlhRGSXNSRJpXiapqMINZfRTUH1fpSCpoXoFN3kLdwaDDrcL932TKUiSkcXD3QapSpf3fFif\nLc2eJw6Ywv1eFfbKGGbXtCDpxX7BuKdZ5xJ7uYKkBmpakFRkMKMgSaZZ04KjoKggqYx+CqrvqzQn\nqSGyC2xmg5p+69LE5+h3kRi/xo657cyRVBYTGVYyvyg/sMkLmOKy3esq2W3tPj6eyxReZ9VnWaJ/\n5nNa5iE9qOFxIiLSYG3ppxQkNVBeFin+tj1v3aR+F4jxPKS2VvySavWbA5QdRhcfv9jjOXlFGuL5\nS7FeWdSNviQQkfo19Rt0EZEsBUkNkS1dHAdC4fYwgVGWgiOpUhwgbTQUL8xRihehDfOdwnt+xpIK\ne1nx/4FpCZBUslvqMuoQOQVGMu2m7f9AW/opzUlqoLyAZtwLwOxwvrhUs0gTZIfZxcK+7GNVBEZN\nm5P0Av/0uKdZ5wt2iuYkNVDT5iTFegVJ41wMam6StNkkBEpFzUkqo5+C6vuqdoR6LVPWhZ/WR5Jx\njVKgYdDnrlW9ozsoCs8N6zSFDNO0DrFTyW5pgqIv+BQgSVu8yI/hc/bNuptRq7b0U8okTYFsZkrB\nkQwrrjCXV9VunOApfo3subJrJq36bOVBUdMySVv8c+OeZp099iJlkhqoyZmkoilIkraahAxSUFQm\nqYx+Cqrvq7SYbMtpLpIUIQ6A4gBmXaW6EYRAKHuuePhdfF/vaZF2UYAkIk2kIGmKTNuwJBnd/O5O\n9igWV5yLM5J5C74O+jpxEBS/7tLK8toQPOl4kJnCN5G6KEASaZ8y+qk6+ioFSVMiDpBWfXZtEwni\n98Pits7Qt3hfCGjiuUJ55xnkvZU9JlvFMbx+r3XBRKR9JmlokshG9H6ebCrc0GJ5k9rj+wv6vyuR\nvLlqecPoQuCSXQcpZJfCgrGDFGogE4Sxtft1YsqEJtpSWlWkl3BheQKXOcAeu6reBokMQYFRe/op\nZZJabsfc9tw5HAqQJDa/e7ghc0sry11zkuZ3J/vizE9Y72ij18sGQ2HR5MVtnbWTFCCJtE/eUDuz\nRQ9bHW0SEQkUJLVUXmAUAqYdc9s13E7WyS4AO+iQuXBc18KvdIKdbDC06rNrwU/8vPDcOPsUjlGx\nho5VZgrfRJpoj12lLJLIBCqjn6qjr2pHPkw2lHeRqVLgkhWGyPWbBxQHOPG+GVtmzmdZYrnrHEsr\nybC6EPyE4XiL4cnpELt4sdjw7xySpaBGmiab9ckONwqPh/3KEom0W1v6KQVJEyAEOIMMOer1jXt4\nrr6Rl37mts4ys627OEOexW2sHRcLgVJ4LARE0MkozQFs7cxjCkEU6b9z6bEhELO9up4SmSRmi655\nGTJt9J5vHw23a7g4qBkkwOkVSO2Y287CvDFjy2ubtF8oqx1ubySU3M5mimLxY3HJ7jD0Ls4G9Xvd\neH2kUNUuzlLZXleAlKOKIQxm9mEzu9us97LxZrbFzK4zs2+Z2Z4yf2Zppn5zh+L97vOmC0hpK72/\n12vLcDsFSQ2VV3Bh59KuDQMlZYokCMFLyOT0W3Mou1hsr6p0YT5ROE9csjsOvkMRh7iwQ6h85xmM\n3gAAIABJREFUF4KgeB2kOPBSye9GOAc4qdeDZrYfsAK82N2fCrysqoZJfYYtqqBhdSIyyRQkNUQ2\nKIozQjuXdnUFSP0CoX5D8rQo53SJA504awPjvRdCcYU4uAkZqzhrlBfsxHOVQvW7vKBM1ex6q2KB\nPnf/CnBvn2b8R+Aid78tPf6fyvlppamGCZQULMmke7afwLP9hLqbMTHaspisuU/nZ5eZOQ393M6b\ng9Qrq5R3MZk9Np4XItMnDH3rt25ReCz8Gw+Xix8Pc4Wy5wmlv+Py3XHA1DX/iE6hh3BMk4Min2Ps\nYRRm5u5eyHme6lePe5p1vmXPJts+MzscuMTdj8lpx3uAhwNHA48G3ufuHyu8YVOuqPdNUeICDMMG\nPvFzRnm+SFO0eWhdEZ85ZfVTkN9XlUmZpIboFQT1yhplA6R+2SUFSNMrDHODjd8HIauTl2WKA6eQ\nCYpLe+dljeJhdeHfeN5RHERpmOjgVtln7O0ne77OXQsfXNtG8HDgGcDJwAuBHWZ2VJE/pzRLHNSM\nEuCM+3wRmRxF9FN5W9WUSWqQMi4UVaBBsnOTeh0TD8XrlSmKizGE23GQFBdtyAZbcSYqFGTolQ1t\nkqZlkp7g1497mnVusqcPm0l6G/BId19I738QuMzdLyy8cVOsaZkkUIAj7fZMfz5fsy/mPtbmDFJQ\nVCapjH4K8vuqMilIaqAigyUFSZLVa0hd0Gt/HChlh93FAVFc8jtkj4J+w0SbSkFSbpD0JJLC7S8E\n9gWuAl7h7t8pvHFTrIlBUqBgSabFNARHgYKkblonqYEGqWIXHxvEz9E8JMmz6rMQKs/1mKeUFwT1\nO18o5BCsBUxpgJQXKMnoqiiDamafAI4HHmtmPwLmSYbY4e5nu/uNZnYZ8A3gIeCvFCC1lwIimTbT\nFBiVoS2LyWpOUgNk10Ia9Jv27LyleA0kBUjTIVtRLhYqzoVj4rLdQcgoxZmgMI8pLvUdjg0ZpvB4\nCKiy55jbOrv2HpzbOrtWoVEmg7uf6u6HuPsj3H2zu384DY7Ojo75M3c/2t2Pcfcz62yvTI4n+0vq\nboLImqf6yV33teaRxBQk1azXYrFxoNRvgdj4sfhiNXvRKu3Va+HXbLCcLa4Qgqvs0Lr4uFCmO/te\nCpmmUAY8ZJ3COkrhGAVHxWtDWVWZDGWU777BPlPk6aQFDvNX1PK6v+Iv41t2KaDgqGhtKQGuIKkm\ngwyn22hdpHh+R3xMvA6NtFuvhV/jwCYUSYiDlfDeyMsuhaArXvw1m1UKz4m3mIIjkfbQxaOUrehA\n6WB/NQf7q/sec6sldWb0/pZeVLihIYYt1hAHRv3mMKlww/QJGaJec4Gy75Xse6RXBjKuYLew2KlO\nl9W24KhphRsO9ZvHPc06t9lRlU6GlcHUWbhB85CkLkWsoxUCpDs4oue5FBytV1ThhjL6Kai+r1Im\nqQbZDNGo1ezib+vbdmEqo8su2JoV3ivZYXp5JbvzMkXxHKj4fafsUTVWmSl8ExFpCrNFHzeAudM+\nyp320dxzaWhd+crop+roq5RJqknRayL1yiYpkzQd8sp2h31xZikMvfNNSf8QlwKPzxGvdRQCpPj5\nsTij2UZNyyQd7N8b9zTr3Gm/rExSAymTJFI8BUi9FZVJKqOfgur7KpUAn2B55b97DamSdltaWWaR\n7ixP2BeG3S1uA99tzG2dxZY8eY9sTY4Nz+saquezzEWvYXu9632lLGY9lPkRERmcgqLqtaWf0nC7\nGuSV+A5DleJtkPNkgyEFR9MpFG8IJeDDULq8YgtBv/dYXsYopsBIRESK9ph/fUPdTRBZo+F2NcgG\nMv2KMAyzsGyv4zXkbnrFw+WgO9OY917Z6L04jZo23G7T6m3jnmadvTOHarhdA9U13E5D7WSSKXM0\nuqKG25XRT0H1fZWCpBqMku3Ju3gd5II2XmhW2mF+dydzFN/uN7coe2x4bFoDn2EoSJK6aE6SSEKB\nTzUUJHXTnKQJkR2il7241bC76REXW+gKltJCC4tRQByKL8TB0draSBW2WYrz4IPtGOstzaTgSETG\n1ZZ+SkFShcYNXPKGRsl0ymYGey0oO2NJ0YYlOgGVFhmebKsP6mNbyqEASUSK0JZ+SoUbKlJGZmfQ\nc2bXw5H2yFvbCLqr1YVAadVnmd+toZciIiIiG2lHqCfScmHIXHboHOSU/d5G15yk+d1AGijHz1Um\ncjKttmQYg4hInkfsfQsAP9/0HkDzkSZRW/opBUk1GrZynUyvENxkizQsbgO2dq+FFLJLIVhajM4z\nvzst771UZetFpOk01E6aIgRHInVTkFSBXiW9FSDJqNZVr8sZdpdX2W6j9Y+k+dryDZ2ISNbD7vp/\nAFg98BeUPZpgbemnNCepZKo6J0XJzj8KQVAIgJZWlruG3mWfs6AvikVEpMEeOujPFCDJUMxsPzO7\n0MxuMLPvmNlzzOwAM7vczG4ysy+Y2X6jnFtBUsk070OKkp2LtLSy3BUExUPyQrCkSnbt8+ADM4Vv\nIiK1+gd9gdwmZfRTffqq9wGXuvuTgacBNwKnA5e7+xOAK9L7Q9Nwu5bLzkmRyZdX0W5+dxIQLa0k\nf+94mJ0tOgt7q2+nlOOhVX1si0hL3DoHv7IEh+1SgYYWqaqfMrPHAM9199MA3P1B4H4zewlwfHrY\nucAeRgiUlEkSmTCL27qzSqs+2ynSkFk0VnOQRESksX4lqSKkAElGdATwj2Z2jplda2Z/ZWa/ABzo\n7nenx9wNHDjKyfWVZAXCkLuq5iNpHZzpEDJIeX/vVZ/FNNSznVoyIVaaJVykqsqdVE0BUgsV1U99\n9ctw5Zf7HbEP8Axgm7tfY2bvJZMxcnc3s5E+15RJqtHOpV1rAVR8e1zZyfsy+eIhdvO7u8t8Z/eB\nAmUREZkA355XYC69/frx8Ja5zrbebcBt7n5Nev9CkqDpLjM7CMDMDgZ+PMrLjxwkmdl/TStJXG9m\nn0rHBWJmh5vZz8zsunT7i+g5zzSzb5rZzWb2vmj/vmZ2frr/SjM7LHrstLQ6xU1m9upo/xFmdlX6\nnPPM7OHRY2em+683s2NH/RmLFgdEkGSW4uxSUZkmXSC3TzyMLh5ut+qza8PtskPwfJO+nGulB2eK\n30REqvTt+WQ7WrOmW6mMfiqnr3L3u4AfmdkT0l3PB74NXAKclu47Dbh4lB9jnEzSF4Cj3f3pwE3A\nGdFjt7j7sen2pmj/WcDr3f0o4CgzOynd/3pgb7r/PcA7AczsAGAOeHa6zYdgLD3m3elz7k3PgZmd\nDByZ7v+D9DUbYcfc9rV1krJZo7x9owoXztJOIWsUhtotrXSC4lWfXcsoqbKdiAzKbNH1jb5U5uhF\nBUhSlD8E/puZXU9S3W4X8A7gRDO7Cfjt9P7QRp6T5O6XR3evAn6n3/FpuuvR7n51uuujwEuBy4CX\nAPPp/ovoLI35QuAL7n5feo7LgReZ2fnACcAr0+POBRaADwCnpPdx96vS+unxBK7KDZot6vdYHEDF\nwVY/Yf0caZd4iF18PwjBkcrPt9SDyhCKiEiDVdhPufv1wLNyHnr+uOcuak7S64BLo/tHpEPt9pjZ\nb6b7HkcydjC4Pd0XHvsRdJXv2wQcknnObemxBwD3uftDOec6JJwres6hY/xsY8u7WB10aF02OArP\nGyTzpACpvULJ7yCbORwkiJYJ9WAJm0w9TZ4XkcKU0U/V0Ff1zSSlmZuDch6adfdL0mO2Az9394+n\nj90BbHb3e83sGcDFZnZ0gW0eZDhA9sM+/zlfWujcPnwLHLFltBb1EV+oZgOefrIV8fKO3+gcqz6r\n+UktEv89F7fBzLbOWkhz6b+L4e+9WFwhEBmemW0BttTcDGkBM1uI7u5x9z3Fv4aG2UkNrkwGEPlz\n1l2zSUXUV/XXN0hy9xP7PW5mrwFOBp4XPefnwM/T29ea2a3AUSTZnjijcyidLNHtwOOBO8xsH+Ax\n7r7XzG6n+4+3Gfhb4B5gPzN7WJpNOjQ9RzjX5szr3E6eExb6/XiFGyZ7pCzAdMsbKjljy2v748Vj\n57bOdi0avOqzsFR5kyWSXsjuCffNbL7nwcNS5mequPtCmedXgCS1OS7ttby4j0cZTml9VUv6qXGq\n250E/Clwirv/f9H+x5rZTHr7l0kCpO+5+53AT8zsOWZmwKuAT6dP+wydKhQvA65Ib38BeEE6r2h/\n4ETg8+7uwJeA302PiytXfAZ4dfr6x5EMy6tlPtKogY6q3EmvoZIhQIoXj80WbhAREZkEGuYpTTbO\nnKT3A48CLs+U+j4euN7MrgM+CbwhFF4A3gR8ELiZpALeZen+DwGbzOxm4I9JF4Jy93uAncA1wNXA\nYnSutwF/kj5n//QcuPulwPfM7Bbg7PQ1G63INZJiumCePHHlurhSXfzY4rbOY/HfeNVnS3svSYO0\nYJy3iAgok9laLZmTNHKQ5O5Hufth2VLf7n6Ruz813fdMd//v0XO+5u7HuPuR7v5H0f5/dfeXp+c8\nzt1/ED12Trr/KHc/N9r/fXd/Trr/Fe7+QPTYtvQ1nu7u1476M5YtXMz6JtOaNlMmG8DGwVAoyDBj\nyVC6cGy8FtLSynJXNinQME0REWmkv9ewOpksI5cAl/HtmNveNVQKWHdfa920S1yVLs4KsdKZbzSz\nLZlfFAoxzO+GubRoQ7bsdxxsKYM0JR7Y+BCRQehbfKnUb3TWRdIwu5ZrST9VVAlwyRjkG/2NAiRp\nr5AJWvXZtb97yBqFLQRCIWMUbsfrJMXzzpRFmhKrJWwiIiJFKaOfqqGvUiapZNlKdQqEptNaxmdl\nOQlsovlEoTpdKOnd7/kzttx5HsDWzjHKJImISNMpiySTQpmkkoUAScHR9ArrG4WsT5wlguS9EYbR\n9SraEGeM4nPpfTWFWjAZVkREWqwlhRuUSapIPLcovt3rIjeevB8uloeZn6Ty3/XLBkfxnKN4Ydi5\nNDO0xPLa3zi8L8JwvDDkLl4nyZZ2wZwySCIiMhmURZJJYsmSQ9PHzJyK5qzG2aRwEbxzaRe+ydYW\nBF1r115fK+iQt1bOIGW9FSA1Q7ZiXd5isLHwPghzleLMU97fdGHR195bCpTK43PjrwZvZu7uhZyH\nK0r43HqeUUT7pFhFvW96n1+FG6RaCpKarYjPnNL6Kai8r1KQVJJek+jDxWz8eHyBuzDg50e4yI4D\nr5gCpfplA52seH5R9rj47xdXwYsDrbAukpRrGoMkM/sw8H8CP3b3Y3La8Z+AtwIG/BR4o7t/o/iG\nTbfGBkmfTks5n7LY/ziRlIKjyaAgqZvmJJWk16KeO+a2ryvk4Jtsbf/CgH1WXPEsPlc8vEuqFc8r\nCsFO+DfOFoa/UTZwiu/H54jXRorvK0CaUtWM8z4HOKlPK74H/Ja7P41kwe+/HO+Hkjq4z9tIF68K\njmQICpCmUEvmJClIqlk8ByUETKM8P7uoqFQnBDTxwq/Zx+O/c17gNL+7E+RCJ0uYLeAQB2IypSro\neNz9K8C9vZrg7l919/vTu1cBh479c4nIZPi8FoWVDShIknGEoKjX4rHDXAQrc1SfbFCUl93LFu2I\n10WKb89tTQKikCEKz4vnMIV9WmRYGuT1wKV1N0IqpmzS9Hqh/vYyHTQnqWQ75rbnrpWULdiw0UVv\ntpBDXPVMmiE7VHJh3tYFUfF7IcwvirOBsHFxDg2zq1bj5iR9uoDPrW/ugW/t6dw/b3HdOG8zOxy4\nJG9OUnTMCcAK8Bvu3jPzJKMpe05S8hoq3jA1PpRmgF5fTZCjYXaTp7A5SUX0U3lOUeGGSlQRJPUq\n3gDrMwz9xMFUHBSFbJMCpfrERRSgEwT1mo8WzyuKS7uHOUpx4BSfo9c5pXytDJKycjqejYIkM3sa\n8CngJHe/pfhGiYIkqYL7vBX5PlBwNLkUJHVTkFSybCYpm1UalobWNVucBcqrZBgPr8sGRmF//HwF\nR/VrXJB0UQmfW78zXJBkZo8H/hb4PXe/svgGCVQTJCWvo0BpaqzMw9ZyMkkKjiZfYUFSGf0U5PZV\nZdKcpJrE2aFhLoKzE/mlOeK/SwhwsgFxdrHYECgtblv/mAIkqYuZfQL4n8ATzexHZvY6M3uDmb0h\nPWQO2B84y8yuM7Ora2usiAwuEyAVFdgoQJI2UpBUsuxFbhhyFWcMhs0shQn+0izZ4ZO9ApxwXF7R\nh/DeUHAkPT1Qwpbh7qe6+yHu/gh33+zuH3b3s9397PTx33f3Te5+bLo9u8wfWURq9I50LtP71le1\nU3Akucrop3L6qrIpSKpIfNFbxEVwr3LTUr+8YXa9hAVhV312LaMk0tdqCZtMtbBeki54p8vAc5FO\nT7NPb1ZVOxlQGf1UDX3VPtW/pMDw2SNpplED1V6ZxLw5TSIiVdDcpOlS1N9bwbW0lTJJFYkLNxRN\nGaXmyQuCB/3bKziSvlqwQJ+ITD4FR9KTFpOVYZRx4Rsq3aniXX2WVpa7/rbhdq8S4Nnjss9RgCQi\nIk2nAEmmgYKkCo1b/jtYWllWYNQQi9vANyV9RXZdo37ixzX0UobSgm/npJk0L2l6jPN31ntENtSS\nTJLWSaqB1klqh+xCstC7aEPefmWNJkPj1kk6u4TPrTdUu/aEDKaqdZLWv67mJkk3BUbTobB1ksro\np6DyvkqZpIqNEiCFzNHSyvJaFTTNQ6pW3u+7V4XBUOY9uy+mAElEmsZs0RUgtciu9SW7RWRwCpIq\nkrew6KDCxXh2HR4tLFuuVZ9lYdHXynQvbHDtEM8typZ5zw611BA7GVkLhjCISAHeMp9sWWek+/45\nuh0ZdlilskgytJYMt1MJ8IoMM19lGHNbZ5nZpiF4Zcj+zcJ6RjO2zPxusDTw6ZUVyntMGSQRaaqB\n182RZnv7YhIcvb17XaN+wU54LP77KziSaacgqUK9ykIrq9AcXcPnljo34+Bm1Wc3DJCGCZxEhqLM\nj4gAvKfP4q4DBEgKgqQ0LemnFCRVrOigSIUcipUXwOSV6+517LDnFhERGdgfpsPn3j94lmgYCpxE\nOjQnqUIbrZ0zLAVIxfNNpsyeNFsLxnmLyBje3yeDJNIEmpMkwyr64jvMj5HiLG4Dtnb+Vsr+SOM8\nUHcDRKQ2CpBkErSkn1ImqSF2Lu0a6oJcwVG5hv17iIiIVC2v4IKIFENBUoU2uujOW0unV3U0rZNU\nDQ29k8ZZLWETkcnxsk5ZbwVH0khl9FM19FUKkirUKwjqVyY6HvalSnjFyQsy43WQNNxORKSbJvWX\n6NT5zgbw2vTf34/WOXrZfFeAFAy77pGIDMbcp/NLCDNzKv4CplcJ8GGO3TG3nRlb7lqvZ3Fb4U1t\nlbXFYOdtLTiKf5fxceExkcDnGPviw8zc3Qs5TymfW/NGEe2TYhX1vhn89ZSVqN2p8/CJzLyjODC6\nsJyqdiJQzGdOqdfXFfdVyiRVZKNFR0NQNEgGY343LK0kgdLcVg2728iMLbNjbjsLi74ucxeyR9ng\nSURkmowSIOkCvUAvTgOhTyyuZYbWfr8hMLpQRRtEqqTqdhXIBkDQCYLi4GnQhUYVGBVnx9x2Vue6\nM0jKJEmjqWS3VMh93noFUMo8FajH/+u1338UICk4lcZrST+lIKlmcYAUhGBJWY1izO8G22CRWJGJ\n0ZLORyaDAqGKfC4JgvKCUgVFMnFa0k9puF0NsoFRNkAK/8bBUtiXvbBXKfDh+CZb20RERJokLyhV\noCpSD2WSKtQva5TdHz/e775sbHEb7PCkSIMtugIkmWwtWaRPRHI8N52b9BXNP5IJVnE/ZWYzwP8C\nbnP3F5vZAcD5wGHAD4CXu/t9w55XmaSK9AqEwpC6YSrfBaHK3arPMr+7uLa20dJKUgnQN5nmdImI\n9KChXTX7yqICJJHhvRn4DhCyrqcDl7v7E4Ar0vtDUwnwGmyUDcoGVL3mJqkE+OBCyfRVn2VpZRnb\nO53vexle40qAv6WE9+57VAK8iaouAd792hri1SQKXqUKhZUAL6Ofgty+yswOBT4C7AL+JM0k3Qgc\n7+53m9lBwB53f9KwL6dMUgNlM0t5AVIoAa4AaTBh7lY8h0tDF0VEZBIoaBXp6T3AnwIPRfsOdPe7\n09t3AweOcmIFSRNmxpaZsWUWt6low6hCYKnqgTKRHixhE5FmOXY+2UQmURn9VE5fZWb/Hvixu18H\n+aM+PBkyN9KXDCrcUINxLs7DoqdLK8vKIo0hFG+wJX05JxNGQY2UKGQs+q2PJBW5rntuktmia9id\nTISi+qnb98Ade/od8e+Al5jZycC/AX7RzD4G3G1mB7n7XWZ2MPDjUV5emaSajbpWz9xWFWvoZX53\nJ5jsJRRv8E2mbJKISMp93nQh3gDXqXiDCI/bAs9a6GwZ7j7r7pvd/QjglcDfuvurgM8Ap6WHnQZc\nPMrLK0iqUZxRWloZfuicqrTlGyTDNmPLzG2dxfa6FpWVyfJACZtIhrJINXryfPeGgleZMGX0U4P1\nVeFz6x3AiWZ2E/Db6f2hKUiqQbxg7NLKMksryQX7MIFSmJskvcWZtpBdWvVZFhZdWTgRkR4UIFVg\nczTf6Mj5ZAtuWOxsIjIQd/+yu78kvX2Puz/f3Z/g7i8YZY0k0JykymWHdmWzQSFgyornIM3vBn10\n9rdzaRfMAVuT26tbt6/9bnfMbU/mIi3V3UqREazW3QARGduDwMHzcOci3NK7R/fvYKACDjJhWtJP\naZ2kio07/0XZo8Gs+mzP4YxaI0mG0bh1kl5bwvv3HK2T1ER1rZOkTFIFQoDUh4bXSdUKWyepjH4K\nKu+rNNyuBmEOzCBzYZZWkmF1YVieDCYEkzuXdrFzaRe219c2kYmmEuAik+/OxSRQChvA/tG/+89j\ntugKWGUiVVQCvGzKJNVgo2xSHAwtbkuH16nc99Dicum211mIvpQLmSaRjTQuk3RqCZ9bn1AmqYnq\nyiQlr62L81rsHw2tuzfJNCmjJFUpLJNURj8FlfdVyiTVLL5QD1mjxW2sbdAJlDYqay2JUJQhBJuL\n2+gKkEDDFkVEpEHS7BGwFhx17RORyimTVJN+2aRwcR8q3s1tnWXGlpVR2kD8+4lvr3rn9xd+pxp2\nJ4NqXCbpZSW8dy9c/+2cmZ0EvBeYAT7o7u/MPP5Y4K+Bg0iKAP2Zu3+k+MZNrzozScnrK5tUN2WR\npEqFZZLK6Kcgt68qk4KkmvUKluJAKaYMyHohCIJOFqlXMKlhdjKsaQySzGwG+C7wfOB24BrgVHe/\nITpmAdjX3c9IA6bvAge6u2Y5FaTuIClpQwM6yrZ65Dz8TMUbpDkUJHXTcLsa9csmzW2dzS0FHtb6\nCbTeT3fguLgt+d0tRP16+B2FYGrcCoMitVotYVvv2cAt7v4Dd38AOA84JXPMncAvprd/EdirAKld\nFCCV7GeLSaCU9ejOPv0NZCKV0U/VUFZcQVJNirpQ1/C7xPzuzpYV5nTFwZQCJZG+Hgf8KLp/W7ov\n9lfA0WZ2B3A98OaK2iYV0MV5RfIyST/VSogiTaAgqSbjDPmaseV1GaVpFuYazW2dXQsad8xt78om\nhaxcCKI05E4mVjVlVQe5QJ4Fvu7uhwC/CqyY2aNH/KmkYdznTUO9SmLZ7NE8vRaM1d9AJlJLSoAr\nSGqIQddOCtmQGVvW/KRUKGyRt47UwqKvK9wgMtGK6Gju3gM3LHS29W4HNkf3N5Nkk2L/DvgkgLvf\nCnwfeOJ4P5w0ibJJJfFspmgx3VTJTlqiJUGSCjfUZNThXgqMumWH18UV7UJlwEDZIxlF4wo3vKiE\nz63PrSvcsA9JIYbnAXcAV7O+cMOfA/e7+6KZHQh8DXiau99TfAOnU92FGxQkVWGeJEDKp0ySVKmw\nwg1l9FOwrq8qm4KkBuhX4S6b+VhaWdY8JPKDo3j4Yfy7C8MTQYGSDK9xQdLzS/jc+mJuCfAX0SkB\n/iF3f7uZvQHA3c9OK9qdAzyeZFTC293948U3bnrVHSTFFDAVoX9AFFNwJHUoLEgqo5+C3L6qTAqS\najZMRklZpI68gGjn0q6u32f2ftgnMoxpDZKkfgqSppeCJKmDgqRumpNUs/iiPXsBn70/7eW+s4FR\nmJcVMkY75rZ3/c5CgLRzadfaJjLxWlBWVUR6U4AkE08lwKVIeVkPlanuCCW8Q6AUzz2KZQOj7H4R\nERERkY1ouF2D9JubNM3zkOIMWljzKNwGuuYb9fodKosko2rccLvnlvC59RUNt2uiJg23Aw25q4Ky\nSFKnwobbldFPQeV91T5VvZAMJu9Cf27rLGydvjlJa+sfwVoJ7/ndnUApBEchw5Q33E7BkbRODWVQ\nRWRcbwXe1fNRBUfSKi3ppzTcriFCYJQNkOK5N9NmcRtrax/FaxyFQCmIF43dMbd9bVOAJCIi9XlL\nukG/AElEmklBUoPNmIbZhZ9/bmtn3aN4yB0oYyRT5oESNhEpwXvSTWTKlNFP1dBXjRwkmdlOM7ve\nzL5uZleY2ebosTPM7GYzu9HMXhDtf6aZfTN97H3R/n3N7Px0/5Vmdlj02GlmdlO6vTraf4SZXZU+\n5zwze3j02Jnp/uvN7NhRf8YqhYv8GVtey55AJxiYxsp2c1tnuwozhEBp1WfXrR8VF2wQERGZFBpq\nJ9JMIxduMLNHu/tP09t/CDzd3X/fzJ4CfBx4FvA44IvAUe7uZnY1sM3drzazS4Ez3f0yM3sT8FR3\nf5OZvQL4D+7+SjM7ALgGeGb6sl8DnuHu95vZBcCF7n6BmZ0FXO/uHzCzk9PXONnMngO8z92Py2l/\nowo37JjbnjukbtVn1+bcTMOQu3hYXXZB2PBYCCLD2kiBAiUpQ+MKNxxbwufWdSrc0ERNKtzQKdrw\nn4EP1NqWtlBwJE1TWOGGMvopqLyvGjmTFAKk1KOAf0pvnwJ8wt0fcPcfALcAzzGzg4FHu/vV6XEf\nBV6a3n4JcG56+yLgeentFwJfcPf73P0+4HLgRWZmwAnAhelx50bnOiWcy92vAvYzswNqkiZcAAAa\nZ0lEQVRH/Tmr0Ksi2/zuJFDIyyK1NbMUDy9c3MbafKxVn+0aehfEc5BERKRsCpCKomqBIs02VnU7\nM9sFvAr4GfDsdPchwJXRYbeRZJQeSG8Ht6f7Sf/9EYC7P2hm95vZpvRct+Wc6wDgPnd/KOdch4Rz\nRc85FLh7tJ+yfCHzscM75b7jjEqeaZirlF08ds47maOlTct9S36LtFZLqgbJZAlZD13YF0eZJGmt\nlvRTfYMkM7scOCjnoVl3v8TdtwPbzex04L3Aa0toY9YgH9DZD57853xpoXP78C1wxJbRWlSgUO57\nLuexaRhyt+qzXcPsgnA/BEVzzMJS53ENsZMmMbMtwJZSTt6SzkcGY2YL0d097r6npqZIARQYSZOU\n1le1pJ/qO9zO3U9092Nytksyh4Y5SJBkdTZHjx1Kks25Pb2d3R+e83gAM9sHeIy778051+Z03z0k\nw+geFp3r9j6vfzt5TljobA0IkMKF/jRf8M/YMrY3P6bN/l6URZKmcvc97r4QtrrbI5Mrfh81IUDS\nRT7Af0w3kcmmvqq/kYfbmdlR7n5zevcU4Lr09meAj5vZn5MMgTsKuDot3PCTtJjC1STD9M6MnnMa\nyTC9lwFXpPu/ACyb2X4k2aETgbel5/oS8LvA+elzL47OtQ04z8yOIxmW19ihdlnTHCBBukjs1u0s\nrSTBUl557zDMbtp/VzKlVLJbaqahdx8f+hkKLmWqtKSfGqe63YXAE4FV4Fbgje7+4/SxWeB1JAm3\nN7v759P9zwQ+AjwSuNTd/yjdvy/wMeBYYC/wyrToA2b2WiCMvfov7n5uuv8I4DyS+UnXAr/n7g+k\nj+0GTgL+N/Bad782p/2Nqm7XS162pO1D7oIwJykbFClAkio1rrrdkSV8bt2i6nZN1KTqdnmmN0iK\nvRy4oGuP+7xlfzcKkmQSFFbdrox+Cirvq0YOkiZd04OkfkPJ2hgkxdX6sovFhvlIcZAU3xcpU+OC\npCNK+Nz6voKkJlKQ1A4KkGRSFBYkldFPQeV91VjV7aQ8edmTtf1RFbw26lXZT3OQRESaIy9jIiLS\nFsokNdggQUFbskrxorlBtsR3HDhqyJ1UpXGZpM0lfG79SJmkJlImqR2USZJJUVgmqYx+Cirvq0Ze\nTFbKlTekbOfSrs46QSvLrQmQIAn25nd3gr6lleW1QChvLpICJJlaD5awiciYTsrdqwBJplIZ/VQN\nfZUySQ2VlzHplVlqU7CUZyH6O2k+klStcZmkg0v43LpTmaQmanImSVmkWBwgXdb1iIIkmSSFZZLK\n6Keg8r5KmaQGCoFA/G82ONi5tIsZW2ZpJRmiFhc6aJuFeWNh3vBNyf8LBUgy1R4oYRMpzfF1N6A2\nYc6WAkqZOmX0UzX0VQqSGigeYpbdH8RZpaWVJJMUAqW2BkyL2zo/q4iI1Md93pQlCS6LNhFpCw23\na7hRKrq1Zfjd/G7WVfCb3w22t/l/N2mPxg2327+E9/+9Gm7XRE0ebhcoS5L1G8DfAxpqJ5OnsOF2\nZfRTUHlfpUxSg03z/Ju4yl1MAZKIiDTbbwAKIEUmnYKkBssGR4MES20ZjjZj69eBmt/dPT9LZCq1\noGKQtIeG3WX9PSGTBAqUZEq1pLqdgqSG6zUPaSO9MjFN1m8uVRhmF4JABUoytVrQ8Yi0zzPqboBI\ncyhIkirkVbbrJWRfwnpDk1TAYdVnWdzWf5jdwryxuC352aZxCKKIiDTZM9ZtyrKJTC4FSRNgo3WS\nwsKyqz7Lqs8yt3W21kzSoMFZXLo8ZIjiohPxeRaifmaSgj+RwrWgrKpI+12bbiJTSCXApQq9Mibx\n/rmts2vZoxAw1VnhLjuXqJd43lHIgMXBXd55QhAoIiLNMd0ZkyPpBEU/QcGRSDsoSJpQ2axSXvZo\n0GClKeJ1kFZ9loXMfNf53dNZ6U+ky2oJm4iM6ch0u6XuhojUr4x+qoa+SuskTZhBChZM4jpJeWsi\nxRYWfapLokt9GrdOEmV8bmmdpCaahHWSsqazmtuR0e1OkDTd2TWZRIWtk1RKPwXZvsrMNgMfBX6J\n5EX/0t3PNLMDgPOBw4AfAC939/uGfTVlkibIjrntAwUIdc3ZGfV143lU87vzz6MASUREmumWzL8i\nUpEHgLe4+9HAccBWM3sycDpwubs/AbgivT80BUkTJAQI2X+zip6zE+YK5RWDCPs2ygTlCUFRyHwt\nrSxje71n4YmdS7tU+ltEpMGmb92kY+jOJIlIVdz9Lnf/enr7n4EbgMcBLwHOTQ87F3jpKOfXcLsJ\nFQcLvYKHIobdhWAllBTPBmBLK8td+8KcokECpjiwCrdXfXat3eG1lT2SOmm4ndRlEofbxdo99O6w\n9N9/WPfIdAWJ0iaTNtwu87qHA18Gngr80N33T/cbcE+4P9SrKUiaXGH4Xa/syjhBUgiIQtDTLzsV\nXicMkwuV6voFSuH8/dqYzSYpWJI6KEiSukx6kARtD5TyKUiSSdW8IGlPugWLuX2VmT2KJEDa6e4X\nm9m9cVBkZve4+wHDvrqCpAmXDZB2Lu3CNyXvn3Gr22201tLSSqeEdzg23jeIOFiKs0jhsZBdUoAk\ndVGQJHWZ5CApBEfu8zZtgZKCJJlUzQuS1p19XV9lZg8HPgt8zt3fm+67Edji7neZ2cHAl9z9SUO/\nmoKkyRcXdIiDpnEySf3mBIUhdvFQu2FfK5tpynu9GVteVwZcpGoKkqQukxwkBdMRIP0S7m+c6L+T\nCExekJQOpTsX2Ovub4n2vyvd904zOx3Yz92HLt6gIGmC9RpmF7I5qz7bldkZtLhCHLAMWixh0LlI\ncXao13nioX3KIEndmhck/Xzc0+R4RN63cycB7wVmgA+6+zt7tOlZwFdJSqx+qoTGTS0FSZNHWSSZ\nZMUFSWX0U5Dtq8zsN4H/AXyDTmR2BnA1cAHweMYoAa4gqWVGLeCQV5QBegdJ8f5soYW814vnKwUh\niMsK7YizYwqWpC7TGCSZ2QzwXeD5wO3ANcCp7n5Dpj0zwOXAvwDnuPtFJTRuarUhSArGD5YOAO4p\npC1lUpAkk2zSgqSyKUiacBsVbxhUr4AkW0Uvuy+b+dkoIOuXQYrnNykokqZoXpD0L+OeJse/zQZJ\nvw7Mu/tJ6f3TAdz9HZn2/DFJb/gs4LMKkorVliCpXdmkR6b//qxrr4IjaYPigqQy+inI9lVl0zpJ\nLdAv0xKvqRS2XueIj98xtz23zHg2GFvclgQ4M7acmxXK6nVMvJhs3B4RqcXjgB9F929L960xs8cB\npwBnpbtadCEsdWl+sPEzsgGSiLTTPnU3QIoxaFCRVw0v+1i8Ly97FAtzjEJw0084pl+glB1qJyJZ\nDxRwjq8Af9fvgEECnvcCp7u7p5NnG35xK3UZpsJdu7JOItOqiH6qfhpuN+HyhtsNE2D0qoyXZ9xF\na0OQFK+/1MvcVg25k2Zo3nC7+8c9TY7HZIfbHQcsRMPtzgAeios3mNn36ARGjyUZX/F/uftnSmjg\nVGrLcDvID35C1iguF97vOU0uJ978DJjIxoobbldGPwXZvqpsCpKmVL8henmBU68AKcwlGqRyXrwO\n0vxusL2Ob7LcoElBkjRF84KkveOeJsembJC0D0nhhucBd5BUClpXuCE6/hzgElW3K1abgqRRNDUg\nylKAJG1RXJBURj8F2b6qbBpuN6V6FWeIxVmqflmmVZ9lDmBrcr9XZiksGAswB+xgO3OkwdBccky2\nEISIZJU/jMHdHzSzbcDnSUqAf8jdbzCzN6SPn116I2TqZTNNIjIp2jHcToUbBCC3qENe9ih7TDag\n2bm0q+f8pPnddBV4iLNH4byakyTSDO7+OXd/orsf6e5vT/ednRcguftrlUWSssSZmqZlbZrWHhEp\njoIkyQ1INsoe9TqHb7K+w+5WfbZreN3SyjK+yfBNnX5GAZJIPw+UsIk0m/u8FRuQFDOQRlkukTxl\n9FPV91UKkqRLrzLh/QKXOOPUL0AK5cJ7WVpZXguaVAJcRETyFBMwPVhMY0SktTQnSXINWvEue0xc\nwCGuZhfM0dmXnX8U31c2SaQXXdyJQDOq3Wm4nUiedvRTyiRJT9kKeIMELmFR2Kxe54kzSwqQRERk\nUihAEmk3lQCXgfRahymvsEPYF5f7jkt698tOKUCSpmleCfCbxj1NjidUWlZVBjPtJcCHUXVGSQGS\ntFFxJcDL6Keg6r5KQZIMbKPgJvv4jC2vBUhBnDmyvfr9S/M1L0j6zrinyfEUBUkNpCBpeGUFSwqK\nZBoUFySV0U9B1X2VhtvJ2HotNJsddhfPOZrbOqviDCIiMhHqnvskItVTkCRDy1bA6xfsZNdRyla3\nU6AkMqzJL6sqUoayAxkFSiKDakcJcFW3k4HERRyy85N6BTq9HovXSUp2aC6SiIiIiDSHMkkykF4B\nz6CZoDgoyqtoJyKDerCETWTyad6QSFOU0U9V31dNd5A0v5hsMpCQ7cn+2+vYEEBl5yLFt0VERIoS\nFppVwCQi45ru6nYsbHzg4nzpbZlU/cp/byR7rIbbSVM1r7rdleOeJsdxqm7XQKpuN5owd6iMxWYV\nfEmbFVfdrox+Cqruq6Y7kzSIkG1S1gnovV5Sr8eyRR6yxyo4EhnW5A9hEKmKskoidWjHcDtlksal\nTBPQHfQMWtghPkakqZqXSfq7cU+T4zeVSWogZZKKNW5WScGWtF1xmaQy+imouq9SkFS2KQyiQiW8\nQYbjKUCSWg2QHS7iwqjYIOlL454mxwkKkhpIQVJ5hg2YFCDJNCguSCqjn4Kq+yoFSXWYksBJc46k\ncUYYMqsgSeqiIKl82WBJwZBMMwVJ3bROUh2GuVCb4IAqb10lkUJN5TxBzSESKUoIirRQrEiR2tFP\nKZM0ySY4gBIZWk0BUfMySZePe5ocJyqT1EDKJIlIlYrLJJXRT0HVfZUySZMs76JRgZNMuqnMDg3j\ngbobICIi0kc7+ikFSW0z7AWmgiopkwKeErRjGIOIiLRVO/opBUnTbqOLWAVRshEFQiIiItIyCpKk\nPwVRoiCoYdoxjEFERNqqHf2UgiQZT9EX0Aq6xqegRkRERGQsCpKkWca9wG9DkKUgR/pqxzd0IiLS\nVu3opxQkSbsowBARERGRMU11kKSVtUVk8rSjapCIiLRVO/qpqQ6SREQmTzuGMYiISFu1o596WN0N\nEBERERERaRJlkkREJko7hjGIiEhbtaOfUiZJREREREQkokySiMhEacdYbxERaat29FPKJImIiIiI\niESUSRIRmSjtGOstIiJt1Y5+SkGSiMhEaccwBhERaat29FMabiciIiIiIhJRJklEZKK0YxiDiIi0\nVTv6qZEzSWa208yuN7Ovm9kVZrY53X+4mf3MzK5Lt7+InvNMM/ummd1sZu+L9u9rZuen+680s8Oi\nx04zs5vS7dXR/iPM7Kr0OeeZ2cOjx85M919vZseO+jNWycy21N2GoEltgWa1p0ltgWa1p0ltgea1\nZ9KY2UlmdmP6Wfq2HsdM3GetjK5J/6ea1BZoVnua1BZQe/ppUlsm1SB91ajGGW73Lnd/urv/KnAx\nMB89dou7H5tub4r2nwW83t2PAo4ys5PS/a8H9qb73wO8E8DMDgDmgGen27yZPSZ9zjuBd6fPuTc9\nB2Z2MnBkuv8P0tecBFvqbkBkS90NyNhSdwMiW+puQMaWuhsQ2VJ3AzK21N2AcjxQwtbNzGaA3cBJ\nwFOAU83syZljJvWzVka3pe4GRLbU3YCMLXU3ILKl7gZkbKm7ARlb6m5AZEvdDShHGf3UaH3VOEYO\nktz9p9HdRwH/1O94MzsYeLS7X53u+ijw0vT2S4Bz09sXAc9Lb78Q+IK73+fu9wGXAy8yMwNOAC5M\njzs3Otcp4VzufhWwn5kdOPxPKCIytZ5N8mXXD9z9AeA8ks/W2Nrntj5rRUSkBoP0VSMba06Sme0C\nXgX8C3Bc9NARZnYdcD/w/7r73wGPA26Ljrk93Uf6748A3P1BM7vfzDYBh2Sec1t67AHAfe7+UM65\nDgnnip5zKHD3GD+qiEhDVDLWe+0zOXUb8JwBjtFnrYjI1KtsTtIgfdXI+gZJZnY5cFDOQ7Pufom7\nbwe2m9npJMPkXgvcAWx293vN7BnAxWZ2dFENBnyAY2yQ55jZIOeqjJnNb3xUNZrUFmhWe5rUFmhW\ne5rUFmhee4qxUMWLDPrZONBnrYxO/VRvTWoLNKs9TWoLqD39NKktxVmo6oVK/XzsGyS5+4kDnufj\nwKXpc34O/Dy9fa2Z3QocRZLtOTR6zqF0skS3A48H7jCzfYDHuPteM7ud7vGam4G/Be4hGdrxsDSb\ndGh6jnCuzZnXuZ0Md8927iIijVbh51b2c3Qz3Vn9vGNyP2tldOqnRGTSVPy5NUhfNbJxqtsdFd09\nBbgu3f/YdCIVZvbLJAHS99z9TuAnZvacdE7Rq4BPp8//DHBaevtlwBXp7S8ALzCz/cxsf+BE4PPu\n7sCXgN9NjzuNpHhEONer09c/jmRYnoZ/iIgM7n+RFNc53MweAbyC5LM1ps9aERGp0yB91cjGmZP0\ndjN7IrAK3Aq8Md3/W8CSmT0APAS8IS26APAm4CPAI4FL3f2ydP+HgI+Z2c3AXuCVAO5+j5ntBK5J\nj1uMzvU24Dwz+y/Atek5cPdLzexkM7sF+N8kQwBFRGRA6dzQbcDngRngQ+5+g5m9IX38bH3WiohI\nnXr1VUWd35KkjIiIiIiIiADg7hO7kZT+u5pkqN81wLOix84AbgZuBF4Q7X8m8M30sfdF+/cFzk/3\nXwkcFj12GnBTur062n8EcFX6nPOANwM3AN8C3llzWx6e7v+/STJ6B9TYnhvS7XrgUyRzzmr/3Yzw\nfjspbefNwNvGfO9uJhky+u30/fJH6f4DSErd30Qy3HS/qn5XJN/CXAdc0oC27EdS4v8G4Dsk1Wpq\naU967m+n5/l4+tzafjfaJmujef3Uw4E/pEF9Fc3op84D3s2E91Won1I/pX6quM/vuhswVuNhD/DC\n9PaLgC+lt58CfJ3kw/dw4BY6WbOrgWenty8FTkpvvwn4i/T2K4Dz0tsHkAwn3C/dbiX94AQuAF6e\n3v50+h8lBCf/R41tOQv4zyQfcJcB3yftfGpqzyXAG9Pb7wDeUffvZoT32kzavsPT9n4dePIY792D\ngF9Nbz8K+C7wZOBdwFvT/W+r8He1H/AnwH8DPpMeV2dbzgVelx6zD/CYmtrzD8APgH3Tx88n6RBq\n+93U/bmrbej/63toTj91FvDnJBdOTemrzqAZ/dRZwHuBh6X3J66vQv2U+ilXP1XkVnsDxmo8fILO\nB8qpwF+nt88g+gaF5AP4OOBg4IZo/yuBD0THPCd6s/9jdN6zoud8IH2eAf9I5wP1i8DVOW2soy3H\npef4JPA0ujuf2tqT3v4PNf+d1toy5Hvt1+PnAacDpxf4Xr4YeD7JtzoHpvsOAm6s6Hf1JpL38Al0\nvqGrqy2vJSn2kv0d1dGec0iWNdg/Pe4SkgIydf1uXlnUe05bNRvN6qeOA+4EfjunnXW15y4a1k+l\n9yeur0L9lPop9VOFbiNXt2uI04F3m9kPgf9K8oeH3ovQZvf3XNAWGHZB24OAw8zsSjPbY2a/VmNb\nbgeeBNzm7t+gW13tCed6HWm5+Aa0ZRh5C5aNcp51zOxw4FiSYRYHeqdC2N3Agentsn9XbwD+lGTI\nS1BXW54K/KOZnWNm15rZX5nZL9TUnluBvwd+SNIJ3eful9f4uynkPSeValI/dTvJt72/1ZC+6mhg\nnwb2UzCZfZX6KfVT6qcKNE51u0r0WdB2O/BHJGNk/8bMfhf4MEn0XGZbjiFZvOr1wOPN7JtpW/YB\nZtz9ODN7Fkna/JfLakvqNcAvZNoCycK+vwTMx80vsyF9fjezJGldzGw78HN3/3iZbYl4Q8+1xswe\nBVwEvNndf5pUx09f0N0rWkjyicA/u/t1ZrYl74AK2wLJ0gTPALa5+zVm9l6SC8062nMA8FySIQn3\nA580s9+rqS3SUE3qp1KvoXffYMD+VfVVG/SbW0ku3tYOL6sdkdeQ/7v586jNk9pXqZ9SP6V+qkCN\nzyS5+4nufkzO9hmScZN/kx56IckEWchf5PA2BlvQlnhB2/hcniyu+2ngj0m+AfsJ8PS0LfeSRPO4\n+zXAQ2b22LLakroipy3HAP9KMjb5ejP7fnrur5nZgTX8bi6Jznsy8J+i1yjzd7M53be28HB0rlEW\nvCx8wTIzezhJx/Mxdw/rfN1tZgeljx8M/LjH6xf5uzoaeGL6XvkE8Ntm9rGa2rKZZG7fben/I0j+\nbz8DuKuG9jwN+La7702/PfsUyZCWOtpS6CJ5Upwm9VOpXn3DDcBPSd7HlfRVvfoG4HskF3VHVNVP\nbfC7uRG43cxew+T2Veqn1E+pnyrSqOP0mrCRrI90fHr7ecA16e0wKe0RJNVibqUzKe0qkgokxvpJ\naWd5ZxxmPCnteyRDFPYPt9PHLgBekd7+MvDZ9PYTgB/W2JYPEE34JH9CbJXt+e8kKeDHZv5+tf9u\nhniv7ZO27/C0veNOiDXgo8B7MvvfRTpWmORbqexEy7J/V8fTGetdW1uA/wE8IT1mIW1LHe25jaRq\n0SPTc5xL8u13rX8nbZOz0ax+6gMkk94X0/uN6auov5/6AHAmSYWwieyrUD+lfkr9VLGf33U3YKzG\nw6+lf9CvA18Fjo0emyWp2HEjaWWhdH8ob3gLcGa0f1+SD6hQ3vDw6LHXpvtvBk6L9sflOi8A/jo9\n99eALTW25Xyi0qHpm/aAGtvzU5LqK9el21805Xcz5PvtRSTVfW4BzhjzvfubJOOqvx79Xk4i+cD5\nIvklO6v4XR1Pp2pQbW0h+ab5GqJSvHW1B3grndKq55JUBKr176Rtcjaa1U+dT3Ih9TEa1ldRfz8V\nyhtPdF+F+in1U+qnCtu0mKyIiIiIiEik8XOSREREREREqqQgSUREREREJKIgSUREREREJKIgSURE\nREREJKIgSUREREREJKIgSUREREREJKIgSUREREREJPL/A8jclIkqvZFgAAAAAElFTkSuQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f901e242d50>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"# plot mask and gates\n",
"%matplotlib inline\n",
"#%matplotlib tk\n",
"fig, axes = plt.subplots(1, 2, sharex=True, sharey=True)\n",
"fig.set_size_inches(14, 8)\n",
"\n",
"ax = axes[0]\n",
"h = ax.pcolor(x, y, lc.values)\n",
"plt.colorbar(h, ax=ax)\n",
"#plt.savefig(\"mask.png\")\n",
"\n",
"ax = axes[1]\n",
"h = ax.pcolor(x, y, label)\n",
"ax.set_title(\"Gates from mask\")\n",
"plt.colorbar(h, ax=ax)\n",
"#plt.savefig(\"gates.png\")"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Use PISM Regional Tools to derive basins from surface elevation"
]
},
{
"cell_type": "code",
"execution_count": 5,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"array([[-1, -1, -1, ..., -1, -1, -1],\n",
" [-1, -1, -1, ..., -1, -1, -1],\n",
" [-1, -1, -1, ..., -1, -1, -1],\n",
" ..., \n",
" [-1, -1, -1, ..., -1, -1, -1],\n",
" [-1, -1, -1, ..., -1, -1, -1],\n",
" [-1, -1, -1, ..., -1, -1, -1]], dtype=int32)"
]
},
"execution_count": 5,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"# https://github.com/pism/regional-tools.git\n",
"import dbg\n",
"thk2 = thk.copy()\n",
"thk2[missing] = -1000\n",
"mask = dbg.initialize_mask(thk2)\n",
"#mask[~ice] = 0\n",
"dbg.upslope_area(x, y, z, mask) # compute basin"
]
},
{
"cell_type": "code",
"execution_count": 6,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"<matplotlib.colorbar.Colorbar instance at 0x7f901e375998>"
]
},
"execution_count": 6,
"metadata": {},
"output_type": "execute_result"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA08AAAHpCAYAAABa5AfAAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n6BpQZKzAvjgAAAAASUVORK5CYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f9011ea11d0>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"#plt.figure()\n",
"#%matplotlib tk\n",
"%matplotlib inline\n",
"fig, axes = plt.subplots(1, 2, sharex=True, sharey=True)\n",
"fig.set_size_inches(14, 8)\n",
"\n",
"#plt.figure()\n",
"ax = axes[0]\n",
"h = ax.contourf(x, y, z, levels=np.linspace(0, 3500, 15))\n",
"#h = ax.pcolor(x, y, z, vmin=0, vmax=100)\n",
"ax.set_title(\"Surface elevation\")\n",
"ax.grid()\n",
"plt.colorbar(h, ax=ax)\n",
"\n",
"#plt.figure()\n",
"ax = axes[1]\n",
"h = ax.pcolor(x, y, mask)\n",
"ax.contour(x, y, z, levels=np.linspace(0, 3500, 15), colors='k', linestyle='--', alpha=0.5)\n",
"ax.set_title(\"Basins PISM Regional Tools\")\n",
"ax.grid()\n",
"plt.colorbar(h, ax=ax)\n",
"#plt.savefig(\"basins_pism_zs.png\")\n",
"#plt.show()\n",
"#fig.show()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Compare with other basins delineation\n",
"\n",
"http://icesat4.gsfc.nasa.gov/cryo_data/ant_grn_drainage_systems.php\n",
"http://nsidc.org/data/nsidc-0371"
]
},
{
"cell_type": "code",
"execution_count": 7,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/html": [
"<img src=\"http://icesat4.gsfc.nasa.gov/cryo_data/drainage_divides/Grn_Drainage_Systems.png\" width=\"300\"/>"
],
"text/plain": [
"<IPython.core.display.Image object>"
]
},
"execution_count": 7,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"from IPython.display import Image\n",
"Image(url=\"http://icesat4.gsfc.nasa.gov/cryo_data/drainage_divides/Grn_Drainage_Systems.png\", width=300)"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Group basins by gate"
]
},
{
"cell_type": "code",
"execution_count": 8,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"def group_basins(mask, gate_label, other_mask=None):\n",
" \"\"\"try to re-group basins flagged from PISM-REGIONAL (many basins !!)\n",
" \"\"\"\n",
" mask2 = np.array(mask)\n",
" marked = np.zeros_like(mask, dtype=bool)\n",
" ni, nj = mask2.shape\n",
" ii = np.arange(ni)\n",
" jj = np.arange(nj)\n",
" xx, yy = np.meshgrid(jj, ii)\n",
" missing_count = 0\n",
" for i in xrange(np.max(mask)+1):\n",
" if i == 0: continue\n",
" coastal_area = (mask == i) & (gate_label > 0)\n",
" if not np.any(coastal_area):\n",
" # print \"Basin\",i,\": no gate found\"\n",
" if other_mask is not None:\n",
" overlap = (mask == i) & (other_mask > 0)\n",
" if np.any(overlap):\n",
" coast_lab = other_mask[overlap]\n",
" if coast_lab.ptp() > 1:\n",
" print \"Basin\",i,\": several gates found (other mask)\"\n",
" else:\n",
" # print \"map basin\",i,\"with\",coast_lab.min(),\"(other mask)\"\n",
" pass\n",
" mask2[mask == i] = coast_lab.min()\n",
" continue\n",
" missing_count += 1\n",
" mask2[mask == i] = -10\n",
" else:\n",
" coast_lab = gate_label[coastal_area]\n",
" if coast_lab.ptp() > 1:\n",
" print \"Basin\",i,\": several gates found\"\n",
" mask2[mask == i] = coast_lab.min()\n",
" else:\n",
" mask2[mask == i] = coast_lab.min()\n",
"\n",
" if missing_count > 0:\n",
" print \"WARNING : \", missing_count, \"basins do not match any gate\"\n",
"\n",
" return mask2"
]
},
{
"cell_type": "code",
"execution_count": 9,
"metadata": {
"collapsed": false
},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Basin 1210 : several gates found\n",
"Basin 1279 : several gates found\n",
"Basin 2324 : several gates found\n",
"WARNING : 2314 basins do not match any gate\n"
]
}
],
"source": [
"# They are too many (and too small) basins\n",
"# try to group them according to outlet glacier gates\n",
"mask2 = group_basins(mask, label)"
]
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"<matplotlib.colorbar.Colorbar instance at 0x7f901e4e3d88>"
]
},
"execution_count": 10,
"metadata": {},
"output_type": "execute_result"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAYIAAAEKCAYAAAAfGVI8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nCBzHcfocFwLHcZw+x4XAcRynz/n/eZlVPY/1uUgAAAAASUVORK5CYII=\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f901e2424d0>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"#plt.figure()\n",
"plt.pcolor(x, y, mask2)\n",
"plt.title(\"From surface elevation + grouping by gates\")\n",
"plt.colorbar()\n",
"#plt.savefig(\"basins_pism_zs_grouped_by_gate.png\")"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"The resuling basins are not satisfactory, for example Petermann drainage basin does not show up.\n",
"That's because a small, spurious basin near the gate takes its place.\n",
"Maybe it is due to a small depression near the outlet?\n",
"=> try filling depressions and see"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Attempt to fill the depressions using Barnes2013 algorithm\n",
"\n",
"Before proceeding to this section, please execute the script process_barnes.sh"
]
},
{
"cell_type": "code",
"execution_count": 11,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"array([[-1, -1, -1, ..., -1, -1, -1],\n",
" [-1, -1, -1, ..., -1, -1, -1],\n",
" [-1, -1, -1, ..., -1, -1, -1],\n",
" ..., \n",
" [-1, -1, -1, ..., -1, -1, -1],\n",
" [-1, -1, -1, ..., -1, -1, -1],\n",
" [-1, -1, -1, ..., -1, -1, -1]], dtype=int32)"
]
},
"execution_count": 11,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"# from filled surface elevation\n",
"z_filled = np.array(da.read_nc(\"BSEoriginal.nc\", \"Band1\"), dtype=\"d\", order=\"C\")\n",
"mask_filled = dbg.initialize_mask(thk)\n",
"dbg.upslope_area(x, y, z_filled, mask_filled) # compute basin"
]
},
{
"cell_type": "code",
"execution_count": 12,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"<matplotlib.colorbar.Colorbar instance at 0x7f901e1f0488>"
]
},
"execution_count": 12,
"metadata": {},
"output_type": "execute_result"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAA08AAAHpCAYAAABa5AfAAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f903c53cd10>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"#plt.figure()\n",
"#%matplotlib tk\n",
"%matplotlib inline\n",
"fig, axes = plt.subplots(1, 2, sharex=True, sharey=True)\n",
"fig.set_size_inches(14, 8)\n",
"\n",
"#plt.figure()\n",
"ax = axes[0]\n",
"h = ax.contourf(x, y, z_filled, levels=np.linspace(0, 3500, 15))\n",
"#h = ax.pcolor(x, y, z, vmin=0, vmax=100)\n",
"ax.set_title(\"Filled surface elevation\")\n",
"ax.grid()\n",
"plt.colorbar(h, ax=ax)\n",
"\n",
"#plt.figure()\n",
"ax = axes[1]\n",
"h = ax.pcolor(x, y, mask_filled)\n",
"ax.contour(x, y, z_filled, levels=np.linspace(0, 3500, 15), colors='k', linestyle='--', alpha=0.5)\n",
"ax.set_title(\"Basins PISM Regional Tools\")\n",
"ax.grid()\n",
"plt.colorbar(h, ax=ax)\n",
"#plt.savefig(\"basins_pism_zs.png\")\n",
"#plt.show()\n",
"#fig.show()"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"### group the basins..."
]
},
{
"cell_type": "code",
"execution_count": 13,
"metadata": {
"collapsed": false
},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Basin 1210 : several gates found\n",
"Basin 1279 : several gates found\n",
"Basin 2325 : several gates found\n",
"WARNING : 2318 basins do not match any gate\n"
]
}
],
"source": [
"mask_filled_grouped = group_basins(mask_filled, label)"
]
},
{
"cell_type": "code",
"execution_count": 14,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"<matplotlib.colorbar.Colorbar instance at 0x7f901e54ab90>"
]
},
"execution_count": 14,
"metadata": {},
"output_type": "execute_result"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAYIAAAEKCAYAAAAfGVI8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nXAgcx3H6HBcCx3GcPuf/B6+QQWsnTRpjAAAAAElFTkSuQmCC\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f8fef654050>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.pcolor(x, y, mask_filled_grouped)\n",
"plt.title(\"From filled surface elevation + grouping by gates\")\n",
"plt.colorbar()\n",
"#plt.savefig(\"basins_pism_zs_grouped_by_gate_filled.png\")"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Using velocity\n",
"\n",
"A rough attempt of using velocity to map the basins."
]
},
{
"cell_type": "code",
"execution_count": 15,
"metadata": {
"collapsed": true
},
"outputs": [],
"source": [
"u = da.read_nc(fname, \"surfvelx\").squeeze()\n",
"v = da.read_nc(fname, \"surfvely\").squeeze()"
]
},
{
"cell_type": "code",
"execution_count": 16,
"metadata": {
"collapsed": false
},
"outputs": [],
"source": [
"#u = np.array(u,dtype='d')\n",
"#v = np.array(v,dtype='d')\n",
"label2 = label.filled(-1)\n",
"mask3 = map_basin_from_velocity(x, y, u, v, label2, ice)"
]
},
{
"cell_type": "code",
"execution_count": 17,
"metadata": {
"collapsed": false
},
"outputs": [
{
"data": {
"text/plain": [
"<matplotlib.colorbar.Colorbar instance at 0x7f901e4a0a28>"
]
},
"execution_count": 17,
"metadata": {},
"output_type": "execute_result"
},
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAYIAAAEKCAYAAAAfGVI8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nrkJggg==\n",
"text/plain": [
"<matplotlib.figure.Figure at 0x7f8fe4bac650>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"%matplotlib inline\n",
"plt.figure()\n",
"plt.pcolor(x, y, np.ma.array(mask3, mask=ocean|missing))\n",
"plt.title(\"From surface velocity + gates\")\n",
"plt.colorbar()\n",
"# plt.savefig(\"basins_flowlines.png\")"
]
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"+ Petermann shows up\n",
"- Not all grid cells are visited\n",
"\n",
"Way forward: try using flowline to join various basins together. Use gates at a later stage."
]
}
],
"metadata": {
"kernelspec": {
"display_name": "Python 2",
"language": "python",
"name": "python2"
},
"language_info": {
"codemirror_mode": {
"name": "ipython",
"version": 2
},
"file_extension": ".py",
"mimetype": "text/x-python",
"name": "python",
"nbconvert_exporter": "python",
"pygments_lexer": "ipython2",
"version": "2.7.6"
}
},
"nbformat": 4,
"nbformat_minor": 0
}
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment