Skip to content

Instantly share code, notes, and snippets.

@proppy
Last active May 12, 2022 15:12
Show Gist options
  • Save proppy/26525ff7d9810247759a8d3ff3c41dcb to your computer and use it in GitHub Desktop.
Save proppy/26525ff7d9810247759a8d3ff3c41dcb to your computer and use it in GitHub Desktop.
openlane-with-conda-eda.ipynb
Display the source blob
Display the rendered blob
Raw
{
"nbformat": 4,
"nbformat_minor": 0,
"metadata": {
"colab": {
"name": "openlane-with-conda-eda.ipynb",
"provenance": [],
"collapsed_sections": [],
"include_colab_link": true
},
"kernelspec": {
"name": "python3",
"display_name": "Python 3"
},
"language_info": {
"name": "python"
}
},
"cells": [
{
"cell_type": "markdown",
"metadata": {
"id": "view-in-github",
"colab_type": "text"
},
"source": [
"<a href=\"https://colab.research.google.com/gist/proppy/26525ff7d9810247759a8d3ff3c41dcb/openlane-with-conda-eda.ipynb\" target=\"_parent\"><img src=\"https://colab.research.google.com/assets/colab-badge.svg\" alt=\"Open In Colab\"/></a>"
]
},
{
"cell_type": "markdown",
"source": [
"# OpenLane flow with conda-eda packages\n",
"\n",
"```\n",
"Copyright 2022 Google LLC.\n",
"SPDX-License-Identifier: Apache-2.0\n",
"```\n",
"\n",
"## How to use this notebook\n",
"\n",
"1. Click ▷ for the [first code cell](#scrollTo=NC__X6Jph4CU).\n",
"1. Wait for the runtime to restart (should display a *Your session crashed for an unknown reason* toast message, [read why?](https://github.com/conda-incubator/condacolab#usage)).\n",
"1. Click `Runtime > Run after` if you're in an hurry or simply run the remaining cells one by one."
],
"metadata": {
"id": "HkFeU0R-0hzi"
}
},
{
"cell_type": "markdown",
"source": [
"## Install conda"
],
"metadata": {
"id": "gxIm7zGh0pJA"
}
},
{
"cell_type": "code",
"execution_count": 1,
"metadata": {
"colab": {
"base_uri": "https://localhost:8080/"
},
"id": "NC__X6Jph4CU",
"outputId": "77c7bcb3-505a-4785-aa27-d28d6aeb7f88"
},
"outputs": [
{
"output_type": "stream",
"name": "stdout",
"text": [
"\u001b[33mWARNING: Running pip as the 'root' user can result in broken permissions and conflicting behaviour with the system package manager. It is recommended to use a virtual environment instead: https://pip.pypa.io/warnings/venv\u001b[0m\n",
"✨🍰✨ Everything looks OK!\n"
]
}
],
"source": [
"!pip install -q condacolab\n",
"import condacolab\n",
"condacolab.install_from_url(\"https://repo.anaconda.com/miniconda/Miniconda3-py37_4.11.0-Linux-x86_64.sh\")\n",
"!sed -i -e /cudatoolkit/d /usr/local/conda-meta/pinned"
]
},
{
"cell_type": "markdown",
"source": [
"## Install dependencies"
],
"metadata": {
"id": "Gk35qYyy0tUx"
}
},
{
"cell_type": "code",
"source": [
"%%writefile environment.yml\n",
"channels:\n",
" - litex-hub\n",
" - conda-forge\n",
"dependencies:\n",
" - open_pdks.sky130a\n",
" - magic\n",
" - openroad\n",
" - netgen\n",
" - yosys\n",
" - gdstk\n",
" - tcllib\n",
" - python\n",
" - pip\n",
" - pip:\n",
" - pyyaml\n",
" - click\n",
" - pandas"
],
"metadata": {
"colab": {
"base_uri": "https://localhost:8080/"
},
"id": "ltqhSgAHl4Qt",
"outputId": "67248957-8f3b-4609-8dad-ec0cb1b9fb6c"
},
"execution_count": 2,
"outputs": [
{
"output_type": "stream",
"name": "stdout",
"text": [
"Writing environment.yml\n"
]
}
]
},
{
"cell_type": "code",
"source": [
"!conda env update --prefix /usr/local --file environment.yml"
],
"metadata": {
"colab": {
"base_uri": "https://localhost:8080/"
},
"id": "OxONmJT0nP_Y",
"outputId": "4e6a7c33-418a-4076-d623-c2a4e80c4344"
},
"execution_count": 3,
"outputs": [
{
"output_type": "stream",
"name": "stdout",
"text": [
"Collecting package metadata (repodata.json): - \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\bdone\n",
"Solving environment: - \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\bdone\n",
"\n",
"\n",
"==> WARNING: A newer version of conda exists. <==\n",
" current version: 4.11.0\n",
" latest version: 4.12.0\n",
"\n",
"Please update conda by running\n",
"\n",
" $ conda update -n base -c defaults conda\n",
"\n",
"\n",
"\n",
"Downloading and Extracting Packages\n",
"xorg-renderproto-0.1 | 9 KB | : 100% 1.0/1 [00:00<00:00, 9.12it/s]\n",
"certifi-2021.10.8 | 145 KB | : 100% 1.0/1 [00:00<00:00, 10.52it/s]\n",
"fontconfig-2.13.1 | 327 KB | : 100% 1.0/1 [00:00<00:00, 7.42it/s]\n",
"glib-tools-2.68.3 | 86 KB | : 100% 1.0/1 [00:00<00:00, 4.72it/s] \n",
"yosys-0.16_32_gc1646 | 11.1 MB | : 100% 1.0/1 [00:02<00:00, 2.85s/it]\n",
"glib-2.68.3 | 449 KB | : 100% 1.0/1 [00:00<00:00, 3.95it/s]\n",
"pip-22.1 | 1.6 MB | : 100% 1.0/1 [00:00<00:00, 2.00it/s]\n",
"icu-58.2 | 22.6 MB | : 100% 1.0/1 [00:04<00:00, 4.53s/it] \n",
"libxcb-1.13 | 395 KB | : 100% 1.0/1 [00:00<00:00, 5.72it/s]\n",
"libgfortran5-12.1.0 | 1.8 MB | : 100% 1.0/1 [00:00<00:00, 2.01it/s] \n",
"libblas-3.9.0 | 12 KB | : 100% 1.0/1 [00:00<00:00, 27.06it/s]\n",
"netgen-1.5.221_0_g66 | 812 KB | : 100% 1.0/1 [00:00<00:00, 1.63it/s]\n",
"zstd-1.4.9 | 431 KB | : 100% 1.0/1 [00:00<00:00, 7.92it/s]\n",
"cairo-1.16.0 | 1.5 MB | : 100% 1.0/1 [00:00<00:00, 2.36it/s]\n",
"openssl-1.1.1k | 2.1 MB | : 100% 1.0/1 [00:00<00:00, 2.21it/s]\n",
"xorg-libxdmcp-1.1.3 | 19 KB | : 100% 1.0/1 [00:00<00:00, 19.92it/s]\n",
"libuuid-2.32.1 | 28 KB | : 100% 1.0/1 [00:00<00:00, 17.55it/s]\n",
"liblapack-3.9.0 | 11 KB | : 100% 1.0/1 [00:00<00:00, 24.88it/s]\n",
"xorg-kbproto-1.0.7 | 27 KB | : 100% 1.0/1 [00:00<00:00, 22.93it/s]\n",
"numpy-1.21.1 | 6.1 MB | : 100% 1.0/1 [00:01<00:00, 1.71s/it] \n",
"libiconv-1.16 | 1.4 MB | : 100% 1.0/1 [00:00<00:00, 4.08it/s]\n",
"pixman-0.38.0 | 594 KB | : 100% 1.0/1 [00:00<00:00, 6.38it/s]\n",
"libboost-1.73.0 | 13.9 MB | : 100% 1.0/1 [00:02<00:00, 2.44s/it]\n",
"python_abi-3.7 | 4 KB | : 100% 1.0/1 [00:00<00:00, 25.90it/s]\n",
"openroad-2.0_3340_gb | 22.6 MB | : 100% 1.0/1 [00:06<00:00, 6.22s/it]\n",
"libopenblas-0.3.17 | 9.2 MB | : 100% 1.0/1 [00:02<00:00, 2.05s/it]\n",
"magic-8.3.302_0_g93c | 5.6 MB | : 100% 1.0/1 [00:01<00:00, 1.73s/it]\n",
"bzip2-1.0.8 | 484 KB | : 100% 1.0/1 [00:00<00:00, 7.44it/s]\n",
"gdstk-0.7.0 | 565 KB | : 100% 1.0/1 [00:00<00:00, 4.50it/s]\n",
"xorg-libice-1.0.10 | 58 KB | : 100% 1.0/1 [00:00<00:00, 18.99it/s]\n",
"libcblas-3.9.0 | 11 KB | : 100% 1.0/1 [00:00<00:00, 21.30it/s]\n",
"xorg-libxext-1.3.4 | 54 KB | : 100% 1.0/1 [00:00<00:00, 18.01it/s]\n",
"libglib-2.68.3 | 3.1 MB | : 100% 1.0/1 [00:00<00:00, 1.31it/s]\n",
"tcllib-1.20 | 2.1 MB | : 100% 1.0/1 [00:00<00:00, 1.34it/s]\n",
"ca-certificates-2021 | 139 KB | : 100% 1.0/1 [00:00<00:00, 14.64it/s]\n",
"open_pdks.sky130a-1. | 119.6 MB | : 100% 1.0/1 [00:43<00:00, 43.61s/it]\n",
"xorg-xextproto-7.3.0 | 28 KB | : 100% 1.0/1 [00:00<00:00, 17.81it/s]\n",
"libpng-1.6.37 | 306 KB | : 100% 1.0/1 [00:00<00:00, 8.71it/s]\n",
"lz4-c-1.9.3 | 179 KB | : 100% 1.0/1 [00:00<00:00, 12.62it/s]\n",
"gettext-0.19.8.1 | 3.6 MB | : 100% 1.0/1 [00:01<00:00, 1.13s/it]\n",
"pcre-8.45 | 253 KB | : 100% 1.0/1 [00:00<00:00, 9.48it/s]\n",
"xorg-libsm-1.2.3 | 26 KB | : 100% 1.0/1 [00:00<00:00, 20.89it/s]\n",
"freetype-2.10.4 | 890 KB | : 100% 1.0/1 [00:00<00:00, 4.23it/s]\n",
"python-3.7.10 | 57.3 MB | : 100% 1.0/1 [00:09<00:00, 9.00s/it] \n",
"libgfortran-ng-12.1. | 23 KB | : 100% 1.0/1 [00:00<00:00, 20.70it/s]\n",
"pthread-stubs-0.4 | 5 KB | : 100% 1.0/1 [00:00<00:00, 22.52it/s]\n",
"xorg-libxau-1.0.9 | 13 KB | : 100% 1.0/1 [00:00<00:00, 19.96it/s]\n",
"xorg-xproto-7.0.31 | 73 KB | : 100% 1.0/1 [00:00<00:00, 15.96it/s]\n",
"xorg-libx11-1.7.2 | 941 KB | : 100% 1.0/1 [00:00<00:00, 4.11it/s]\n",
"libxml2-2.9.9 | 1.3 MB | : 100% 1.0/1 [00:00<00:00, 1.18it/s]\n",
"xorg-libxrender-0.9. | 32 KB | : 100% 1.0/1 [00:00<00:00, 26.12it/s]\n",
"Preparing transaction: | \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\bdone\n",
"Verifying transaction: | \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\bdone\n",
"Executing transaction: / \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\bdone\n",
"Installing pip dependencies: \\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ \b\b- \b\b\\ \b\b| \b\b/ Ran pip subprocess with arguments:\n",
"['/usr/local/bin/python', '-m', 'pip', 'install', '-U', '-r', '/content/condaenv.qes_i86y.requirements.txt']\n",
"Pip subprocess output:\n",
"Collecting pyyaml\n",
" Downloading PyYAML-6.0-cp37-cp37m-manylinux_2_5_x86_64.manylinux1_x86_64.manylinux_2_12_x86_64.manylinux2010_x86_64.whl (596 kB)\n",
" ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 596.3/596.3 kB 11.5 MB/s eta 0:00:00\n",
"Collecting click\n",
" Downloading click-8.1.3-py3-none-any.whl (96 kB)\n",
" ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 96.6/96.6 kB 11.4 MB/s eta 0:00:00\n",
"Collecting pandas\n",
" Downloading pandas-1.3.5-cp37-cp37m-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (11.3 MB)\n",
" ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 11.3/11.3 MB 71.8 MB/s eta 0:00:00\n",
"Collecting importlib-metadata\n",
" Downloading importlib_metadata-4.11.3-py3-none-any.whl (18 kB)\n",
"Collecting pytz>=2017.3\n",
" Downloading pytz-2022.1-py2.py3-none-any.whl (503 kB)\n",
" ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 503.5/503.5 kB 34.0 MB/s eta 0:00:00\n",
"Requirement already satisfied: numpy>=1.17.3 in /usr/local/lib/python3.7/site-packages (from pandas->-r /content/condaenv.qes_i86y.requirements.txt (line 3)) (1.21.1)\n",
"Collecting python-dateutil>=2.7.3\n",
" Downloading python_dateutil-2.8.2-py2.py3-none-any.whl (247 kB)\n",
" ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 247.7/247.7 kB 24.3 MB/s eta 0:00:00\n",
"Requirement already satisfied: six>=1.5 in /usr/local/lib/python3.7/site-packages (from python-dateutil>=2.7.3->pandas->-r /content/condaenv.qes_i86y.requirements.txt (line 3)) (1.16.0)\n",
"Collecting typing-extensions>=3.6.4\n",
" Downloading typing_extensions-4.2.0-py3-none-any.whl (24 kB)\n",
"Collecting zipp>=0.5\n",
" Downloading zipp-3.8.0-py3-none-any.whl (5.4 kB)\n",
"Installing collected packages: pytz, zipp, typing-extensions, pyyaml, python-dateutil, pandas, importlib-metadata, click\n",
"Successfully installed click-8.1.3 importlib-metadata-4.11.3 pandas-1.3.5 python-dateutil-2.8.2 pytz-2022.1 pyyaml-6.0 typing-extensions-4.2.0 zipp-3.8.0\n",
"\n",
"\b\bdone\n",
"#\n",
"# To activate this environment, use\n",
"#\n",
"# $ conda activate /usr/local\n",
"#\n",
"# To deactivate an active environment, use\n",
"#\n",
"# $ conda deactivate\n",
"\n"
]
}
]
},
{
"cell_type": "markdown",
"source": [
"## Get OpenLane"
],
"metadata": {
"id": "9Q9Fipzf0xyo"
}
},
{
"cell_type": "code",
"source": [
"!git clone --depth=1 https://github.com/The-OpenROAD-Project/OpenLane"
],
"metadata": {
"id": "ZQL9FaBLiNFS",
"colab": {
"base_uri": "https://localhost:8080/"
},
"outputId": "29d859d9-981b-4b72-ee21-6c82f9580cd8"
},
"execution_count": 4,
"outputs": [
{
"output_type": "stream",
"name": "stdout",
"text": [
"Cloning into 'OpenLane'...\n",
"remote: Enumerating objects: 516, done.\u001b[K\n",
"remote: Counting objects: 100% (516/516), done.\u001b[K\n",
"remote: Compressing objects: 100% (429/429), done.\u001b[K\n",
"remote: Total 516 (delta 107), reused 251 (delta 40), pack-reused 0\u001b[K\n",
"Receiving objects: 100% (516/516), 2.77 MiB | 11.87 MiB/s, done.\n",
"Resolving deltas: 100% (107/107), done.\n"
]
}
]
},
{
"cell_type": "markdown",
"source": [
"## Write verilog"
],
"metadata": {
"id": "uINjDJNf39eD"
}
},
{
"cell_type": "code",
"source": [
"%%writefile inverter.v\n",
"module inverter(input wire in, output wire out);\n",
" assign out = !in;\n",
"endmodule"
],
"metadata": {
"colab": {
"base_uri": "https://localhost:8080/"
},
"id": "gpgkIYB739Ii",
"outputId": "4e5b80bb-c9fa-4d4d-811e-78e9668760b9"
},
"execution_count": 5,
"outputs": [
{
"output_type": "stream",
"name": "stdout",
"text": [
"Writing inverter.v\n"
]
}
]
},
{
"cell_type": "markdown",
"source": [
"## Write configuration"
],
"metadata": {
"id": "a82MVEbP4WoR"
}
},
{
"cell_type": "code",
"source": [
"%%writefile config.tcl\n",
"set ::env(DESIGN_NAME) inverter\n",
"\n",
"set script_dir [file dirname [file normalize [info script]]]\n",
"set ::env(VERILOG_FILES) \"$script_dir/inverter.v\"\n",
"\n",
"set ::env(CLOCK_TREE_SYNTH) 0\n",
"set ::env(CLOCK_PORT) \"\"\n",
"\n",
"set ::env(PL_RANDOM_GLB_PLACEMENT) 1\n",
"\n",
"set ::env(FP_SIZING) absolute\n",
"set ::env(DIE_AREA) \"0 0 50 50\"\n",
"set ::env(PL_TARGET_DENSITY) 0.80\n",
"\n",
"\n",
"set ::env(FP_PDN_HORIZONTAL_HALO) 6\n",
"set ::env(FP_PDN_VERTICAL_HALO) 6\n",
"\n",
"set ::env(DIODE_INSERTION_STRATEGY) 3\n",
"\n",
"# disable version checks because we use conda packaged versions\n",
"set ::env(TEST_MISMATCHES) none\n",
"# disable klayout because of https://github.com/hdl/conda-eda/issues/175\n",
"set ::env(RUN_KLAYOUT) 0\n",
"# disable CVC because of https://github.com/hdl/conda-eda/issues/174\n",
"set ::env(RUN_CVC) 0"
],
"metadata": {
"colab": {
"base_uri": "https://localhost:8080/"
},
"id": "KwGe_Lf14Wcd",
"outputId": "c67f87c2-9651-42e2-9051-ba732da13b30"
},
"execution_count": 6,
"outputs": [
{
"output_type": "stream",
"name": "stdout",
"text": [
"Writing config.tcl\n"
]
}
]
},
{
"cell_type": "markdown",
"source": [
"## Run OpenLane Flow"
],
"metadata": {
"id": "Nrt1yS_u1_m4"
}
},
{
"cell_type": "code",
"source": [
"import os\n",
"PATH=os.environ['PATH']\n",
"%env PDK_ROOT=/usr/local/share/pdk\n",
"%env TCLLIBPATH=/usr/local/lib/tcllib1.20\n",
"%env OPENLANE_ROOT=/content/OpenLane\n",
"%env PATH={PATH}:/content/OpenLane:/content/OpenLane/scripts\n",
"%env OPENLANE_LOCAL_INSTALL=1\n",
"!flow.tcl -design ."
],
"metadata": {
"id": "VP60fdObiP15",
"colab": {
"base_uri": "https://localhost:8080/"
},
"outputId": "2078d674-5cf6-4fdd-ff09-f8be90f3c535"
},
"execution_count": 7,
"outputs": [
{
"output_type": "stream",
"name": "stdout",
"text": [
"env: PDK_ROOT=/usr/local/share/pdk\n",
"env: TCLLIBPATH=/usr/local/lib/tcllib1.20\n",
"env: OPENLANE_ROOT=/content/OpenLane\n",
"env: PATH=/opt/bin:/usr/local/nvidia/bin:/usr/local/cuda/bin:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/tools/node/bin:/tools/google-cloud-sdk/bin:/content/OpenLane:/content/OpenLane/scripts\n",
"env: OPENLANE_LOCAL_INSTALL=1\n",
"OpenLane 8120faaedf752714e65fb7ff91993a8e6630a664\r\n",
"All rights reserved. (c) 2020-2022 Efabless Corporation and contributors.\r\n",
"Available under the Apache License, version 2.0. See the LICENSE file for more details.\r\n",
"\r\n",
"\u001b[36m[INFO]: Using design configuration at /content/config.tcl\u001b[39m\n",
"\u001b[36m[INFO]: Sourcing Configurations from /content/config.tcl\u001b[39m\n",
"\u001b[36m[INFO]: PDKs root directory: /usr/local/share/pdk\u001b[39m\n",
"\u001b[36m[INFO]: PDK: sky130A\u001b[39m\n",
"\u001b[36m[INFO]: Setting PDKPATH to /usr/local/share/pdk/sky130A\u001b[39m\n",
"\u001b[36m[INFO]: Standard Cell Library: sky130_fd_sc_hd\u001b[39m\n",
"\u001b[36m[INFO]: Optimization Standard Cell Library is set to: sky130_fd_sc_hd\u001b[39m\n",
"\u001b[36m[INFO]: Sourcing Configurations from /content/config.tcl\u001b[39m\n",
"\u001b[36m[INFO]: Current run directory is /content/runs/RUN_2022.05.12_15.12.11\u001b[39m\n",
"\u001b[36m[INFO]: Preparing LEF files for the nom corner...\u001b[39m\n",
"\u001b[36m[INFO]: Preparing LEF files for the min corner...\u001b[39m\n",
"\u001b[36m[INFO]: Preparing LEF files for the max corner...\u001b[39m\n",
"[STEP 1]\n",
"\u001b[36m[INFO]: Running Synthesis...\u001b[39m\n",
"[STEP 2]\n",
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis...\u001b[39m\n",
"[STEP 3]\n",
"\u001b[36m[INFO]: Running Initial Floorplanning...\u001b[39m\n",
"\u001b[33m[WARNING]: Current core area is too small for a power grid. The power grid will be minimized.\u001b[39m\n",
"\u001b[36m[INFO]: Setting Core Dimensions...\u001b[39m\n",
"[STEP 4]\n",
"\u001b[36m[INFO]: Running IO Placement...\u001b[39m\n",
"[STEP 5]\n",
"\u001b[36m[INFO]: Running Tap/Decap Insertion...\u001b[39m\n",
"\u001b[36m[INFO]: Power planning with power {VPWR} and ground {VGND}...\u001b[39m\n",
"[STEP 6]\n",
"\u001b[36m[INFO]: Generating PDN...\u001b[39m\n",
"[STEP 7]\n",
"\u001b[36m[INFO]: Performing Random Global Placement...\u001b[39m\n",
"[STEP 8]\n",
"\u001b[36m[INFO]: Running Placement Resizer Design Optimizations...\u001b[39m\n",
"[STEP 9]\n",
"\u001b[36m[INFO]: Writing Verilog...\u001b[39m\n",
"[STEP 10]\n",
"\u001b[36m[INFO]: Running Detailed Placement...\u001b[39m\n",
"[STEP 11]\n",
"\u001b[36m[INFO]: Running Placement Resizer Timing Optimizations...\u001b[39m\n",
"[STEP 12]\n",
"\u001b[36m[INFO]: Writing Verilog...\u001b[39m\n",
"\u001b[36m[INFO]: Routing...\u001b[39m\n",
"[STEP 13]\n",
"\u001b[36m[INFO]: Running Global Routing Resizer Timing Optimizations...\u001b[39m\n",
"[STEP 14]\n",
"\u001b[36m[INFO]: Writing Verilog...\u001b[39m\n",
"[STEP 15]\n",
"\u001b[36m[INFO]: Running Detailed Placement...\u001b[39m\n",
"[STEP 16]\n",
"\u001b[36m[INFO]: Running Global Routing...\u001b[39m\n",
"\u001b[36m[INFO]: Starting FastRoute Antenna Repair Iterations...\u001b[39m\n",
"[STEP 17]\n",
"\u001b[36m[INFO]: Running Fill Insertion...\u001b[39m\n",
"[STEP 18]\n",
"\u001b[36m[INFO]: Writing Verilog...\u001b[39m\n",
"[STEP 19]\n",
"\u001b[36m[INFO]: Running Detailed Routing...\u001b[39m\n",
"\u001b[36m[INFO]: No DRC violations after detailed routing.\u001b[39m\n",
"[STEP 20]\n",
"\u001b[36m[INFO]: Writing Verilog...\u001b[39m\n",
"\u001b[36m[INFO]: Running parasitics-based static timing analysis...\u001b[39m\n",
"[STEP 21]\n",
"\u001b[36m[INFO]: Running SPEF Extraction at the min process corner...\u001b[39m\n",
"[STEP 22]\n",
"\u001b[36m[INFO]: Running Multi-Corner Static Timing Analysis at the min process corner...\u001b[39m\n",
"[STEP 23]\n",
"\u001b[36m[INFO]: Running SPEF Extraction at the max process corner...\u001b[39m\n",
"[STEP 24]\n",
"\u001b[36m[INFO]: Running Multi-Corner Static Timing Analysis at the max process corner...\u001b[39m\n",
"[STEP 25]\n",
"\u001b[36m[INFO]: Running SPEF Extraction at the nom process corner...\u001b[39m\n",
"[STEP 26]\n",
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis at the nom process corner...\u001b[39m\n",
"[STEP 27]\n",
"\u001b[36m[INFO]: Running Multi-Corner Static Timing Analysis at the nom process corner...\u001b[39m\n",
"[STEP 28]\n",
"\u001b[36m[INFO]: Running Magic to generate various views...\u001b[39m\n",
"\u001b[36m[INFO]: Streaming out GDS-II with Magic...\u001b[39m\n",
"\u001b[36m[INFO]: Generating MAGLEF views...\u001b[39m\n",
"[STEP 29]\n",
"\u001b[36m[INFO]: Running XOR on the layouts using Klayout...\u001b[39m\n",
"\u001b[33m[WARNING]: /content/runs/RUN_2022.05.12_15.12.11/results/signoff/inverter.klayout.gds wasn't found. Skipping GDS XOR.\u001b[39m\n",
"[STEP 30]\n",
"\u001b[36m[INFO]: Running Magic Spice Export from LEF...\u001b[39m\n",
"[STEP 31]\n",
"\u001b[36m[INFO]: Writing Powered Verilog...\u001b[39m\n",
"[STEP 32]\n",
"\u001b[36m[INFO]: Writing Verilog...\u001b[39m\n",
"[STEP 33]\n",
"\u001b[36m[INFO]: Running LEF LVS...\u001b[39m\n",
"[STEP 34]\n",
"\u001b[36m[INFO]: Running Magic DRC...\u001b[39m\n",
"\u001b[36m[INFO]: Converting Magic DRC Violations to Magic Readable Format...\u001b[39m\n",
"\u001b[36m[INFO]: Converting Magic DRC Violations to Klayout XML Database...\u001b[39m\n",
"\u001b[36m[INFO]: Converting TritonRoute DRC Violations to Klayout XML Database...\u001b[39m\n",
"\u001b[36m[INFO]: Converting DRC Violations to RDB Format...\u001b[39m\n",
"\u001b[36m[INFO]: No DRC violations after GDS streaming out.\u001b[39m\n",
"\u001b[36m[INFO]: Running Antenna Checks...\u001b[39m\n",
"[STEP 35]\n",
"\u001b[36m[INFO]: Running OpenROAD Antenna Rule Checker...\u001b[39m\n",
"\u001b[36m[INFO]: Skipping CVC...\u001b[39m\n",
"\u001b[36m[INFO]: Saving final set of views in '/content/runs/RUN_2022.05.12_15.12.11/results/final'...\u001b[39m\n",
"\u001b[36m[INFO]: Saving runtime environment...\u001b[39m\n",
"\u001b[36m[INFO]: Generating final set of reports...\u001b[39m\n",
"\u001b[36m[INFO]: Created manufacturability report at 'runs/RUN_2022.05.12_15.12.11/reports/manufacturability.rpt'.\u001b[39m\n",
"\u001b[36m[INFO]: Created metrics report at 'runs/RUN_2022.05.12_15.12.11/reports/metrics.csv'.\u001b[39m\n",
"\u001b[36m[INFO]: There are no max slew violations in the design at the typical corner.\u001b[39m\n",
"\u001b[36m[INFO]: There are no hold violations in the design at the typical corner.\u001b[39m\n",
"\u001b[36m[INFO]: There are no setup violations in the design at the typical corner.\u001b[39m\n",
"\u001b[32m[SUCCESS]: Flow complete.\u001b[39m\n",
"\u001b[36m[INFO]: Note that the following warnings have been generated:\u001b[39m\n",
"[WARNING]: Current core area is too small for a power grid. The power grid will be minimized.\n",
"[WARNING]: /content/runs/RUN_2022.05.12_15.12.11/results/signoff/inverter.klayout.gds wasn't found. Skipping GDS XOR.\n",
"\n"
]
}
]
},
{
"cell_type": "markdown",
"source": [
"## Display layout"
],
"metadata": {
"id": "luguFgZ43AeL"
}
},
{
"cell_type": "code",
"source": [
"import pathlib\n",
"import gdstk\n",
"import IPython.display\n",
"\n",
"gdss = sorted(pathlib.Path('/content/runs').glob('*/results/final/gds/*.gds'))\n",
"library = gdstk.read_gds(gdss[-1])\n",
"top_cells = library.top_level()\n",
"top_cells[0].write_svg('inverter.svg')\n",
"IPython.display.SVG('inverter.svg')"
],
"metadata": {
"id": "WOnhdtp3ivRi",
"colab": {
"base_uri": "https://localhost:8080/",
"height": 571
},
"outputId": "c94ee50d-fe32-43bd-a88b-12d3ff1e1dcd"
},
"execution_count": 8,
"outputs": [
{
"output_type": "execute_result",
"data": {
"text/plain": [
"<IPython.core.display.SVG object>"
],
"image/svg+xml": "<svg height=\"550\" viewBox=\"-25 -525 550 550\" width=\"550\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n<defs>\n<style type=\"text/css\">\n.l65d44 {stroke: #2B3D26; fill: #2B3D26; fill-opacity: 0.5;}\n.l67d44 {stroke: #875692; fill: #875692; fill-opacity: 0.5;}\n.l69d44 {stroke: #A1CAF1; fill: #A1CAF1; fill-opacity: 0.5;}\n.l71d44 {stroke: #C2B280; fill: #C2B280; fill-opacity: 0.5;}\n.l93d44 {stroke: #008856; fill: #008856; fill-opacity: 0.5;}\n.l83d44 {stroke: #654522; fill: #654522; fill-opacity: 0.5;}\n.l78d44 {stroke: #F6A600; fill: #F6A600; fill-opacity: 0.5;}\n.l66d44 {stroke: #F3C300; fill: #F3C300; fill-opacity: 0.5;}\n.l68d44 {stroke: #F38400; fill: #F38400; fill-opacity: 0.5;}\n.l70d44 {stroke: #BE0032; fill: #BE0032; fill-opacity: 0.5;}\n.l94d20 {stroke: #8DB600; fill: #8DB600; fill-opacity: 0.5;}\n.l81d4 {stroke: #882D17; fill: #882D17; fill-opacity: 0.5;}\n.l65d20 {stroke: #008856; fill: #008856; fill-opacity: 0.5;}\n.l64d5 {stroke: #604E97; fill: #604E97; fill-opacity: 0.5;}\n.l64d20 {stroke: #848482; fill: #848482; fill-opacity: 0.5;}\n.l71d16 {stroke: #875692; fill: #875692; fill-opacity: 0.5;}\n.l69d20 {stroke: #604E97; fill: #604E97; fill-opacity: 0.5;}\n.l68d5 {stroke: #882D17; fill: #882D17; fill-opacity: 0.5;}\n.l68d20 {stroke: #F99379; fill: #F99379; fill-opacity: 0.5;}\n.l67d16 {stroke: #654522; fill: #654522; fill-opacity: 0.5;}\n.l72d20 {stroke: #DCD300; fill: #DCD300; fill-opacity: 0.5;}\n.l235d4 {stroke: #0067A5; fill: #0067A5; fill-opacity: 0.5;}\n.l122d16 {stroke: #F6A600; fill: #F6A600; fill-opacity: 0.5;}\n.l236d0 {stroke: #E25822; fill: #E25822; fill-opacity: 0.5;}\n.l95d20 {stroke: #654522; fill: #654522; fill-opacity: 0.5;}\n.l64d59 {stroke: #B3446C; fill: #B3446C; fill-opacity: 0.5;}\n.l69d16 {stroke: #2B3D26; fill: #2B3D26; fill-opacity: 0.5;}\n.l68d16 {stroke: #E25822; fill: #E25822; fill-opacity: 0.5;}\n.l67d20 {stroke: #0067A5; fill: #0067A5; fill-opacity: 0.5;}\n.l66d20 {stroke: #E68FAC; fill: #E68FAC; fill-opacity: 0.5;}\n.l67d5 {stroke: #DCD300; fill: #DCD300; fill-opacity: 0.5;}\n.l64d16 {stroke: #DCD300; fill: #DCD300; fill-opacity: 0.5;}\n.l71d20 {stroke: #B3446C; fill: #B3446C; fill-opacity: 0.5;}\n.l70d20 {stroke: #F6A600; fill: #F6A600; fill-opacity: 0.5;}\n.l72d16 {stroke: #F38400; fill: #F38400; fill-opacity: 0.5;}\n</style>\n<g id=\"sky130_fd_sc_hd__tapvpwrvgnd_1\">\n<polygon class=\"l68d16\" id=\"0x3143640\" points=\"1.05,-0.9 3.6,-0.9 3.6,1 1.05,1\"/>\n<polygon class=\"l68d16\" id=\"0x31436b0\" points=\"1.1,26.2 3.75,26.2 3.75,27.65 1.1,27.65\"/>\n<polygon class=\"l94d20\" id=\"0x3143720\" points=\"0,1.9 4.6,1.9 4.6,9.75 0,9.75\"/>\n<polygon class=\"l94d20\" id=\"0x3143790\" points=\"0,25.3 4.6,25.3 4.6,29.1 0,29.1\"/>\n<polygon class=\"l93d44\" id=\"0x3143800\" points=\"0,-1.9 4.6,-1.9 4.6,1.9 0,1.9\"/>\n<polygon class=\"l93d44\" id=\"0x3143870\" points=\"0,13.95 4.6,13.95 4.6,25.3 0,25.3\"/>\n<polygon class=\"l64d20\" id=\"0x31438e0\" points=\"-1.9,13.05 6.5,13.05 6.5,29.1 -1.9,29.1\"/>\n<polygon class=\"l66d44\" id=\"0x3143950\" points=\"1.45,5.55 3.15,5.55 3.15,7.25 1.45,7.25\"/>\n<polygon class=\"l66d44\" id=\"0x31439c0\" points=\"1.45,16.45 3.15,16.45 3.15,18.15 1.45,18.15\"/>\n<polygon class=\"l66d44\" id=\"0x3143a30\" points=\"1.45,21.1 3.15,21.1 3.15,22.8 1.45,22.8\"/>\n<polygon class=\"l65d44\" id=\"0x3143aa0\" points=\"1.45,3.2 3.15,3.2 3.15,8.45 1.45,8.45\"/>\n<polygon class=\"l65d44\" id=\"0x3143b10\" points=\"1.45,15.25 3.15,15.25 3.15,24 1.45,24\"/>\n<polygon class=\"l68d20\" id=\"0x3143b80\" points=\"0,-2.4 4.6,-2.4 4.6,2.4 0,2.4\"/>\n<polygon class=\"l68d20\" id=\"0x3143bf0\" points=\"0,24.8 4.6,24.8 4.6,29.6 0,29.6\"/>\n<polygon class=\"l67d44\" id=\"0x3143c60\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3143cd0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l67d20\" id=\"0x3143d40\" points=\"0.85,0 3.75,0 3.75,8.1 0.85,8.1\"/>\n<polygon class=\"l67d20\" id=\"0x3143db0\" points=\"0.85,14.7 3.75,14.7 3.75,27.2 0.85,27.2\"/>\n<polygon class=\"l67d20\" id=\"0x3143e20\" points=\"0,26.35 4.6,26.35 4.6,28.05 0,28.05\"/>\n<polygon class=\"l67d20\" id=\"0x3143e90\" points=\"0,-0.85 4.6,-0.85 4.6,0.85 0,0.85\"/>\n<polygon class=\"l78d44\" id=\"0x3143f00\" points=\"0,12.5 4.6,12.5 4.6,27.2 0,27.2\"/>\n<polygon class=\"l81d4\" id=\"0x3143f70\" points=\"0,0 4.6,0 4.6,27.2 0,27.2\"/>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d78400\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPWR</text>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d78490\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VGND</text>\n<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x1d78520\" text-anchor=\"start\" transform=\"scale(1 -1) translate(0 0) rotate(-90) scale(0.1)\">tapvpwrvgnd_1</text>\n</g>\n<g id=\"sky130_fd_sc_hd__decap_8\">\n<polygon class=\"l236d0\" id=\"0x3141ce0\" points=\"0,0 36.8,0 36.8,27.2 0,27.2\"/>\n<polygon class=\"l68d16\" id=\"0x3141d50\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l68d16\" id=\"0x3141dc0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l64d16\" id=\"0x3141e30\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l122d16\" id=\"0x3141ea0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l67d20\" id=\"0x3141f10\" points=\"36.8,0.85 35.95,0.85 35.95,8.55 17.35,8.55 17.35,13.75 0.85,13.75 0.85,0.85 0,0.85 0,-0.85 36.8,-0.85\"/>\n<polygon class=\"l67d20\" id=\"0x3141f80\" points=\"36.8,28.05 0,28.05 0,26.35 0.85,26.35 0.85,15.45 19.05,15.45 19.05,10.25 35.95,10.25 35.95,26.35 36.8,26.35\"/>\n<polygon class=\"l81d4\" id=\"0x3141ff0\" points=\"0,0 36.8,0 36.8,27.2 0,27.2\"/>\n<polygon class=\"l65d20\" id=\"0x3142060\" points=\"1.35,16.15 35.45,16.15 35.45,24.85 1.35,24.85\"/>\n<polygon class=\"l65d20\" id=\"0x31420d0\" points=\"1.35,2.35 35.45,2.35 35.45,7.85 1.35,7.85\"/>\n<polygon class=\"l78d44\" id=\"0x3142140\" points=\"0,12.5 36.8,12.5 36.8,27.2 0,27.2\"/>\n<polygon class=\"l93d44\" id=\"0x31421b0\" points=\"0,-1.9 36.8,-1.9 36.8,10.15 0,10.15\"/>\n<polygon class=\"l64d20\" id=\"0x3142220\" points=\"-1.9,13.05 38.7,13.05 38.7,29.1 -1.9,29.1\"/>\n<polygon class=\"l94d20\" id=\"0x3142290\" points=\"0,14.85 36.8,14.85 36.8,29.1 0,29.1\"/>\n<polygon class=\"l67d44\" id=\"0x3142300\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x3142370\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x31423e0\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x3142450\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x31424c0\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x3142530\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x31425a0\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x3142610\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3142680\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x31426f0\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3142760\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x31427d0\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3142840\" points=\"29.05,26.35 30.75,26.35 30.75,28.05 29.05,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x31428b0\" points=\"29.05,-0.85 30.75,-0.85 30.75,0.85 29.05,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3142920\" points=\"33.65,26.35 35.35,26.35 35.35,28.05 33.65,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x3142990\" points=\"33.65,-0.85 35.35,-0.85 35.35,0.85 33.65,0.85\"/>\n<polygon class=\"l66d20\" id=\"0x3142a00\" points=\"32.85,26.15 3.95,26.15 3.95,11.25 17.15,11.25 17.15,14.85 32.85,14.85\"/>\n<polygon class=\"l66d20\" id=\"0x3142ae0\" points=\"32.85,12.75 19.25,12.75 19.25,9.15 3.95,9.15 3.95,1.05 32.85,1.05\"/>\n<polygon class=\"l66d44\" id=\"0x3142bc0\" points=\"1.75,22.55 3.45,22.55 3.45,24.25 1.75,24.25\"/>\n<polygon class=\"l66d44\" id=\"0x3142c30\" points=\"1.75,17.45 3.45,17.45 3.45,19.15 1.75,19.15\"/>\n<polygon class=\"l66d44\" id=\"0x3142ca0\" points=\"1.75,3.9 3.45,3.9 3.45,5.6 1.75,5.6\"/>\n<polygon class=\"l66d44\" id=\"0x3142d10\" points=\"4.75,12.05 6.45,12.05 6.45,13.75 4.75,13.75\"/>\n<polygon class=\"l66d44\" id=\"0x3142d80\" points=\"9.7,12.05 11.4,12.05 11.4,13.75 9.7,13.75\"/>\n<polygon class=\"l66d44\" id=\"0x3142df0\" points=\"14.65,12.05 16.35,12.05 16.35,13.75 14.65,13.75\"/>\n<polygon class=\"l66d44\" id=\"0x3142e60\" points=\"33.35,3.9 35.05,3.9 35.05,5.6 33.35,5.6\"/>\n<polygon class=\"l66d44\" id=\"0x3142ed0\" points=\"20.05,10.25 21.75,10.25 21.75,11.95 20.05,11.95\"/>\n<polygon class=\"l66d44\" id=\"0x3142f40\" points=\"25.2,10.25 26.9,10.25 26.9,11.95 25.2,11.95\"/>\n<polygon class=\"l66d44\" id=\"0x3142fb0\" points=\"30.35,10.25 32.05,10.25 32.05,11.95 30.35,11.95\"/>\n<polygon class=\"l66d44\" id=\"0x3143020\" points=\"33.35,22.55 35.05,22.55 35.05,24.25 33.35,24.25\"/>\n<polygon class=\"l66d44\" id=\"0x3143090\" points=\"33.35,17.45 35.05,17.45 35.05,19.15 33.35,19.15\"/>\n<polygon class=\"l95d20\" id=\"0x3143100\" points=\"36.8,13.45 17.35,13.45 17.35,14.75 0,14.75 0,9.75 19.05,9.75 19.05,9.25 36.8,9.25\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,29.6 0,24.8 36.8,24.8 36.8,29.6\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,2.4 0,-2.4 36.8,-2.4 36.8,2.4\"/>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d77cb0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPWR</text>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d77d40\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VGND</text>\n<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x1d77dd0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPB</text>\n<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x1d77e60\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VNB</text>\n<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x1d77f80\" text-anchor=\"start\" transform=\"scale(1 -1) translate(0 0) rotate(-90) scale(0.1)\">decap_8</text>\n</g>\n<g id=\"sky130_ef_sc_hd__decap_12\">\n<polygon class=\"l236d0\" id=\"0x1bd3d50\" points=\"0,0 55.2,0 55.2,27.2 0,27.2\"/>\n<polygon class=\"l68d16\" id=\"0x1bd3ce0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l68d16\" id=\"0x1bd3c70\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l64d16\" id=\"0x1bd3c00\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l122d16\" id=\"0x1bd3b90\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l65d20\" id=\"0x1bd3b20\" points=\"1.35,16.15 53.85,16.15 53.85,24.85 1.35,24.85\"/>\n<polygon class=\"l65d20\" id=\"0x1bd3f80\" points=\"1.35,2.35 53.85,2.35 53.85,7.85 1.35,7.85\"/>\n<polygon class=\"l78d44\" id=\"0x1bd3ff0\" points=\"0,12.5 55.2,12.5 55.2,27.2 0,27.2\"/>\n<polygon class=\"l93d44\" id=\"0x1bd4060\" points=\"0,-1.9 55.2,-1.9 55.2,10.15 0,10.15\"/>\n<polygon class=\"l64d20\" id=\"0x1bd40d0\" points=\"-1.9,13.05 57.1,13.05 57.1,29.1 -1.9,29.1\"/>\n<polygon class=\"l94d20\" id=\"0x1bd4140\" points=\"0,14.85 55.2,14.85 55.2,29.1 0,29.1\"/>\n<polygon class=\"l81d4\" id=\"0x1bd41b0\" points=\"0,0 55.2,0 55.2,27.2 0,27.2\"/>\n<polygon class=\"l67d44\" id=\"0x1bd46f0\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4760\" points=\"29.05,26.35 30.75,26.35 30.75,28.05 29.05,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd47d0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4840\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd48b0\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4920\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4990\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4a00\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4a70\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4ae0\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4b50\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4bc0\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4c30\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4ca0\" points=\"29.05,-0.85 30.75,-0.85 30.75,0.85 29.05,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4d10\" points=\"33.65,26.35 35.35,26.35 35.35,28.05 33.65,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4d80\" points=\"33.65,-0.85 35.35,-0.85 35.35,0.85 33.65,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4df0\" points=\"38.25,26.35 39.95,26.35 39.95,28.05 38.25,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4e60\" points=\"38.25,-0.85 39.95,-0.85 39.95,0.85 38.25,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4ed0\" points=\"42.85,26.35 44.55,26.35 44.55,28.05 42.85,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4f40\" points=\"42.85,-0.85 44.55,-0.85 44.55,0.85 42.85,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd4fb0\" points=\"47.45,26.35 49.15,26.35 49.15,28.05 47.45,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd5020\" points=\"47.45,-0.85 49.15,-0.85 49.15,0.85 47.45,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd5090\" points=\"52.05,26.35 53.75,26.35 53.75,28.05 52.05,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd5100\" points=\"52.05,-0.85 53.75,-0.85 53.75,0.85 52.05,0.85\"/>\n<polygon class=\"l95d20\" id=\"0x1bd5170\" points=\"0,9.25 55.2,9.25 55.2,14.75 0,14.75\"/>\n<polygon class=\"l66d20\" id=\"0x1bd51e0\" points=\"51.25,26.15 3.95,26.15 3.95,11.25 26.45,11.25 26.45,14.85 51.25,14.85\"/>\n<polygon class=\"l66d20\" id=\"0x1bd52c0\" points=\"51.25,12.75 28.55,12.75 28.55,9.15 3.95,9.15 3.95,1.05 51.25,1.05\"/>\n<polygon class=\"l67d20\" id=\"0x1bd53a0\" points=\"16.7,6.3 20.1,6.3 20.1,14.6 16.7,14.6\"/>\n<polygon class=\"l67d20\" id=\"0x1bd5410\" points=\"0,26.35 55.2,26.35 55.2,28.05 0,28.05\"/>\n<polygon class=\"l67d20\" id=\"0x1bd5480\" points=\"0.85,22 54.3,22 54.3,26.35 0.85,26.35\"/>\n<polygon class=\"l67d20\" id=\"0x1bd54f0\" points=\"0,-0.85 55.2,-0.85 55.2,0.85 0,0.85\"/>\n<polygon class=\"l67d20\" id=\"0x1bd5560\" points=\"34.9,9.5 38.4,9.5 38.4,22 34.9,22\"/>\n<polygon class=\"l67d20\" id=\"0x1bd55d0\" points=\"0.85,0.85 54.3,0.85 54.3,6.3 0.85,6.3\"/>\n<polygon class=\"l66d44\" id=\"0x1bd5640\" points=\"1.75,22.55 3.45,22.55 3.45,24.25 1.75,24.25\"/>\n<polygon class=\"l66d44\" id=\"0x1bd56b0\" points=\"51.75,22.55 53.45,22.55 53.45,24.25 51.75,24.25\"/>\n<polygon class=\"l66d44\" id=\"0x1bd5720\" points=\"51.75,3.9 53.45,3.9 53.45,5.6 51.75,5.6\"/>\n<polygon class=\"l66d44\" id=\"0x1bd5790\" points=\"35.75,10.25 37.45,10.25 37.45,11.95 35.75,11.95\"/>\n<polygon class=\"l66d44\" id=\"0x1bd5800\" points=\"1.75,3.9 3.45,3.9 3.45,5.6 1.75,5.6\"/>\n<polygon class=\"l66d44\" id=\"0x1bd5870\" points=\"17.55,12.05 19.25,12.05 19.25,13.75 17.55,13.75\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,29.6 0,24.8 55.2,24.8 55.2,29.6\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,2.4 0,-2.4 55.2,-2.4 55.2,2.4\"/>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d766c0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VGND</text>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d76870\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPWR</text>\n<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x1d76900\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPB</text>\n<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x1d76990\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VNB</text>\n<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x1d76a20\" text-anchor=\"start\" transform=\"scale(1 -1) translate(0 0) rotate(-90) scale(0.1)\">decap_12</text>\n</g>\n<g id=\"sky130_fd_sc_hd__decap_4\">\n<polygon class=\"l236d0\" id=\"0x3148000\" points=\"0,0 18.4,0 18.4,27.2 0,27.2\"/>\n<polygon class=\"l68d16\" id=\"0x3148070\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l68d16\" id=\"0x31480e0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l64d16\" id=\"0x3148150\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l122d16\" id=\"0x31481c0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l81d4\" id=\"0x3148230\" points=\"0,0 18.4,0 18.4,27.2 0,27.2\"/>\n<polygon class=\"l78d44\" id=\"0x31482a0\" points=\"0,12.5 18.4,12.5 18.4,27.2 0,27.2\"/>\n<polygon class=\"l93d44\" id=\"0x3148310\" points=\"0,-1.9 18.4,-1.9 18.4,10.15 0,10.15\"/>\n<polygon class=\"l64d20\" id=\"0x3148380\" points=\"-1.9,13.05 20.3,13.05 20.3,29.1 -1.9,29.1\"/>\n<polygon class=\"l94d20\" id=\"0x31483f0\" points=\"0,14.85 18.4,14.85 18.4,29.1 0,29.1\"/>\n<polygon class=\"l67d44\" id=\"0x3148460\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x31484d0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3148540\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x31485b0\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3148620\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x3148690\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3148700\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x3148770\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n<polygon class=\"l65d20\" id=\"0x31487e0\" points=\"1.35,16.15 17.05,16.15 17.05,24.85 1.35,24.85\"/>\n<polygon class=\"l65d20\" id=\"0x3148850\" points=\"1.35,2.35 17.05,2.35 17.05,7.85 1.35,7.85\"/>\n<polygon class=\"l66d20\" id=\"0x31488c0\" points=\"14.45,26.15 3.95,26.15 3.95,14.55 1.05,14.55 1.05,11.25 8.15,11.25 8.15,14.85 14.45,14.85\"/>\n<polygon class=\"l66d20\" id=\"0x3148930\" points=\"17.35,12.75 10.25,12.75 10.25,9.15 3.95,9.15 3.95,1.05 14.45,1.05 14.45,9.45 17.35,9.45\"/>\n<polygon class=\"l66d44\" id=\"0x31489a0\" points=\"14.95,4.25 16.65,4.25 16.65,5.95 14.95,5.95\"/>\n<polygon class=\"l66d44\" id=\"0x3148a10\" points=\"1.75,22.55 3.45,22.55 3.45,24.25 1.75,24.25\"/>\n<polygon class=\"l66d44\" id=\"0x3148a80\" points=\"1.75,17.45 3.45,17.45 3.45,19.15 1.75,19.15\"/>\n<polygon class=\"l66d44\" id=\"0x3148af0\" points=\"1.75,4.25 3.45,4.25 3.45,5.95 1.75,5.95\"/>\n<polygon class=\"l66d44\" id=\"0x3148b60\" points=\"1.85,12.05 3.55,12.05 3.55,13.75 1.85,13.75\"/>\n<polygon class=\"l66d44\" id=\"0x3148bd0\" points=\"14.85,10.25 16.55,10.25 16.55,11.95 14.85,11.95\"/>\n<polygon class=\"l66d44\" id=\"0x3148c40\" points=\"14.95,22.55 16.65,22.55 16.65,24.25 14.95,24.25\"/>\n<polygon class=\"l66d44\" id=\"0x3148cb0\" points=\"14.95,17.45 16.65,17.45 16.65,19.15 14.95,19.15\"/>\n<polygon class=\"l67d20\" id=\"0x3148d20\" points=\"18.4,28.05 0,28.05 0,26.35 0.85,26.35 0.85,15.45 10.05,15.45 10.05,10.25 17.55,10.25 17.55,26.35 18.4,26.35\"/>\n<polygon class=\"l67d20\" id=\"0x3148d90\" points=\"18.4,0.85 17.55,0.85 17.55,8.55 8.35,8.55 8.35,13.75 0.85,13.75 0.85,0.85 0,0.85 0,-0.85 18.4,-0.85\"/>\n<polygon class=\"l95d20\" id=\"0x3148e00\" points=\"18.4,13.45 4.55,13.45 4.55,14.75 0,14.75 0,9.75 13.85,9.75 13.85,9.25 18.4,9.25\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,29.6 0,24.8 18.4,24.8 18.4,29.6\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,2.4 0,-2.4 18.4,-2.4 18.4,2.4\"/>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d78640\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VGND</text>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d786d0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPWR</text>\n<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x1d78760\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPB</text>\n<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x1d787f0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VNB</text>\n<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x1d78a30\" text-anchor=\"start\" transform=\"scale(1 -1) translate(0 0) rotate(-90) scale(0.1)\">decap_4</text>\n</g>\n<g id=\"sky130_fd_sc_hd__decap_3\">\n<polygon class=\"l236d0\" id=\"0x1bd58e0\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n<polygon class=\"l68d16\" id=\"0x1bd5950\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l68d16\" id=\"0x1bd59c0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l64d16\" id=\"0x1bd5a30\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l122d16\" id=\"0x1bd5aa0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l65d20\" id=\"0x1bd5b10\" points=\"1.35,2.35 12.45,2.35 12.45,7.85 1.35,7.85\"/>\n<polygon class=\"l65d20\" id=\"0x1bd5b80\" points=\"1.35,16.15 12.45,16.15 12.45,24.85 1.35,24.85\"/>\n<polygon class=\"l78d44\" id=\"0x1bd5bf0\" points=\"0,12.5 13.8,12.5 13.8,27.2 0,27.2\"/>\n<polygon class=\"l64d20\" id=\"0x1bd5c60\" points=\"-1.9,13.05 15.7,13.05 15.7,29.1 -1.9,29.1\"/>\n<polygon class=\"l94d20\" id=\"0x1bd5cd0\" points=\"0,14.85 13.8,14.85 13.8,29.1 0,29.1\"/>\n<polygon class=\"l67d44\" id=\"0x1bd5d40\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd5db0\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd5e20\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd5e90\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x1bd5f00\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x1bd5f70\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l81d4\" id=\"0x3140000\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n<polygon class=\"l93d44\" id=\"0x3140070\" points=\"0,-1.9 13.8,-1.9 13.8,10.15 0,10.15\"/>\n<polygon class=\"l95d20\" id=\"0x31400e0\" points=\"13.8,13.45 6.05,13.45 6.05,14.75 0,14.75 0,9.75 7.75,9.75 7.75,9.05 13.8,9.05\"/>\n<polygon class=\"l67d20\" id=\"0x3140150\" points=\"13.8,28.05 0,28.05 0,26.35 0.85,26.35 0.85,15.45 7.75,15.45 7.75,10.05 12.95,10.05 12.95,26.35 13.8,26.35\"/>\n<polygon class=\"l67d20\" id=\"0x31401c0\" points=\"13.8,0.85 12.95,0.85 12.95,8.35 6.05,8.35 6.05,13.75 0.85,13.75 0.85,0.85 0,0.85 0,-0.85 13.8,-0.85\"/>\n<polygon class=\"l66d20\" id=\"0x3140230\" points=\"11.25,12.55 7.95,12.55 7.95,9.15 3.95,9.15 3.95,1.05 9.85,1.05 9.85,9.25 11.25,9.25\"/>\n<polygon class=\"l66d20\" id=\"0x31402a0\" points=\"9.85,26.15 3.95,26.15 3.95,14.55 2.55,14.55 2.55,11.25 5.85,11.25 5.85,14.65 9.85,14.65\"/>\n<polygon class=\"l66d44\" id=\"0x3140310\" points=\"10.35,4 12.05,4 12.05,5.7 10.35,5.7\"/>\n<polygon class=\"l66d44\" id=\"0x3140380\" points=\"10.35,17.8 12.05,17.8 12.05,19.5 10.35,19.5\"/>\n<polygon class=\"l66d44\" id=\"0x31403f0\" points=\"10.35,22.55 12.05,22.55 12.05,24.25 10.35,24.25\"/>\n<polygon class=\"l66d44\" id=\"0x3140460\" points=\"8.75,10.05 10.45,10.05 10.45,11.75 8.75,11.75\"/>\n<polygon class=\"l66d44\" id=\"0x31404d0\" points=\"3.35,12.05 5.05,12.05 5.05,13.75 3.35,13.75\"/>\n<polygon class=\"l66d44\" id=\"0x3140540\" points=\"1.75,4 3.45,4 3.45,5.7 1.75,5.7\"/>\n<polygon class=\"l66d44\" id=\"0x31405b0\" points=\"1.75,17.8 3.45,17.8 3.45,19.5 1.75,19.5\"/>\n<polygon class=\"l66d44\" id=\"0x3140620\" points=\"1.75,22.55 3.45,22.55 3.45,24.25 1.75,24.25\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,29.6 0,24.8 13.8,24.8 13.8,29.6\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,2.4 0,-2.4 13.8,-2.4 13.8,2.4\"/>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d76f30\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPWR</text>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d76fc0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VGND</text>\n<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x1d77050\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPB</text>\n<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x1d770e0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VNB</text>\n<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x1d77320\" text-anchor=\"start\" transform=\"scale(1 -1) translate(0 0) rotate(-90) scale(0.1)\">decap_3</text>\n</g>\n<g id=\"sky130_fd_sc_hd__clkbuf_1\">\n<polygon class=\"l236d0\" id=\"0x314a1b0\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n<polygon class=\"l68d16\" id=\"0x314a220\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n<polygon class=\"l68d16\" id=\"0x314a290\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n<polygon class=\"l64d16\" id=\"0x314a300\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n<polygon class=\"l122d16\" id=\"0x314a370\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n<polygon class=\"l67d20\" id=\"0x314a3e0\" points=\"0.85,24.65 3.55,24.65 3.55,15.6 2.55,15.6 2.55,7.6 3.45,7.6 3.45,2.55 0.85,2.55\"/>\n<polygon class=\"l67d20\" id=\"0x314a450\" points=\"0,0.85 5.25,0.85 5.25,4.65 8.55,4.65 8.55,0.85 13.8,0.85 13.8,-0.85 0,-0.85\"/>\n<polygon class=\"l67d20\" id=\"0x314a4c0\" points=\"4.25,13.9 5.4,13.9 5.4,17.05 10.35,17.05 10.35,24.65 12.05,24.65 12.05,15.35 7.1,15.35 7.1,8.05 12.05,8.05 12.05,2.55 10.35,2.55 10.35,6.35 5.4,6.35 5.4,10.6 4.25,10.6\"/>\n<polygon class=\"l67d20\" id=\"0x314a530\" points=\"9.45,9.85 12.75,9.85 12.75,13.55 9.45,13.55\"/>\n<polygon class=\"l67d20\" id=\"0x314a5a0\" points=\"0,28.05 13.8,28.05 13.8,26.35 8.55,26.35 8.55,18.75 5.25,18.75 5.25,26.35 0,26.35\"/>\n<polygon class=\"l95d20\" id=\"0x314a610\" points=\"0,9.75 13.8,9.75 13.8,14.1 0,14.1\"/>\n<polygon class=\"l78d44\" id=\"0x314a680\" points=\"0,12.5 13.8,12.5 13.8,27.2 0,27.2\"/>\n<polygon class=\"l93d44\" id=\"0x314a6f0\" points=\"0,-1.9 13.8,-1.9 13.8,10.15 0,10.15\"/>\n<polygon class=\"l64d20\" id=\"0x314a760\" points=\"-1.9,13.05 15.7,13.05 15.7,29.1 -1.9,29.1\"/>\n<polygon class=\"l94d20\" id=\"0x314a7d0\" points=\"0,14.2 13.8,14.2 13.8,29.1 0,29.1\"/>\n<polygon class=\"l81d4\" id=\"0x314a840\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n<polygon class=\"l67d44\" id=\"0x314a8b0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x314a920\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x314a990\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x314aa00\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x314aa70\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x314aae0\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n<polygon class=\"l67d16\" id=\"0x314ab50\" points=\"1.45,4.25 3.15,4.25 3.15,5.95 1.45,5.95\"/>\n<polygon class=\"l67d16\" id=\"0x314abc0\" points=\"1.45,17.85 3.15,17.85 3.15,19.55 1.45,19.55\"/>\n<polygon class=\"l67d16\" id=\"0x314ac30\" points=\"1.45,21.25 3.15,21.25 3.15,22.95 1.45,22.95\"/>\n<polygon class=\"l67d16\" id=\"0x314aca0\" points=\"10.65,11.05 12.35,11.05 12.35,12.75 10.65,12.75\"/>\n<polygon class=\"l65d20\" id=\"0x314ad10\" points=\"1.35,2.35 12.45,2.35 12.45,7.55 1.35,7.55\"/>\n<polygon class=\"l65d20\" id=\"0x314ad80\" points=\"1.35,16.95 12.45,16.95 12.45,24.85 1.35,24.85\"/>\n<polygon class=\"l66d44\" id=\"0x314adf0\" points=\"1.75,4.45 3.45,4.45 3.45,6.15 1.75,6.15\"/>\n<polygon class=\"l66d44\" id=\"0x314ae60\" points=\"1.75,18.1 3.45,18.1 3.45,19.8 1.75,19.8\"/>\n<polygon class=\"l66d44\" id=\"0x314aed0\" points=\"1.75,22.15 3.45,22.15 3.45,23.85 1.75,23.85\"/>\n<polygon class=\"l66d44\" id=\"0x314af40\" points=\"4.25,11.4 5.95,11.4 5.95,13.1 4.25,13.1\"/>\n<polygon class=\"l66d44\" id=\"0x314afb0\" points=\"6.05,2.95 7.75,2.95 7.75,4.65 6.05,4.65\"/>\n<polygon class=\"l66d44\" id=\"0x314b020\" points=\"6.05,18.75 7.75,18.75 7.75,20.45 6.05,20.45\"/>\n<polygon class=\"l66d44\" id=\"0x314b090\" points=\"6.05,22.15 7.75,22.15 7.75,23.85 6.05,23.85\"/>\n<polygon class=\"l66d44\" id=\"0x314b100\" points=\"10.25,10.75 11.95,10.75 11.95,12.45 10.25,12.45\"/>\n<polygon class=\"l66d44\" id=\"0x314b170\" points=\"10.35,3.6 12.05,3.6 12.05,5.3 10.35,5.3\"/>\n<polygon class=\"l66d44\" id=\"0x314b1e0\" points=\"10.35,18.75 12.05,18.75 12.05,20.45 10.35,20.45\"/>\n<polygon class=\"l66d44\" id=\"0x314b250\" points=\"10.35,22.15 12.05,22.15 12.05,23.85 10.35,23.85\"/>\n<polygon class=\"l66d20\" id=\"0x314b2c0\" points=\"3.75,13.9 3.95,13.9 3.95,26.15 5.45,26.15 5.45,13.9 6.45,13.9 6.45,10.6 5.45,10.6 5.45,1.05 3.95,1.05 3.95,10.6 3.75,10.6\"/>\n<polygon class=\"l66d20\" id=\"0x314b330\" points=\"12.45,13.25 10.15,13.25 10.15,16.2 9.85,16.2 9.85,26.15 8.35,26.15 8.35,15 8.65,15 8.65,9.5 8.35,9.5 8.35,1.05 9.85,1.05 9.85,8.3 10.15,8.3 10.15,9.95 12.45,9.95\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"13.8,-2.4 13.8,2.4 0,2.4 0,-2.4\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"13.8,24.8 13.8,29.6 0,29.6 0,24.8\"/>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d79330\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(11.5 0) rotate(-180) scale(1 -1) scale(0.1)\">VGND</text>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d793c0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(11.5 27.2) rotate(-180) scale(1 -1) scale(0.1)\">VPWR</text>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d79450\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 5.1) rotate(-180) scale(1 -1) scale(0.1)\">X</text>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d794e0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 18.7) rotate(-180) scale(1 -1) scale(0.1)\">X</text>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d79570\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 22.1) rotate(-180) scale(1 -1) scale(0.1)\">X</text>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d79600\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(11.5 11.9) rotate(-180) scale(1 -1) scale(0.1)\">A</text>\n<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x1d79690\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(11.5 27.2) rotate(-180) scale(1 -1) scale(0.1)\">VPB</text>\n<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x1d79720\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(11.5 0) rotate(-180) scale(1 -1) scale(0.1)\">VNB</text>\n<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x1d797b0\" text-anchor=\"start\" transform=\"scale(1 -1) translate(0 0) rotate(-90) scale(0.1)\">clkbuf_1</text>\n</g>\n<g id=\"sky130_fd_sc_hd__inv_2\">\n<polygon class=\"l236d0\" id=\"0x3148e70\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n<polygon class=\"l64d16\" id=\"0x3148ee0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l122d16\" id=\"0x3148f50\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l68d16\" id=\"0x3148fc0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l68d16\" id=\"0x3149030\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l67d20\" id=\"0x31490a0\" points=\"13.8,28.05 0,28.05 0,26.35 1.25,26.35 1.25,14.95 3.55,14.95 3.55,26.35 10.25,26.35 10.25,14.95 12.35,14.95 12.35,26.35 13.8,26.35\"/>\n<polygon class=\"l67d20\" id=\"0x3149110\" points=\"8.55,24.65 5.25,24.65 5.25,14.85 6.05,14.85 6.05,8.85 5.25,8.85 5.25,2.55 8.55,2.55\"/>\n<polygon class=\"l67d20\" id=\"0x3149180\" points=\"1.05,10.75 4.35,10.75 4.35,13.25 1.05,13.25\"/>\n<polygon class=\"l67d20\" id=\"0x31491f0\" points=\"13.8,0.85 12.35,0.85 12.35,9.05 10.25,9.05 10.25,0.85 3.55,0.85 3.55,9.05 1.25,9.05 1.25,0.85 0,0.85 0,-0.85 13.8,-0.85\"/>\n<polygon class=\"l66d44\" id=\"0x3149260\" points=\"10.25,2.95 11.95,2.95 11.95,4.65 10.25,4.65\"/>\n<polygon class=\"l66d44\" id=\"0x31492d0\" points=\"10.25,6.55 11.95,6.55 11.95,8.25 10.25,8.25\"/>\n<polygon class=\"l66d44\" id=\"0x3149340\" points=\"10.25,15.75 11.95,15.75 11.95,17.45 10.25,17.45\"/>\n<polygon class=\"l66d44\" id=\"0x31493b0\" points=\"10.25,19.15 11.95,19.15 11.95,20.85 10.25,20.85\"/>\n<polygon class=\"l66d44\" id=\"0x3149420\" points=\"10.25,22.55 11.95,22.55 11.95,24.25 10.25,24.25\"/>\n<polygon class=\"l66d44\" id=\"0x3149490\" points=\"6.05,2.95 7.75,2.95 7.75,4.65 6.05,4.65\"/>\n<polygon class=\"l66d44\" id=\"0x3149500\" points=\"6.05,6.55 7.75,6.55 7.75,8.25 6.05,8.25\"/>\n<polygon class=\"l66d44\" id=\"0x3149570\" points=\"6.05,15.75 7.75,15.75 7.75,17.45 6.05,17.45\"/>\n<polygon class=\"l66d44\" id=\"0x31495e0\" points=\"6.05,19.15 7.75,19.15 7.75,20.85 6.05,20.85\"/>\n<polygon class=\"l66d44\" id=\"0x3149650\" points=\"6.05,22.55 7.75,22.55 7.75,24.25 6.05,24.25\"/>\n<polygon class=\"l66d44\" id=\"0x31496c0\" points=\"1.85,22.55 3.55,22.55 3.55,24.25 1.85,24.25\"/>\n<polygon class=\"l66d44\" id=\"0x3149730\" points=\"1.85,2.95 3.55,2.95 3.55,4.65 1.85,4.65\"/>\n<polygon class=\"l66d44\" id=\"0x31497a0\" points=\"1.85,6.55 3.55,6.55 3.55,8.25 1.85,8.25\"/>\n<polygon class=\"l66d44\" id=\"0x3149810\" points=\"1.85,10.75 3.55,10.75 3.55,12.45 1.85,12.45\"/>\n<polygon class=\"l66d44\" id=\"0x3149880\" points=\"1.85,15.75 3.55,15.75 3.55,17.45 1.85,17.45\"/>\n<polygon class=\"l66d44\" id=\"0x31498f0\" points=\"1.85,19.15 3.55,19.15 3.55,20.85 1.85,20.85\"/>\n<polygon class=\"l66d20\" id=\"0x3149960\" points=\"9.75,26.15 8.25,26.15 8.25,13.25 5.55,13.25 5.55,26.15 4.05,26.15 4.05,13.25 1.05,13.25 1.05,9.95 4.05,9.95 4.05,1.05 5.55,1.05 5.55,9.95 8.25,9.95 8.25,1.05 9.75,1.05\"/>\n<polygon class=\"l65d20\" id=\"0x31499d0\" points=\"1.45,2.35 12.35,2.35 12.35,8.85 1.45,8.85\"/>\n<polygon class=\"l65d20\" id=\"0x3149a40\" points=\"1.45,14.85 12.35,14.85 12.35,24.85 1.45,24.85\"/>\n<polygon class=\"l67d16\" id=\"0x3149ab0\" points=\"6.05,7.65 7.75,7.65 7.75,9.35 6.05,9.35\"/>\n<polygon class=\"l67d16\" id=\"0x3149b20\" points=\"1.45,11.05 3.15,11.05 3.15,12.75 1.45,12.75\"/>\n<polygon class=\"l67d16\" id=\"0x3149b90\" points=\"6.05,14.45 7.75,14.45 7.75,16.15 6.05,16.15\"/>\n<polygon class=\"l67d16\" id=\"0x3149c00\" points=\"6.05,11.05 7.75,11.05 7.75,12.75 6.05,12.75\"/>\n<polygon class=\"l67d44\" id=\"0x3149c70\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3149ce0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x3149d50\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3149dc0\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x3149e30\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3149ea0\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n<polygon class=\"l81d4\" id=\"0x3149f10\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n<polygon class=\"l94d20\" id=\"0x3149f80\" points=\"0,13.55 13.8,13.55 13.8,29.1 0,29.1\"/>\n<polygon class=\"l64d20\" id=\"0x3149ff0\" points=\"-1.9,13.05 15.7,13.05 15.7,29.1 -1.9,29.1\"/>\n<polygon class=\"l93d44\" id=\"0x314a060\" points=\"0,-1.9 13.8,-1.9 13.8,10.15 0,10.15\"/>\n<polygon class=\"l78d44\" id=\"0x314a0d0\" points=\"0,12.5 13.8,12.5 13.8,27.2 0,27.2\"/>\n<polygon class=\"l95d20\" id=\"0x314a140\" points=\"0,9.75 13.8,9.75 13.8,13.45 0,13.45\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,29.6 0,24.8 13.8,24.8 13.8,29.6\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,2.4 0,-2.4 13.8,-2.4 13.8,2.4\"/>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d78be0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 11.9) scale(0.17)\">A</text>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d78c70\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(6.9 8.5) scale(0.17)\">Y</text>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d78d00\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(6.9 15.3) scale(0.17)\">Y</text>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d78d90\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(6.9 11.9) scale(0.17)\">Y</text>\n<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x1d78e20\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPB</text>\n<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x1d78eb0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VNB</text>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d78f40\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPWR</text>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d78fd0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VGND</text>\n<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x1d79060\" text-anchor=\"start\" transform=\"scale(1 -1) translate(0 0) rotate(-90) scale(0.1)\">inv_2</text>\n</g>\n<g id=\"sky130_fd_sc_hd__buf_2\">\n<polygon class=\"l236d0\" id=\"0x3140690\" points=\"0,0 18.4,0 18.4,27.2 0,27.2\"/>\n<polygon class=\"l68d16\" id=\"0x3140700\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l68d16\" id=\"0x3140770\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l64d16\" id=\"0x31407e0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l122d16\" id=\"0x3140850\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l66d20\" id=\"0x31408c0\" points=\"14.4,26.15 12.9,26.15 12.9,13.25 10.2,13.25 10.2,26.15 8.7,26.15 8.7,13.25 7.55,13.25 7.55,9.95 8.7,9.95 8.7,1.05 10.2,1.05 10.2,9.95 12.9,9.95 12.9,1.05 14.4,1.05\"/>\n<polygon class=\"l66d20\" id=\"0x3140930\" points=\"5.45,25.75 3.95,25.75 3.95,13.25 1.35,13.25 1.35,9.95 3.95,9.95 3.95,1.05 5.45,1.05\"/>\n<polygon class=\"l66d44\" id=\"0x31409a0\" points=\"6.4,2.95 8.1,2.95 8.1,4.65 6.4,4.65\"/>\n<polygon class=\"l66d44\" id=\"0x3140a10\" points=\"8.05,10.75 9.75,10.75 9.75,12.45 8.05,12.45\"/>\n<polygon class=\"l66d44\" id=\"0x3140a80\" points=\"10.7,21.35 12.4,21.35 12.4,23.05 10.7,23.05\"/>\n<polygon class=\"l66d44\" id=\"0x3140af0\" points=\"10.7,17.3 12.4,17.3 12.4,19 10.7,19\"/>\n<polygon class=\"l66d44\" id=\"0x3140b60\" points=\"6.4,18.75 8.1,18.75 8.1,20.45 6.4,20.45\"/>\n<polygon class=\"l66d44\" id=\"0x3140bd0\" points=\"10.7,4.45 12.4,4.45 12.4,6.15 10.7,6.15\"/>\n<polygon class=\"l66d44\" id=\"0x3140c40\" points=\"14.9,19.15 16.6,19.15 16.6,20.85 14.9,20.85\"/>\n<polygon class=\"l66d44\" id=\"0x3140cb0\" points=\"14.9,15.75 16.6,15.75 16.6,17.45 14.9,17.45\"/>\n<polygon class=\"l66d44\" id=\"0x3140d20\" points=\"14.9,22.55 16.6,22.55 16.6,24.25 14.9,24.25\"/>\n<polygon class=\"l66d44\" id=\"0x3140d90\" points=\"14.9,3.15 16.6,3.15 16.6,4.85 14.9,4.85\"/>\n<polygon class=\"l66d44\" id=\"0x3140e00\" points=\"1.75,18.75 3.45,18.75 3.45,20.45 1.75,20.45\"/>\n<polygon class=\"l66d44\" id=\"0x3140e70\" points=\"1.75,3.6 3.45,3.6 3.45,5.3 1.75,5.3\"/>\n<polygon class=\"l66d44\" id=\"0x3140ee0\" points=\"1.85,10.75 3.55,10.75 3.55,12.45 1.85,12.45\"/>\n<polygon class=\"l66d44\" id=\"0x3140f50\" points=\"6.4,22.15 8.1,22.15 8.1,23.85 6.4,23.85\"/>\n<polygon class=\"l66d44\" id=\"0x3140fc0\" points=\"1.75,22.15 3.45,22.15 3.45,23.85 1.75,23.85\"/>\n<polygon class=\"l66d44\" id=\"0x3141030\" points=\"14.9,6.55 16.6,6.55 16.6,8.25 14.9,8.25\"/>\n<polygon class=\"l67d20\" id=\"0x31410a0\" points=\"0.85,9.85 4.4,9.85 4.4,13.55 0.85,13.55\"/>\n<polygon class=\"l67d20\" id=\"0x3141110\" points=\"9.75,13.25 8.9,13.25 8.9,17.05 3.45,17.05 3.45,24.65 1.75,24.65 1.75,15.35 7.2,15.35 7.2,8.05 1.75,8.05 1.75,2.55 3.45,2.55 3.45,6.35 8.9,6.35 8.9,9.95 9.75,9.95\"/>\n<polygon class=\"l67d20\" id=\"0x3141180\" points=\"13.15,24.65 10.6,24.65 10.6,15.6 11.45,15.6 11.45,8.3 10.6,8.3 10.6,2.55 13.15,2.55\"/>\n<polygon class=\"l67d20\" id=\"0x31411f0\" points=\"18.4,28.05 0,28.05 0,26.35 5.6,26.35 5.6,18.75 8.9,18.75 8.9,26.35 14.9,26.35 14.9,14.85 17.5,14.85 17.5,26.35 18.4,26.35\"/>\n<polygon class=\"l67d20\" id=\"0x3141260\" points=\"18.4,0.85 17.5,0.85 17.5,9.25 14.9,9.25 14.9,0.85 8.9,0.85 8.9,4.65 5.6,4.65 5.6,0.85 0,0.85 0,-0.85 18.4,-0.85\"/>\n<polygon class=\"l95d20\" id=\"0x31412d0\" points=\"0,9.75 18.4,9.75 18.4,13.45 0,13.45\"/>\n<polygon class=\"l67d16\" id=\"0x3141340\" points=\"10.6,4.25 12.3,4.25 12.3,5.95 10.6,5.95\"/>\n<polygon class=\"l67d16\" id=\"0x31413b0\" points=\"1.45,11.05 3.15,11.05 3.15,12.75 1.45,12.75\"/>\n<polygon class=\"l67d16\" id=\"0x3141420\" points=\"10.6,17.85 12.3,17.85 12.3,19.55 10.6,19.55\"/>\n<polygon class=\"l67d16\" id=\"0x3141490\" points=\"10.6,21.25 12.3,21.25 12.3,22.95 10.6,22.95\"/>\n<polygon class=\"l67d16\" id=\"0x3141500\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l67d16\" id=\"0x3141570\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l65d20\" id=\"0x31415e0\" points=\"17.05,24.85 6.2,24.85 6.2,24.45 1.35,24.45 1.35,18.05 6.2,18.05 6.2,14.85 17.05,14.85\"/>\n<polygon class=\"l65d20\" id=\"0x3141650\" points=\"17.05,8.85 6.2,8.85 6.2,6.55 1.35,6.55 1.35,2.35 17.05,2.35\"/>\n<polygon class=\"l67d44\" id=\"0x3141730\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x31417a0\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x3141810\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3141880\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x31418f0\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3141960\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x31419d0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l67d44\" id=\"0x3141a40\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l94d20\" id=\"0x3141ab0\" points=\"0,13.55 18.4,13.55 18.4,29.1 0,29.1\"/>\n<polygon class=\"l64d20\" id=\"0x3141b20\" points=\"-1.9,13.05 20.3,13.05 20.3,29.1 -1.9,29.1\"/>\n<polygon class=\"l93d44\" id=\"0x3141b90\" points=\"0,-1.9 18.4,-1.9 18.4,10.15 0,10.15\"/>\n<polygon class=\"l78d44\" id=\"0x3141c00\" points=\"0,12.5 18.4,12.5 18.4,27.2 0,27.2\"/>\n<polygon class=\"l81d4\" id=\"0x3141c70\" points=\"0,0 18.4,0 18.4,27.2 0,27.2\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,2.4 0,-2.4 18.4,-2.4 18.4,2.4\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,29.6 0,24.8 18.4,24.8 18.4,29.6\"/>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d775f0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPWR</text>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d77680\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VGND</text>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d77710\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPWR</text>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d777a0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VGND</text>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d77830\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(11.45 5.1) scale(0.1)\">X</text>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d778c0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(11.45 18.7) scale(0.1)\">X</text>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d77950\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(11.45 22.1) scale(0.1)\">X</text>\n<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x1d779e0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 11.9) scale(0.1)\">A</text>\n<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x1d77a70\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPB</text>\n<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x1d77b00\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VNB</text>\n<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x1d77b90\" text-anchor=\"start\" transform=\"scale(1 -1) translate(0 0) rotate(-90) scale(0.1)\">buf_2</text>\n</g>\n<g id=\"sky130_fd_sc_hd__fill_1\">\n<polygon class=\"l64d16\" id=\"0x3143170\" points=\"1.55,26.7 2.55,26.7 2.55,27.55 1.55,27.55\"/>\n<polygon class=\"l122d16\" id=\"0x31431e0\" points=\"1.4,-0.55 2.6,-0.55 2.6,0.55 1.4,0.55\"/>\n<polygon class=\"l68d16\" id=\"0x3143250\" points=\"1.1,26.35 2.9,26.35 2.9,27.85 1.1,27.85\"/>\n<polygon class=\"l68d16\" id=\"0x31432c0\" points=\"1.1,-0.65 2.9,-0.65 2.9,0.8 1.1,0.8\"/>\n<polygon class=\"l81d4\" id=\"0x3143330\" points=\"0,0 4.6,0 4.6,27.2 0,27.2\"/>\n<polygon class=\"l78d44\" id=\"0x31433a0\" points=\"0,12.5 4.6,12.5 4.6,27.2 0,27.2\"/>\n<polygon class=\"l93d44\" id=\"0x3143410\" points=\"0,-1.9 4.6,-1.9 4.6,10.15 0,10.15\"/>\n<polygon class=\"l64d20\" id=\"0x3143480\" points=\"-1.9,13.05 6.5,13.05 6.5,29.1 -1.9,29.1\"/>\n<polygon class=\"l94d20\" id=\"0x31434f0\" points=\"0,13.55 4.6,13.55 4.6,29.1 0,29.1\"/>\n<polygon class=\"l67d44\" id=\"0x3143560\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n<polygon class=\"l67d44\" id=\"0x31435d0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n<polygon class=\"l67d20\" id=\"0x316da40\" points=\"0,0.85 0,-0.85 4.6,-0.85 4.6,0.85\"/>\n<polygon class=\"l67d20\" id=\"0x316da40\" points=\"0,28.05 0,26.35 4.6,26.35 4.6,28.05\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,29.6 0,24.8 4.6,24.8 4.6,29.6\"/>\n<polygon class=\"l68d20\" id=\"0x316da40\" points=\"0,2.4 0,-2.4 4.6,-2.4 4.6,2.4\"/>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d780a0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.05 27.2) scale(0.125)\">VPWR</text>\n<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x1d78130\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.05 0) scale(0.125)\">VGND</text>\n<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x1d781c0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 27.2) scale(0.1)\">VPB</text>\n<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x1d78250\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 0) scale(0.1)\">VNB</text>\n<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x1d782e0\" text-anchor=\"start\" transform=\"scale(1 -1) translate(0 0) rotate(-90) scale(0.1)\">fill_1</text>\n</g>\n</defs>\n<rect fill=\"#222222\" height=\"550\" stroke=\"none\" width=\"550\" x=\"-25\" y=\"-525\"/>\n<g id=\"inverter\" transform=\"scale(1 -1)\">\n<polygon class=\"l235d4\" id=\"0x314b3a0\" points=\"0,0 500,0 500,500 0,500\"/>\n<polygon class=\"l67d20\" id=\"0x314b410\" points=\"406.25,368.05 407.95,368.05 407.95,369.75 406.25,369.75\"/>\n<polygon class=\"l67d20\" id=\"0x314b480\" points=\"397.05,357.85 398.75,357.85 398.75,359.55 397.05,359.55\"/>\n<polygon class=\"l67d20\" id=\"0x314b4f0\" points=\"199.25,228.65 200.95,228.65 200.95,230.35 199.25,230.35\"/>\n<polygon class=\"l67d20\" id=\"0x314b560\" points=\"194.65,221.85 196.35,221.85 196.35,223.55 194.65,223.55\"/>\n<polygon class=\"l67d20\" id=\"0x314b5d0\" points=\"84.25,119.85 85.95,119.85 85.95,121.55 84.25,121.55\"/>\n<polygon class=\"l67d20\" id=\"0x314b640\" points=\"75.05,113.05 76.75,113.05 76.75,114.75 75.05,114.75\"/>\n<polygon class=\"l67d44\" id=\"0x314b6b0\" points=\"406.25,368.05 407.95,368.05 407.95,369.75 406.25,369.75\"/>\n<polygon class=\"l67d44\" id=\"0x314b720\" points=\"397.05,357.85 398.75,357.85 398.75,359.55 397.05,359.55\"/>\n<polygon class=\"l67d44\" id=\"0x314b790\" points=\"199.25,228.65 200.95,228.65 200.95,230.35 199.25,230.35\"/>\n<polygon class=\"l67d44\" id=\"0x314b800\" points=\"194.65,221.85 196.35,221.85 196.35,223.55 194.65,223.55\"/>\n<polygon class=\"l67d44\" id=\"0x314b870\" points=\"84.25,119.85 85.95,119.85 85.95,121.55 84.25,121.55\"/>\n<polygon class=\"l67d44\" id=\"0x314b8e0\" points=\"75.05,113.05 76.75,113.05 76.75,114.75 75.05,114.75\"/>\n<polygon class=\"l68d20\" id=\"0x314b950\" points=\"55.2,378.4 441.6,378.4 441.6,383.2 55.2,383.2\"/>\n<polygon class=\"l68d20\" id=\"0x314b9c0\" points=\"405.65,369.6 408.55,369.6 408.55,370.05 405.65,370.05\"/>\n<polygon class=\"l68d20\" id=\"0x314ba30\" points=\"483.7,369.6 486.9,369.6 486.9,370.2 483.7,370.2\"/>\n<polygon class=\"l68d20\" id=\"0x314baa0\" points=\"405.65,368.2 486.9,368.2 486.9,369.6 405.65,369.6\"/>\n<polygon class=\"l68d20\" id=\"0x314bb10\" points=\"405.65,367.75 408.55,367.75 408.55,368.2 405.65,368.2\"/>\n<polygon class=\"l68d20\" id=\"0x314bb80\" points=\"483.7,367.6 486.9,367.6 486.9,368.2 483.7,368.2\"/>\n<polygon class=\"l68d20\" id=\"0x314bbf0\" points=\"359.5,359.4 362.7,359.4 362.7,360 359.5,360\"/>\n<polygon class=\"l68d20\" id=\"0x314bc60\" points=\"396.45,359.4 399.35,359.4 399.35,359.85 396.45,359.85\"/>\n<polygon class=\"l68d20\" id=\"0x314bcd0\" points=\"359.5,358 399.35,358 399.35,359.4 359.5,359.4\"/>\n<polygon class=\"l68d20\" id=\"0x314bd40\" points=\"359.5,357.4 362.7,357.4 362.7,358 359.5,358\"/>\n<polygon class=\"l68d20\" id=\"0x314bdb0\" points=\"396.45,357.55 399.35,357.55 399.35,358 396.45,358\"/>\n<polygon class=\"l68d20\" id=\"0x314be20\" points=\"55.2,351.2 441.6,351.2 441.6,356 55.2,356\"/>\n<polygon class=\"l68d20\" id=\"0x314be90\" points=\"55.2,324 441.6,324 441.6,328.8 55.2,328.8\"/>\n<polygon class=\"l68d20\" id=\"0x314bf00\" points=\"55.2,296.8 441.6,296.8 441.6,301.6 55.2,301.6\"/>\n<polygon class=\"l68d20\" id=\"0x314bf70\" points=\"55.2,269.6 441.6,269.6 441.6,274.4 55.2,274.4\"/>\n<polygon class=\"l68d20\" id=\"0x3154000\" points=\"55.2,242.4 441.6,242.4 441.6,247.2 55.2,247.2\"/>\n<polygon class=\"l68d20\" id=\"0x3154070\" points=\"198.65,230.2 201.55,230.2 201.55,230.65 198.65,230.65\"/>\n<polygon class=\"l68d20\" id=\"0x31540e0\" points=\"359.5,230.2 362.7,230.2 362.7,230.8 359.5,230.8\"/>\n<polygon class=\"l68d20\" id=\"0x3154150\" points=\"198.65,228.8 362.7,228.8 362.7,230.2 198.65,230.2\"/>\n<polygon class=\"l68d20\" id=\"0x31541c0\" points=\"198.65,228.35 201.55,228.35 201.55,228.8 198.65,228.8\"/>\n<polygon class=\"l68d20\" id=\"0x3154230\" points=\"359.5,228.2 362.7,228.2 362.7,228.8 359.5,228.8\"/>\n<polygon class=\"l68d20\" id=\"0x31542a0\" points=\"88.1,223.4 91.3,223.4 91.3,224 88.1,224\"/>\n<polygon class=\"l68d20\" id=\"0x3154310\" points=\"194.05,223.4 196.95,223.4 196.95,223.85 194.05,223.85\"/>\n<polygon class=\"l68d20\" id=\"0x3154380\" points=\"88.1,222 196.95,222 196.95,223.4 88.1,223.4\"/>\n<polygon class=\"l68d20\" id=\"0x31543f0\" points=\"88.1,221.4 91.3,221.4 91.3,222 88.1,222\"/>\n<polygon class=\"l68d20\" id=\"0x3154460\" points=\"194.05,221.55 196.95,221.55 196.95,222 194.05,222\"/>\n<polygon class=\"l68d20\" id=\"0x31544d0\" points=\"55.2,215.2 441.6,215.2 441.6,220 55.2,220\"/>\n<polygon class=\"l68d20\" id=\"0x3154540\" points=\"55.2,188 441.6,188 441.6,192.8 55.2,192.8\"/>\n<polygon class=\"l68d20\" id=\"0x31545b0\" points=\"55.2,160.8 441.6,160.8 441.6,165.6 55.2,165.6\"/>\n<polygon class=\"l68d20\" id=\"0x3154620\" points=\"55.2,133.6 441.6,133.6 441.6,138.4 55.2,138.4\"/>\n<polygon class=\"l68d20\" id=\"0x3154690\" points=\"83.65,121.4 86.55,121.4 86.55,121.85 83.65,121.85\"/>\n<polygon class=\"l68d20\" id=\"0x3154700\" points=\"88.1,121.4 91.3,121.4 91.3,122 88.1,122\"/>\n<polygon class=\"l68d20\" id=\"0x3154770\" points=\"83.65,120 91.3,120 91.3,121.4 83.65,121.4\"/>\n<polygon class=\"l68d20\" id=\"0x31547e0\" points=\"83.65,119.55 86.55,119.55 86.55,120 83.65,120\"/>\n<polygon class=\"l68d20\" id=\"0x3154850\" points=\"88.1,119.4 91.3,119.4 91.3,120 88.1,120\"/>\n<polygon class=\"l68d20\" id=\"0x31548c0\" points=\"0.7,114.6 3.9,114.6 3.9,115.2 0.7,115.2\"/>\n<polygon class=\"l68d20\" id=\"0x3154930\" points=\"74.45,114.6 77.35,114.6 77.35,115.05 74.45,115.05\"/>\n<polygon class=\"l68d20\" id=\"0x31549a0\" points=\"0.7,113.2 77.35,113.2 77.35,114.6 0.7,114.6\"/>\n<polygon class=\"l68d20\" id=\"0x3154a10\" points=\"0.7,112.6 3.9,112.6 3.9,113.2 0.7,113.2\"/>\n<polygon class=\"l68d20\" id=\"0x3154a80\" points=\"74.45,112.75 77.35,112.75 77.35,113.2 74.45,113.2\"/>\n<polygon class=\"l68d20\" id=\"0x3154af0\" points=\"55.2,106.4 441.6,106.4 441.6,111.2 55.2,111.2\"/>\n<polygon class=\"l68d44\" id=\"0x3154b60\" points=\"71.05,380.05 72.55,380.05 72.55,381.55 71.05,381.55\"/>\n<polygon class=\"l68d44\" id=\"0x3154bd0\" points=\"74.25,380.05 75.75,380.05 75.75,381.55 74.25,381.55\"/>\n<polygon class=\"l68d44\" id=\"0x3154c40\" points=\"77.45,380.05 78.95,380.05 78.95,381.55 77.45,381.55\"/>\n<polygon class=\"l68d44\" id=\"0x3154cb0\" points=\"80.65,380.05 82.15,380.05 82.15,381.55 80.65,381.55\"/>\n<polygon class=\"l68d44\" id=\"0x3154d20\" points=\"83.85,380.05 85.35,380.05 85.35,381.55 83.85,381.55\"/>\n<polygon class=\"l68d44\" id=\"0x3154d90\" points=\"484.55,368.15 486.05,368.15 486.05,369.65 484.55,369.65\"/>\n<polygon class=\"l68d44\" id=\"0x3154e00\" points=\"360.35,357.95 361.85,357.95 361.85,359.45 360.35,359.45\"/>\n<polygon class=\"l68d44\" id=\"0x3154e70\" points=\"96.75,352.85 98.25,352.85 98.25,354.35 96.75,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3154ee0\" points=\"99.95,352.85 101.45,352.85 101.45,354.35 99.95,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3154f50\" points=\"103.15,352.85 104.65,352.85 104.65,354.35 103.15,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3154fc0\" points=\"106.35,352.85 107.85,352.85 107.85,354.35 106.35,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3155030\" points=\"109.55,352.85 111.05,352.85 111.05,354.35 109.55,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x31550a0\" points=\"194.15,352.85 195.65,352.85 195.65,354.35 194.15,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3155110\" points=\"197.35,352.85 198.85,352.85 198.85,354.35 197.35,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3155180\" points=\"200.55,352.85 202.05,352.85 202.05,354.35 200.55,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x31551f0\" points=\"203.75,352.85 205.25,352.85 205.25,354.35 203.75,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3155260\" points=\"206.95,352.85 208.45,352.85 208.45,354.35 206.95,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x31552d0\" points=\"291.55,352.85 293.05,352.85 293.05,354.35 291.55,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3155340\" points=\"294.75,352.85 296.25,352.85 296.25,354.35 294.75,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x31553b0\" points=\"297.95,352.85 299.45,352.85 299.45,354.35 297.95,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3155420\" points=\"301.15,352.85 302.65,352.85 302.65,354.35 301.15,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3155490\" points=\"304.35,352.85 305.85,352.85 305.85,354.35 304.35,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3155500\" points=\"388.95,352.85 390.45,352.85 390.45,354.35 388.95,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3155570\" points=\"392.15,352.85 393.65,352.85 393.65,354.35 392.15,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x31555e0\" points=\"395.35,352.85 396.85,352.85 396.85,354.35 395.35,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3155650\" points=\"398.55,352.85 400.05,352.85 400.05,354.35 398.55,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x31556c0\" points=\"401.75,352.85 403.25,352.85 403.25,354.35 401.75,354.35\"/>\n<polygon class=\"l68d44\" id=\"0x3155730\" points=\"71.05,325.65 72.55,325.65 72.55,327.15 71.05,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x31557a0\" points=\"74.25,325.65 75.75,325.65 75.75,327.15 74.25,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155810\" points=\"77.45,325.65 78.95,325.65 78.95,327.15 77.45,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155880\" points=\"80.65,325.65 82.15,325.65 82.15,327.15 80.65,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x31558f0\" points=\"83.85,325.65 85.35,325.65 85.35,327.15 83.85,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155960\" points=\"145.45,325.65 146.95,325.65 146.95,327.15 145.45,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x31559d0\" points=\"148.65,325.65 150.15,325.65 150.15,327.15 148.65,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155a40\" points=\"151.85,325.65 153.35,325.65 153.35,327.15 151.85,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155ab0\" points=\"155.05,325.65 156.55,325.65 156.55,327.15 155.05,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155b20\" points=\"158.25,325.65 159.75,325.65 159.75,327.15 158.25,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155b90\" points=\"242.85,325.65 244.35,325.65 244.35,327.15 242.85,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155c00\" points=\"246.05,325.65 247.55,325.65 247.55,327.15 246.05,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155c70\" points=\"249.25,325.65 250.75,325.65 250.75,327.15 249.25,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155ce0\" points=\"252.45,325.65 253.95,325.65 253.95,327.15 252.45,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155d50\" points=\"255.65,325.65 257.15,325.65 257.15,327.15 255.65,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155dc0\" points=\"340.25,325.65 341.75,325.65 341.75,327.15 340.25,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155e30\" points=\"343.45,325.65 344.95,325.65 344.95,327.15 343.45,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155ea0\" points=\"346.65,325.65 348.15,325.65 348.15,327.15 346.65,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155f10\" points=\"349.85,325.65 351.35,325.65 351.35,327.15 349.85,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155f80\" points=\"353.05,325.65 354.55,325.65 354.55,327.15 353.05,327.15\"/>\n<polygon class=\"l68d44\" id=\"0x3155ff0\" points=\"96.75,298.45 98.25,298.45 98.25,299.95 96.75,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x3156060\" points=\"99.95,298.45 101.45,298.45 101.45,299.95 99.95,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x31560d0\" points=\"103.15,298.45 104.65,298.45 104.65,299.95 103.15,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x3156140\" points=\"106.35,298.45 107.85,298.45 107.85,299.95 106.35,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x31561b0\" points=\"109.55,298.45 111.05,298.45 111.05,299.95 109.55,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x3156220\" points=\"194.15,298.45 195.65,298.45 195.65,299.95 194.15,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x3156290\" points=\"197.35,298.45 198.85,298.45 198.85,299.95 197.35,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x3156300\" points=\"200.55,298.45 202.05,298.45 202.05,299.95 200.55,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x3156370\" points=\"203.75,298.45 205.25,298.45 205.25,299.95 203.75,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x31563e0\" points=\"206.95,298.45 208.45,298.45 208.45,299.95 206.95,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x3156450\" points=\"291.55,298.45 293.05,298.45 293.05,299.95 291.55,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x31564c0\" points=\"294.75,298.45 296.25,298.45 296.25,299.95 294.75,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x3156530\" points=\"297.95,298.45 299.45,298.45 299.45,299.95 297.95,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x31565a0\" points=\"301.15,298.45 302.65,298.45 302.65,299.95 301.15,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x3156610\" points=\"304.35,298.45 305.85,298.45 305.85,299.95 304.35,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x3156680\" points=\"388.95,298.45 390.45,298.45 390.45,299.95 388.95,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x31566f0\" points=\"392.15,298.45 393.65,298.45 393.65,299.95 392.15,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x3156760\" points=\"395.35,298.45 396.85,298.45 396.85,299.95 395.35,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x31567d0\" points=\"398.55,298.45 400.05,298.45 400.05,299.95 398.55,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x3156840\" points=\"401.75,298.45 403.25,298.45 403.25,299.95 401.75,299.95\"/>\n<polygon class=\"l68d44\" id=\"0x31568b0\" points=\"145.45,271.25 146.95,271.25 146.95,272.75 145.45,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156920\" points=\"148.65,271.25 150.15,271.25 150.15,272.75 148.65,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156990\" points=\"151.85,271.25 153.35,271.25 153.35,272.75 151.85,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156a00\" points=\"155.05,271.25 156.55,271.25 156.55,272.75 155.05,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156a70\" points=\"158.25,271.25 159.75,271.25 159.75,272.75 158.25,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156ae0\" points=\"242.85,271.25 244.35,271.25 244.35,272.75 242.85,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156b50\" points=\"246.05,271.25 247.55,271.25 247.55,272.75 246.05,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156bc0\" points=\"249.25,271.25 250.75,271.25 250.75,272.75 249.25,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156c30\" points=\"252.45,271.25 253.95,271.25 253.95,272.75 252.45,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156ca0\" points=\"255.65,271.25 257.15,271.25 257.15,272.75 255.65,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156d10\" points=\"340.25,271.25 341.75,271.25 341.75,272.75 340.25,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156d80\" points=\"343.45,271.25 344.95,271.25 344.95,272.75 343.45,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156df0\" points=\"346.65,271.25 348.15,271.25 348.15,272.75 346.65,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156e60\" points=\"349.85,271.25 351.35,271.25 351.35,272.75 349.85,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156ed0\" points=\"353.05,271.25 354.55,271.25 354.55,272.75 353.05,272.75\"/>\n<polygon class=\"l68d44\" id=\"0x3156f40\" points=\"96.75,244.05 98.25,244.05 98.25,245.55 96.75,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3156fb0\" points=\"99.95,244.05 101.45,244.05 101.45,245.55 99.95,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3157020\" points=\"103.15,244.05 104.65,244.05 104.65,245.55 103.15,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3157090\" points=\"106.35,244.05 107.85,244.05 107.85,245.55 106.35,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3157100\" points=\"109.55,244.05 111.05,244.05 111.05,245.55 109.55,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3157170\" points=\"194.15,244.05 195.65,244.05 195.65,245.55 194.15,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x31571e0\" points=\"197.35,244.05 198.85,244.05 198.85,245.55 197.35,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3157250\" points=\"200.55,244.05 202.05,244.05 202.05,245.55 200.55,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x31572c0\" points=\"203.75,244.05 205.25,244.05 205.25,245.55 203.75,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3157330\" points=\"206.95,244.05 208.45,244.05 208.45,245.55 206.95,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x31573a0\" points=\"291.55,244.05 293.05,244.05 293.05,245.55 291.55,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3157410\" points=\"294.75,244.05 296.25,244.05 296.25,245.55 294.75,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3157480\" points=\"297.95,244.05 299.45,244.05 299.45,245.55 297.95,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x31574f0\" points=\"301.15,244.05 302.65,244.05 302.65,245.55 301.15,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3157560\" points=\"304.35,244.05 305.85,244.05 305.85,245.55 304.35,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x31575d0\" points=\"388.95,244.05 390.45,244.05 390.45,245.55 388.95,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3157640\" points=\"392.15,244.05 393.65,244.05 393.65,245.55 392.15,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x31576b0\" points=\"395.35,244.05 396.85,244.05 396.85,245.55 395.35,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3157720\" points=\"398.55,244.05 400.05,244.05 400.05,245.55 398.55,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3157790\" points=\"401.75,244.05 403.25,244.05 403.25,245.55 401.75,245.55\"/>\n<polygon class=\"l68d44\" id=\"0x3157800\" points=\"360.35,228.75 361.85,228.75 361.85,230.25 360.35,230.25\"/>\n<polygon class=\"l68d44\" id=\"0x3157870\" points=\"88.95,221.95 90.45,221.95 90.45,223.45 88.95,223.45\"/>\n<polygon class=\"l68d44\" id=\"0x31578e0\" points=\"145.45,216.85 146.95,216.85 146.95,218.35 145.45,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157950\" points=\"148.65,216.85 150.15,216.85 150.15,218.35 148.65,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x31579c0\" points=\"151.85,216.85 153.35,216.85 153.35,218.35 151.85,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157a30\" points=\"155.05,216.85 156.55,216.85 156.55,218.35 155.05,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157aa0\" points=\"158.25,216.85 159.75,216.85 159.75,218.35 158.25,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157b10\" points=\"242.85,216.85 244.35,216.85 244.35,218.35 242.85,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157b80\" points=\"246.05,216.85 247.55,216.85 247.55,218.35 246.05,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157bf0\" points=\"249.25,216.85 250.75,216.85 250.75,218.35 249.25,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157c60\" points=\"252.45,216.85 253.95,216.85 253.95,218.35 252.45,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157cd0\" points=\"255.65,216.85 257.15,216.85 257.15,218.35 255.65,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157d40\" points=\"340.25,216.85 341.75,216.85 341.75,218.35 340.25,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157db0\" points=\"343.45,216.85 344.95,216.85 344.95,218.35 343.45,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157e20\" points=\"346.65,216.85 348.15,216.85 348.15,218.35 346.65,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157e90\" points=\"349.85,216.85 351.35,216.85 351.35,218.35 349.85,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157f00\" points=\"353.05,216.85 354.55,216.85 354.55,218.35 353.05,218.35\"/>\n<polygon class=\"l68d44\" id=\"0x3157f70\" points=\"96.75,189.65 98.25,189.65 98.25,191.15 96.75,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x3158000\" points=\"99.95,189.65 101.45,189.65 101.45,191.15 99.95,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x3158070\" points=\"103.15,189.65 104.65,189.65 104.65,191.15 103.15,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x31580e0\" points=\"106.35,189.65 107.85,189.65 107.85,191.15 106.35,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x3158150\" points=\"109.55,189.65 111.05,189.65 111.05,191.15 109.55,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x31581c0\" points=\"194.15,189.65 195.65,189.65 195.65,191.15 194.15,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x3158230\" points=\"197.35,189.65 198.85,189.65 198.85,191.15 197.35,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x31582a0\" points=\"200.55,189.65 202.05,189.65 202.05,191.15 200.55,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x3158310\" points=\"203.75,189.65 205.25,189.65 205.25,191.15 203.75,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x3158380\" points=\"206.95,189.65 208.45,189.65 208.45,191.15 206.95,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x31583f0\" points=\"291.55,189.65 293.05,189.65 293.05,191.15 291.55,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x3158460\" points=\"294.75,189.65 296.25,189.65 296.25,191.15 294.75,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x31584d0\" points=\"297.95,189.65 299.45,189.65 299.45,191.15 297.95,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x3158540\" points=\"301.15,189.65 302.65,189.65 302.65,191.15 301.15,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x31585b0\" points=\"304.35,189.65 305.85,189.65 305.85,191.15 304.35,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x3158620\" points=\"388.95,189.65 390.45,189.65 390.45,191.15 388.95,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x3158690\" points=\"392.15,189.65 393.65,189.65 393.65,191.15 392.15,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x3158700\" points=\"395.35,189.65 396.85,189.65 396.85,191.15 395.35,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x3158770\" points=\"398.55,189.65 400.05,189.65 400.05,191.15 398.55,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x31587e0\" points=\"401.75,189.65 403.25,189.65 403.25,191.15 401.75,191.15\"/>\n<polygon class=\"l68d44\" id=\"0x3158850\" points=\"71.05,162.45 72.55,162.45 72.55,163.95 71.05,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x31588c0\" points=\"74.25,162.45 75.75,162.45 75.75,163.95 74.25,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158930\" points=\"77.45,162.45 78.95,162.45 78.95,163.95 77.45,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x31589a0\" points=\"80.65,162.45 82.15,162.45 82.15,163.95 80.65,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158a10\" points=\"83.85,162.45 85.35,162.45 85.35,163.95 83.85,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158a80\" points=\"145.45,162.45 146.95,162.45 146.95,163.95 145.45,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158af0\" points=\"148.65,162.45 150.15,162.45 150.15,163.95 148.65,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158b60\" points=\"151.85,162.45 153.35,162.45 153.35,163.95 151.85,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158bd0\" points=\"155.05,162.45 156.55,162.45 156.55,163.95 155.05,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158c40\" points=\"158.25,162.45 159.75,162.45 159.75,163.95 158.25,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158cb0\" points=\"242.85,162.45 244.35,162.45 244.35,163.95 242.85,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158d20\" points=\"246.05,162.45 247.55,162.45 247.55,163.95 246.05,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158d90\" points=\"249.25,162.45 250.75,162.45 250.75,163.95 249.25,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158e00\" points=\"252.45,162.45 253.95,162.45 253.95,163.95 252.45,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158e70\" points=\"255.65,162.45 257.15,162.45 257.15,163.95 255.65,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158ee0\" points=\"340.25,162.45 341.75,162.45 341.75,163.95 340.25,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158f50\" points=\"343.45,162.45 344.95,162.45 344.95,163.95 343.45,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3158fc0\" points=\"346.65,162.45 348.15,162.45 348.15,163.95 346.65,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3159030\" points=\"349.85,162.45 351.35,162.45 351.35,163.95 349.85,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x31590a0\" points=\"353.05,162.45 354.55,162.45 354.55,163.95 353.05,163.95\"/>\n<polygon class=\"l68d44\" id=\"0x3159110\" points=\"96.75,135.25 98.25,135.25 98.25,136.75 96.75,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x3159180\" points=\"99.95,135.25 101.45,135.25 101.45,136.75 99.95,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x31591f0\" points=\"103.15,135.25 104.65,135.25 104.65,136.75 103.15,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x3159260\" points=\"106.35,135.25 107.85,135.25 107.85,136.75 106.35,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x31592d0\" points=\"109.55,135.25 111.05,135.25 111.05,136.75 109.55,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x3159340\" points=\"194.15,135.25 195.65,135.25 195.65,136.75 194.15,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x31593b0\" points=\"197.35,135.25 198.85,135.25 198.85,136.75 197.35,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x3159420\" points=\"200.55,135.25 202.05,135.25 202.05,136.75 200.55,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x3159490\" points=\"203.75,135.25 205.25,135.25 205.25,136.75 203.75,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x3159500\" points=\"206.95,135.25 208.45,135.25 208.45,136.75 206.95,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x3159570\" points=\"291.55,135.25 293.05,135.25 293.05,136.75 291.55,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x31595e0\" points=\"294.75,135.25 296.25,135.25 296.25,136.75 294.75,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x3159650\" points=\"297.95,135.25 299.45,135.25 299.45,136.75 297.95,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x31596c0\" points=\"301.15,135.25 302.65,135.25 302.65,136.75 301.15,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x3159730\" points=\"304.35,135.25 305.85,135.25 305.85,136.75 304.35,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x31597a0\" points=\"388.95,135.25 390.45,135.25 390.45,136.75 388.95,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x3159810\" points=\"392.15,135.25 393.65,135.25 393.65,136.75 392.15,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x3159880\" points=\"395.35,135.25 396.85,135.25 396.85,136.75 395.35,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x31598f0\" points=\"398.55,135.25 400.05,135.25 400.05,136.75 398.55,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x3159960\" points=\"401.75,135.25 403.25,135.25 403.25,136.75 401.75,136.75\"/>\n<polygon class=\"l68d44\" id=\"0x31599d0\" points=\"88.95,119.95 90.45,119.95 90.45,121.45 88.95,121.45\"/>\n<polygon class=\"l68d44\" id=\"0x3159a40\" points=\"1.55,113.15 3.05,113.15 3.05,114.65 1.55,114.65\"/>\n<polygon class=\"l68d44\" id=\"0x3159ab0\" points=\"71.05,108.05 72.55,108.05 72.55,109.55 71.05,109.55\"/>\n<polygon class=\"l68d44\" id=\"0x3159b20\" points=\"74.25,108.05 75.75,108.05 75.75,109.55 74.25,109.55\"/>\n<polygon class=\"l68d44\" id=\"0x3159b90\" points=\"77.45,108.05 78.95,108.05 78.95,109.55 77.45,109.55\"/>\n<polygon class=\"l68d44\" id=\"0x3159c00\" points=\"80.65,108.05 82.15,108.05 82.15,109.55 80.65,109.55\"/>\n<polygon class=\"l68d44\" id=\"0x3159c70\" points=\"83.85,108.05 85.35,108.05 85.35,109.55 83.85,109.55\"/>\n<polygon class=\"l69d20\" id=\"0x3159ce0\" points=\"483.9,460 486.7,460 486.7,500 483.9,500\"/>\n<polygon class=\"l69d20\" id=\"0x3159d50\" points=\"70.5,378.95 85.9,378.95 85.9,382.65 70.5,382.65\"/>\n<polygon class=\"l69d20\" id=\"0x3159dc0\" points=\"484.6,370.5 486,370.5 486,460 484.6,460\"/>\n<polygon class=\"l69d20\" id=\"0x3159e30\" points=\"484,367.3 486.6,367.3 486.6,370.5 484,370.5\"/>\n<polygon class=\"l69d20\" id=\"0x3159ea0\" points=\"359.8,357.1 362.4,357.1 362.4,360.3 359.8,360.3\"/>\n<polygon class=\"l69d20\" id=\"0x3159f10\" points=\"96.2,351.75 111.6,351.75 111.6,355.45 96.2,355.45\"/>\n<polygon class=\"l69d20\" id=\"0x3159f80\" points=\"193.6,351.75 209,351.75 209,355.45 193.6,355.45\"/>\n<polygon class=\"l69d20\" id=\"0x3159ff0\" points=\"291,351.75 306.4,351.75 306.4,355.45 291,355.45\"/>\n<polygon class=\"l69d20\" id=\"0x315a060\" points=\"70.5,324.55 85.9,324.55 85.9,328.25 70.5,328.25\"/>\n<polygon class=\"l69d20\" id=\"0x315a0d0\" points=\"144.9,324.55 160.3,324.55 160.3,328.25 144.9,328.25\"/>\n<polygon class=\"l69d20\" id=\"0x315a140\" points=\"242.3,324.55 257.7,324.55 257.7,328.25 242.3,328.25\"/>\n<polygon class=\"l69d20\" id=\"0x315a1b0\" points=\"339.7,324.55 355.1,324.55 355.1,328.25 339.7,328.25\"/>\n<polygon class=\"l69d20\" id=\"0x315a220\" points=\"96.2,297.35 111.6,297.35 111.6,301.05 96.2,301.05\"/>\n<polygon class=\"l69d20\" id=\"0x315a290\" points=\"193.6,297.35 209,297.35 209,301.05 193.6,301.05\"/>\n<polygon class=\"l69d20\" id=\"0x315a300\" points=\"291,297.35 306.4,297.35 306.4,301.05 291,301.05\"/>\n<polygon class=\"l69d20\" id=\"0x315a370\" points=\"144.9,270.15 160.3,270.15 160.3,273.85 144.9,273.85\"/>\n<polygon class=\"l69d20\" id=\"0x315a3e0\" points=\"242.3,270.15 257.7,270.15 257.7,273.85 242.3,273.85\"/>\n<polygon class=\"l69d20\" id=\"0x315a450\" points=\"339.7,270.15 355.1,270.15 355.1,273.85 339.7,273.85\"/>\n<polygon class=\"l69d20\" id=\"0x315a4c0\" points=\"96.2,242.95 111.6,242.95 111.6,246.65 96.2,246.65\"/>\n<polygon class=\"l69d20\" id=\"0x315a530\" points=\"193.6,242.95 209,242.95 209,246.65 193.6,246.65\"/>\n<polygon class=\"l69d20\" id=\"0x315a5a0\" points=\"291,242.95 306.4,242.95 306.4,246.65 291,246.65\"/>\n<polygon class=\"l69d20\" id=\"0x315a610\" points=\"360.4,231.1 361.8,231.1 361.8,357.1 360.4,357.1\"/>\n<polygon class=\"l69d20\" id=\"0x315a680\" points=\"388.4,351.75 403.8,351.75 403.8,355.45 388.4,355.45\"/>\n<polygon class=\"l69d20\" id=\"0x315a6f0\" points=\"388.4,297.35 403.8,297.35 403.8,301.05 388.4,301.05\"/>\n<polygon class=\"l69d20\" id=\"0x315a760\" points=\"388.4,242.95 403.8,242.95 403.8,246.65 388.4,246.65\"/>\n<polygon class=\"l69d20\" id=\"0x315a7d0\" points=\"359.8,227.9 362.4,227.9 362.4,231.1 359.8,231.1\"/>\n<polygon class=\"l69d20\" id=\"0x315a840\" points=\"88.4,221.1 91,221.1 91,224.3 88.4,224.3\"/>\n<polygon class=\"l69d20\" id=\"0x315a8b0\" points=\"70.5,161.35 85.9,161.35 85.9,165.05 70.5,165.05\"/>\n<polygon class=\"l69d20\" id=\"0x315a920\" points=\"89,122.3 90.4,122.3 90.4,221.1 89,221.1\"/>\n<polygon class=\"l69d20\" id=\"0x315a990\" points=\"144.9,215.75 160.3,215.75 160.3,219.45 144.9,219.45\"/>\n<polygon class=\"l69d20\" id=\"0x315aa00\" points=\"242.3,215.75 257.7,215.75 257.7,219.45 242.3,219.45\"/>\n<polygon class=\"l69d20\" id=\"0x315aa70\" points=\"339.7,215.75 355.1,215.75 355.1,219.45 339.7,219.45\"/>\n<polygon class=\"l69d20\" id=\"0x315aae0\" points=\"96.2,188.55 111.6,188.55 111.6,192.25 96.2,192.25\"/>\n<polygon class=\"l69d20\" id=\"0x315ab50\" points=\"193.6,188.55 209,188.55 209,192.25 193.6,192.25\"/>\n<polygon class=\"l69d20\" id=\"0x315abc0\" points=\"291,188.55 306.4,188.55 306.4,192.25 291,192.25\"/>\n<polygon class=\"l69d20\" id=\"0x315ac30\" points=\"388.4,188.55 403.8,188.55 403.8,192.25 388.4,192.25\"/>\n<polygon class=\"l69d20\" id=\"0x315aca0\" points=\"144.9,161.35 160.3,161.35 160.3,165.05 144.9,165.05\"/>\n<polygon class=\"l69d20\" id=\"0x315ad10\" points=\"242.3,161.35 257.7,161.35 257.7,165.05 242.3,165.05\"/>\n<polygon class=\"l69d20\" id=\"0x315ad80\" points=\"339.7,161.35 355.1,161.35 355.1,165.05 339.7,165.05\"/>\n<polygon class=\"l69d20\" id=\"0x315adf0\" points=\"96.2,134.15 111.6,134.15 111.6,137.85 96.2,137.85\"/>\n<polygon class=\"l69d20\" id=\"0x315ae60\" points=\"193.6,134.15 209,134.15 209,137.85 193.6,137.85\"/>\n<polygon class=\"l69d20\" id=\"0x315aed0\" points=\"291,134.15 306.4,134.15 306.4,137.85 291,137.85\"/>\n<polygon class=\"l69d20\" id=\"0x315af40\" points=\"388.4,134.15 403.8,134.15 403.8,137.85 388.4,137.85\"/>\n<polygon class=\"l69d20\" id=\"0x315afb0\" points=\"88.4,119.1 91,119.1 91,122.3 88.4,122.3\"/>\n<polygon class=\"l69d20\" id=\"0x315b020\" points=\"1,112.3 3.6,112.3 3.6,115.5 1,115.5\"/>\n<polygon class=\"l69d20\" id=\"0x315b090\" points=\"1.6,40 3,40 3,112.3 1.6,112.3\"/>\n<polygon class=\"l69d20\" id=\"0x315b100\" points=\"70.5,106.95 85.9,106.95 85.9,110.65 70.5,110.65\"/>\n<polygon class=\"l69d20\" id=\"0x315b170\" points=\"0.9,0 3.7,0 3.7,40 0.9,40\"/>\n<polygon class=\"l69d44\" id=\"0x315b1e0\" points=\"71.2,379.8 73.2,379.8 73.2,381.8 71.2,381.8\"/>\n<polygon class=\"l69d44\" id=\"0x315b250\" points=\"75.2,379.8 77.2,379.8 77.2,381.8 75.2,381.8\"/>\n<polygon class=\"l69d44\" id=\"0x315b2c0\" points=\"79.2,379.8 81.2,379.8 81.2,381.8 79.2,381.8\"/>\n<polygon class=\"l69d44\" id=\"0x315b330\" points=\"83.2,379.8 85.2,379.8 85.2,381.8 83.2,381.8\"/>\n<polygon class=\"l69d44\" id=\"0x315b3a0\" points=\"96.9,352.6 98.9,352.6 98.9,354.6 96.9,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b410\" points=\"100.9,352.6 102.9,352.6 102.9,354.6 100.9,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b480\" points=\"104.9,352.6 106.9,352.6 106.9,354.6 104.9,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b4f0\" points=\"108.9,352.6 110.9,352.6 110.9,354.6 108.9,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b560\" points=\"194.3,352.6 196.3,352.6 196.3,354.6 194.3,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b5d0\" points=\"198.3,352.6 200.3,352.6 200.3,354.6 198.3,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b640\" points=\"202.3,352.6 204.3,352.6 204.3,354.6 202.3,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b6b0\" points=\"206.3,352.6 208.3,352.6 208.3,354.6 206.3,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b720\" points=\"291.7,352.6 293.7,352.6 293.7,354.6 291.7,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b790\" points=\"295.7,352.6 297.7,352.6 297.7,354.6 295.7,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b800\" points=\"299.7,352.6 301.7,352.6 301.7,354.6 299.7,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b870\" points=\"303.7,352.6 305.7,352.6 305.7,354.6 303.7,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b8e0\" points=\"389.1,352.6 391.1,352.6 391.1,354.6 389.1,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b950\" points=\"393.1,352.6 395.1,352.6 395.1,354.6 393.1,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315b9c0\" points=\"397.1,352.6 399.1,352.6 399.1,354.6 397.1,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315ba30\" points=\"401.1,352.6 403.1,352.6 403.1,354.6 401.1,354.6\"/>\n<polygon class=\"l69d44\" id=\"0x315baa0\" points=\"71.2,325.4 73.2,325.4 73.2,327.4 71.2,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x315bb10\" points=\"75.2,325.4 77.2,325.4 77.2,327.4 75.2,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x315bb80\" points=\"79.2,325.4 81.2,325.4 81.2,327.4 79.2,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x315bbf0\" points=\"83.2,325.4 85.2,325.4 85.2,327.4 83.2,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x315bc60\" points=\"145.6,325.4 147.6,325.4 147.6,327.4 145.6,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x315bcd0\" points=\"149.6,325.4 151.6,325.4 151.6,327.4 149.6,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x315bd40\" points=\"153.6,325.4 155.6,325.4 155.6,327.4 153.6,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x315bdb0\" points=\"157.6,325.4 159.6,325.4 159.6,327.4 157.6,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x315be20\" points=\"243,325.4 245,325.4 245,327.4 243,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x315be90\" points=\"247,325.4 249,325.4 249,327.4 247,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x315bf00\" points=\"251,325.4 253,325.4 253,327.4 251,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x315bf70\" points=\"255,325.4 257,325.4 257,327.4 255,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x3160000\" points=\"340.4,325.4 342.4,325.4 342.4,327.4 340.4,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x3160070\" points=\"344.4,325.4 346.4,325.4 346.4,327.4 344.4,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x31600e0\" points=\"348.4,325.4 350.4,325.4 350.4,327.4 348.4,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x3160150\" points=\"352.4,325.4 354.4,325.4 354.4,327.4 352.4,327.4\"/>\n<polygon class=\"l69d44\" id=\"0x31601c0\" points=\"96.9,298.2 98.9,298.2 98.9,300.2 96.9,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x3160230\" points=\"100.9,298.2 102.9,298.2 102.9,300.2 100.9,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x31602a0\" points=\"104.9,298.2 106.9,298.2 106.9,300.2 104.9,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x3160310\" points=\"108.9,298.2 110.9,298.2 110.9,300.2 108.9,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x3160380\" points=\"194.3,298.2 196.3,298.2 196.3,300.2 194.3,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x31603f0\" points=\"198.3,298.2 200.3,298.2 200.3,300.2 198.3,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x3160460\" points=\"202.3,298.2 204.3,298.2 204.3,300.2 202.3,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x31604d0\" points=\"206.3,298.2 208.3,298.2 208.3,300.2 206.3,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x3160540\" points=\"291.7,298.2 293.7,298.2 293.7,300.2 291.7,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x31605b0\" points=\"295.7,298.2 297.7,298.2 297.7,300.2 295.7,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x3160620\" points=\"299.7,298.2 301.7,298.2 301.7,300.2 299.7,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x3160690\" points=\"303.7,298.2 305.7,298.2 305.7,300.2 303.7,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x3160700\" points=\"389.1,298.2 391.1,298.2 391.1,300.2 389.1,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x3160770\" points=\"393.1,298.2 395.1,298.2 395.1,300.2 393.1,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x31607e0\" points=\"397.1,298.2 399.1,298.2 399.1,300.2 397.1,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x3160850\" points=\"401.1,298.2 403.1,298.2 403.1,300.2 401.1,300.2\"/>\n<polygon class=\"l69d44\" id=\"0x31608c0\" points=\"145.6,271 147.6,271 147.6,273 145.6,273\"/>\n<polygon class=\"l69d44\" id=\"0x3160930\" points=\"149.6,271 151.6,271 151.6,273 149.6,273\"/>\n<polygon class=\"l69d44\" id=\"0x31609a0\" points=\"153.6,271 155.6,271 155.6,273 153.6,273\"/>\n<polygon class=\"l69d44\" id=\"0x3160a10\" points=\"157.6,271 159.6,271 159.6,273 157.6,273\"/>\n<polygon class=\"l69d44\" id=\"0x3160a80\" points=\"243,271 245,271 245,273 243,273\"/>\n<polygon class=\"l69d44\" id=\"0x3160af0\" points=\"247,271 249,271 249,273 247,273\"/>\n<polygon class=\"l69d44\" id=\"0x3160b60\" points=\"251,271 253,271 253,273 251,273\"/>\n<polygon class=\"l69d44\" id=\"0x3160bd0\" points=\"255,271 257,271 257,273 255,273\"/>\n<polygon class=\"l69d44\" id=\"0x3160c40\" points=\"340.4,271 342.4,271 342.4,273 340.4,273\"/>\n<polygon class=\"l69d44\" id=\"0x3160cb0\" points=\"344.4,271 346.4,271 346.4,273 344.4,273\"/>\n<polygon class=\"l69d44\" id=\"0x3160d20\" points=\"348.4,271 350.4,271 350.4,273 348.4,273\"/>\n<polygon class=\"l69d44\" id=\"0x3160d90\" points=\"352.4,271 354.4,271 354.4,273 352.4,273\"/>\n<polygon class=\"l69d44\" id=\"0x3160e00\" points=\"96.9,243.8 98.9,243.8 98.9,245.8 96.9,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x3160e70\" points=\"100.9,243.8 102.9,243.8 102.9,245.8 100.9,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x3160ee0\" points=\"104.9,243.8 106.9,243.8 106.9,245.8 104.9,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x3160f50\" points=\"108.9,243.8 110.9,243.8 110.9,245.8 108.9,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x3160fc0\" points=\"194.3,243.8 196.3,243.8 196.3,245.8 194.3,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x3161030\" points=\"198.3,243.8 200.3,243.8 200.3,245.8 198.3,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x31610a0\" points=\"202.3,243.8 204.3,243.8 204.3,245.8 202.3,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x3161110\" points=\"206.3,243.8 208.3,243.8 208.3,245.8 206.3,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x3161180\" points=\"291.7,243.8 293.7,243.8 293.7,245.8 291.7,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x31611f0\" points=\"295.7,243.8 297.7,243.8 297.7,245.8 295.7,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x3161260\" points=\"299.7,243.8 301.7,243.8 301.7,245.8 299.7,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x31612d0\" points=\"303.7,243.8 305.7,243.8 305.7,245.8 303.7,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x3161340\" points=\"389.1,243.8 391.1,243.8 391.1,245.8 389.1,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x31613b0\" points=\"393.1,243.8 395.1,243.8 395.1,245.8 393.1,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x3161420\" points=\"397.1,243.8 399.1,243.8 399.1,245.8 397.1,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x3161490\" points=\"401.1,243.8 403.1,243.8 403.1,245.8 401.1,245.8\"/>\n<polygon class=\"l69d44\" id=\"0x3161500\" points=\"145.6,216.6 147.6,216.6 147.6,218.6 145.6,218.6\"/>\n<polygon class=\"l69d44\" id=\"0x3161570\" points=\"149.6,216.6 151.6,216.6 151.6,218.6 149.6,218.6\"/>\n<polygon class=\"l69d44\" id=\"0x31615e0\" points=\"153.6,216.6 155.6,216.6 155.6,218.6 153.6,218.6\"/>\n<polygon class=\"l69d44\" id=\"0x3161650\" points=\"157.6,216.6 159.6,216.6 159.6,218.6 157.6,218.6\"/>\n<polygon class=\"l69d44\" id=\"0x31616c0\" points=\"243,216.6 245,216.6 245,218.6 243,218.6\"/>\n<polygon class=\"l69d44\" id=\"0x3161730\" points=\"247,216.6 249,216.6 249,218.6 247,218.6\"/>\n<polygon class=\"l69d44\" id=\"0x31617a0\" points=\"251,216.6 253,216.6 253,218.6 251,218.6\"/>\n<polygon class=\"l69d44\" id=\"0x3161810\" points=\"255,216.6 257,216.6 257,218.6 255,218.6\"/>\n<polygon class=\"l69d44\" id=\"0x3161880\" points=\"340.4,216.6 342.4,216.6 342.4,218.6 340.4,218.6\"/>\n<polygon class=\"l69d44\" id=\"0x31618f0\" points=\"344.4,216.6 346.4,216.6 346.4,218.6 344.4,218.6\"/>\n<polygon class=\"l69d44\" id=\"0x3161960\" points=\"348.4,216.6 350.4,216.6 350.4,218.6 348.4,218.6\"/>\n<polygon class=\"l69d44\" id=\"0x31619d0\" points=\"352.4,216.6 354.4,216.6 354.4,218.6 352.4,218.6\"/>\n<polygon class=\"l69d44\" id=\"0x3161a40\" points=\"96.9,189.4 98.9,189.4 98.9,191.4 96.9,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3161ab0\" points=\"100.9,189.4 102.9,189.4 102.9,191.4 100.9,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3161b20\" points=\"104.9,189.4 106.9,189.4 106.9,191.4 104.9,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3161b90\" points=\"108.9,189.4 110.9,189.4 110.9,191.4 108.9,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3161c00\" points=\"194.3,189.4 196.3,189.4 196.3,191.4 194.3,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3161c70\" points=\"198.3,189.4 200.3,189.4 200.3,191.4 198.3,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3161ce0\" points=\"202.3,189.4 204.3,189.4 204.3,191.4 202.3,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3161d50\" points=\"206.3,189.4 208.3,189.4 208.3,191.4 206.3,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3161dc0\" points=\"291.7,189.4 293.7,189.4 293.7,191.4 291.7,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3161e30\" points=\"295.7,189.4 297.7,189.4 297.7,191.4 295.7,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3161ea0\" points=\"299.7,189.4 301.7,189.4 301.7,191.4 299.7,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3161f10\" points=\"303.7,189.4 305.7,189.4 305.7,191.4 303.7,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3161f80\" points=\"389.1,189.4 391.1,189.4 391.1,191.4 389.1,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3161ff0\" points=\"393.1,189.4 395.1,189.4 395.1,191.4 393.1,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3162060\" points=\"397.1,189.4 399.1,189.4 399.1,191.4 397.1,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x31620d0\" points=\"401.1,189.4 403.1,189.4 403.1,191.4 401.1,191.4\"/>\n<polygon class=\"l69d44\" id=\"0x3162140\" points=\"71.2,162.2 73.2,162.2 73.2,164.2 71.2,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x31621b0\" points=\"75.2,162.2 77.2,162.2 77.2,164.2 75.2,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x3162220\" points=\"79.2,162.2 81.2,162.2 81.2,164.2 79.2,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x3162290\" points=\"83.2,162.2 85.2,162.2 85.2,164.2 83.2,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x3162300\" points=\"145.6,162.2 147.6,162.2 147.6,164.2 145.6,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x3162370\" points=\"149.6,162.2 151.6,162.2 151.6,164.2 149.6,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x31623e0\" points=\"153.6,162.2 155.6,162.2 155.6,164.2 153.6,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x3162450\" points=\"157.6,162.2 159.6,162.2 159.6,164.2 157.6,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x31624c0\" points=\"243,162.2 245,162.2 245,164.2 243,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x3162530\" points=\"247,162.2 249,162.2 249,164.2 247,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x31625a0\" points=\"251,162.2 253,162.2 253,164.2 251,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x3162610\" points=\"255,162.2 257,162.2 257,164.2 255,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x3162680\" points=\"340.4,162.2 342.4,162.2 342.4,164.2 340.4,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x31626f0\" points=\"344.4,162.2 346.4,162.2 346.4,164.2 344.4,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x3162760\" points=\"348.4,162.2 350.4,162.2 350.4,164.2 348.4,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x31627d0\" points=\"352.4,162.2 354.4,162.2 354.4,164.2 352.4,164.2\"/>\n<polygon class=\"l69d44\" id=\"0x3162840\" points=\"96.9,135 98.9,135 98.9,137 96.9,137\"/>\n<polygon class=\"l69d44\" id=\"0x31628b0\" points=\"100.9,135 102.9,135 102.9,137 100.9,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162920\" points=\"104.9,135 106.9,135 106.9,137 104.9,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162990\" points=\"108.9,135 110.9,135 110.9,137 108.9,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162a00\" points=\"194.3,135 196.3,135 196.3,137 194.3,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162a70\" points=\"198.3,135 200.3,135 200.3,137 198.3,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162ae0\" points=\"202.3,135 204.3,135 204.3,137 202.3,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162b50\" points=\"206.3,135 208.3,135 208.3,137 206.3,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162bc0\" points=\"291.7,135 293.7,135 293.7,137 291.7,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162c30\" points=\"295.7,135 297.7,135 297.7,137 295.7,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162ca0\" points=\"299.7,135 301.7,135 301.7,137 299.7,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162d10\" points=\"303.7,135 305.7,135 305.7,137 303.7,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162d80\" points=\"389.1,135 391.1,135 391.1,137 389.1,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162df0\" points=\"393.1,135 395.1,135 395.1,137 393.1,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162e60\" points=\"397.1,135 399.1,135 399.1,137 397.1,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162ed0\" points=\"401.1,135 403.1,135 403.1,137 401.1,137\"/>\n<polygon class=\"l69d44\" id=\"0x3162f40\" points=\"71.2,107.8 73.2,107.8 73.2,109.8 71.2,109.8\"/>\n<polygon class=\"l69d44\" id=\"0x3162fb0\" points=\"75.2,107.8 77.2,107.8 77.2,109.8 75.2,109.8\"/>\n<polygon class=\"l69d44\" id=\"0x3163020\" points=\"79.2,107.8 81.2,107.8 81.2,109.8 79.2,109.8\"/>\n<polygon class=\"l69d44\" id=\"0x3163090\" points=\"83.2,107.8 85.2,107.8 85.2,109.8 83.2,109.8\"/>\n<polygon class=\"l70d20\" id=\"0x3163100\" points=\"70.3,379.15 86.1,379.15 86.1,382.45 70.3,382.45\"/>\n<polygon class=\"l70d20\" id=\"0x3163170\" points=\"96,351.95 111.8,351.95 111.8,355.25 96,355.25\"/>\n<polygon class=\"l70d20\" id=\"0x31631e0\" points=\"193.4,351.95 209.2,351.95 209.2,355.25 193.4,355.25\"/>\n<polygon class=\"l70d20\" id=\"0x3163250\" points=\"290.8,351.95 306.6,351.95 306.6,355.25 290.8,355.25\"/>\n<polygon class=\"l70d20\" id=\"0x31632c0\" points=\"388.2,351.95 404,351.95 404,355.25 388.2,355.25\"/>\n<polygon class=\"l70d20\" id=\"0x3163330\" points=\"70.3,324.75 86.1,324.75 86.1,328.05 70.3,328.05\"/>\n<polygon class=\"l70d20\" id=\"0x31633a0\" points=\"144.7,324.75 160.5,324.75 160.5,328.05 144.7,328.05\"/>\n<polygon class=\"l70d20\" id=\"0x3163410\" points=\"242.1,324.75 257.9,324.75 257.9,328.05 242.1,328.05\"/>\n<polygon class=\"l70d20\" id=\"0x3163480\" points=\"339.5,324.75 355.3,324.75 355.3,328.05 339.5,328.05\"/>\n<polygon class=\"l70d20\" id=\"0x31634f0\" points=\"96,297.55 111.8,297.55 111.8,300.85 96,300.85\"/>\n<polygon class=\"l70d20\" id=\"0x3163560\" points=\"193.4,297.55 209.2,297.55 209.2,300.85 193.4,300.85\"/>\n<polygon class=\"l70d20\" id=\"0x31635d0\" points=\"290.8,297.55 306.6,297.55 306.6,300.85 290.8,300.85\"/>\n<polygon class=\"l70d20\" id=\"0x3163640\" points=\"388.2,297.55 404,297.55 404,300.85 388.2,300.85\"/>\n<polygon class=\"l70d20\" id=\"0x31636b0\" points=\"144.7,270.35 160.5,270.35 160.5,273.65 144.7,273.65\"/>\n<polygon class=\"l70d20\" id=\"0x3163720\" points=\"242.1,270.35 257.9,270.35 257.9,273.65 242.1,273.65\"/>\n<polygon class=\"l70d20\" id=\"0x3163790\" points=\"339.5,270.35 355.3,270.35 355.3,273.65 339.5,273.65\"/>\n<polygon class=\"l70d20\" id=\"0x3163800\" points=\"96,243.15 111.8,243.15 111.8,246.45 96,246.45\"/>\n<polygon class=\"l70d20\" id=\"0x3163870\" points=\"193.4,243.15 209.2,243.15 209.2,246.45 193.4,246.45\"/>\n<polygon class=\"l70d20\" id=\"0x31638e0\" points=\"290.8,243.15 306.6,243.15 306.6,246.45 290.8,246.45\"/>\n<polygon class=\"l70d20\" id=\"0x3163950\" points=\"388.2,243.15 404,243.15 404,246.45 388.2,246.45\"/>\n<polygon class=\"l70d20\" id=\"0x31639c0\" points=\"144.7,215.95 160.5,215.95 160.5,219.25 144.7,219.25\"/>\n<polygon class=\"l70d20\" id=\"0x3163a30\" points=\"242.1,215.95 257.9,215.95 257.9,219.25 242.1,219.25\"/>\n<polygon class=\"l70d20\" id=\"0x3163aa0\" points=\"339.5,215.95 355.3,215.95 355.3,219.25 339.5,219.25\"/>\n<polygon class=\"l70d20\" id=\"0x3163b10\" points=\"96,188.75 111.8,188.75 111.8,192.05 96,192.05\"/>\n<polygon class=\"l70d20\" id=\"0x3163b80\" points=\"193.4,188.75 209.2,188.75 209.2,192.05 193.4,192.05\"/>\n<polygon class=\"l70d20\" id=\"0x3163bf0\" points=\"290.8,188.75 306.6,188.75 306.6,192.05 290.8,192.05\"/>\n<polygon class=\"l70d20\" id=\"0x3163c60\" points=\"388.2,188.75 404,188.75 404,192.05 388.2,192.05\"/>\n<polygon class=\"l70d20\" id=\"0x3163cd0\" points=\"70.3,161.55 86.1,161.55 86.1,164.85 70.3,164.85\"/>\n<polygon class=\"l70d20\" id=\"0x3163d40\" points=\"144.7,161.55 160.5,161.55 160.5,164.85 144.7,164.85\"/>\n<polygon class=\"l70d20\" id=\"0x3163db0\" points=\"242.1,161.55 257.9,161.55 257.9,164.85 242.1,164.85\"/>\n<polygon class=\"l70d20\" id=\"0x3163e20\" points=\"339.5,161.55 355.3,161.55 355.3,164.85 339.5,164.85\"/>\n<polygon class=\"l70d20\" id=\"0x3163e90\" points=\"96,134.35 111.8,134.35 111.8,137.65 96,137.65\"/>\n<polygon class=\"l70d20\" id=\"0x3163f00\" points=\"193.4,134.35 209.2,134.35 209.2,137.65 193.4,137.65\"/>\n<polygon class=\"l70d20\" id=\"0x3163f70\" points=\"290.8,134.35 306.6,134.35 306.6,137.65 290.8,137.65\"/>\n<polygon class=\"l70d20\" id=\"0x3168000\" points=\"388.2,134.35 404,134.35 404,137.65 388.2,137.65\"/>\n<polygon class=\"l70d20\" id=\"0x3168070\" points=\"70.3,107.15 86.1,107.15 86.1,110.45 70.3,110.45\"/>\n<polygon class=\"l70d44\" id=\"0x31680e0\" points=\"71.2,379.8 73.2,379.8 73.2,381.8 71.2,381.8\"/>\n<polygon class=\"l70d44\" id=\"0x3168150\" points=\"75.2,379.8 77.2,379.8 77.2,381.8 75.2,381.8\"/>\n<polygon class=\"l70d44\" id=\"0x31681c0\" points=\"79.2,379.8 81.2,379.8 81.2,381.8 79.2,381.8\"/>\n<polygon class=\"l70d44\" id=\"0x3168230\" points=\"83.2,379.8 85.2,379.8 85.2,381.8 83.2,381.8\"/>\n<polygon class=\"l70d44\" id=\"0x31682a0\" points=\"96.9,352.6 98.9,352.6 98.9,354.6 96.9,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x3168310\" points=\"100.9,352.6 102.9,352.6 102.9,354.6 100.9,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x3168380\" points=\"104.9,352.6 106.9,352.6 106.9,354.6 104.9,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x31683f0\" points=\"108.9,352.6 110.9,352.6 110.9,354.6 108.9,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x3168460\" points=\"194.3,352.6 196.3,352.6 196.3,354.6 194.3,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x31684d0\" points=\"198.3,352.6 200.3,352.6 200.3,354.6 198.3,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x3168540\" points=\"202.3,352.6 204.3,352.6 204.3,354.6 202.3,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x31685b0\" points=\"206.3,352.6 208.3,352.6 208.3,354.6 206.3,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x3168620\" points=\"291.7,352.6 293.7,352.6 293.7,354.6 291.7,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x3168690\" points=\"295.7,352.6 297.7,352.6 297.7,354.6 295.7,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x3168700\" points=\"299.7,352.6 301.7,352.6 301.7,354.6 299.7,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x3168770\" points=\"303.7,352.6 305.7,352.6 305.7,354.6 303.7,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x31687e0\" points=\"389.1,352.6 391.1,352.6 391.1,354.6 389.1,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x3168850\" points=\"393.1,352.6 395.1,352.6 395.1,354.6 393.1,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x31688c0\" points=\"397.1,352.6 399.1,352.6 399.1,354.6 397.1,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x3168930\" points=\"401.1,352.6 403.1,352.6 403.1,354.6 401.1,354.6\"/>\n<polygon class=\"l70d44\" id=\"0x31689a0\" points=\"71.2,325.4 73.2,325.4 73.2,327.4 71.2,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168a10\" points=\"75.2,325.4 77.2,325.4 77.2,327.4 75.2,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168a80\" points=\"79.2,325.4 81.2,325.4 81.2,327.4 79.2,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168af0\" points=\"83.2,325.4 85.2,325.4 85.2,327.4 83.2,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168b60\" points=\"145.6,325.4 147.6,325.4 147.6,327.4 145.6,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168bd0\" points=\"149.6,325.4 151.6,325.4 151.6,327.4 149.6,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168c40\" points=\"153.6,325.4 155.6,325.4 155.6,327.4 153.6,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168cb0\" points=\"157.6,325.4 159.6,325.4 159.6,327.4 157.6,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168d20\" points=\"243,325.4 245,325.4 245,327.4 243,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168d90\" points=\"247,325.4 249,325.4 249,327.4 247,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168e00\" points=\"251,325.4 253,325.4 253,327.4 251,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168e70\" points=\"255,325.4 257,325.4 257,327.4 255,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168ee0\" points=\"340.4,325.4 342.4,325.4 342.4,327.4 340.4,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168f50\" points=\"344.4,325.4 346.4,325.4 346.4,327.4 344.4,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3168fc0\" points=\"348.4,325.4 350.4,325.4 350.4,327.4 348.4,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x3169030\" points=\"352.4,325.4 354.4,325.4 354.4,327.4 352.4,327.4\"/>\n<polygon class=\"l70d44\" id=\"0x31690a0\" points=\"96.9,298.2 98.9,298.2 98.9,300.2 96.9,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x3169110\" points=\"100.9,298.2 102.9,298.2 102.9,300.2 100.9,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x3169180\" points=\"104.9,298.2 106.9,298.2 106.9,300.2 104.9,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x31691f0\" points=\"108.9,298.2 110.9,298.2 110.9,300.2 108.9,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x3169260\" points=\"194.3,298.2 196.3,298.2 196.3,300.2 194.3,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x31692d0\" points=\"198.3,298.2 200.3,298.2 200.3,300.2 198.3,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x3169340\" points=\"202.3,298.2 204.3,298.2 204.3,300.2 202.3,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x31693b0\" points=\"206.3,298.2 208.3,298.2 208.3,300.2 206.3,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x3169420\" points=\"291.7,298.2 293.7,298.2 293.7,300.2 291.7,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x3169490\" points=\"295.7,298.2 297.7,298.2 297.7,300.2 295.7,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x3169500\" points=\"299.7,298.2 301.7,298.2 301.7,300.2 299.7,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x3169570\" points=\"303.7,298.2 305.7,298.2 305.7,300.2 303.7,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x31695e0\" points=\"389.1,298.2 391.1,298.2 391.1,300.2 389.1,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x3169650\" points=\"393.1,298.2 395.1,298.2 395.1,300.2 393.1,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x31696c0\" points=\"397.1,298.2 399.1,298.2 399.1,300.2 397.1,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x3169730\" points=\"401.1,298.2 403.1,298.2 403.1,300.2 401.1,300.2\"/>\n<polygon class=\"l70d44\" id=\"0x31697a0\" points=\"145.6,271 147.6,271 147.6,273 145.6,273\"/>\n<polygon class=\"l70d44\" id=\"0x3169810\" points=\"149.6,271 151.6,271 151.6,273 149.6,273\"/>\n<polygon class=\"l70d44\" id=\"0x3169880\" points=\"153.6,271 155.6,271 155.6,273 153.6,273\"/>\n<polygon class=\"l70d44\" id=\"0x31698f0\" points=\"157.6,271 159.6,271 159.6,273 157.6,273\"/>\n<polygon class=\"l70d44\" id=\"0x3169960\" points=\"243,271 245,271 245,273 243,273\"/>\n<polygon class=\"l70d44\" id=\"0x31699d0\" points=\"247,271 249,271 249,273 247,273\"/>\n<polygon class=\"l70d44\" id=\"0x3169a40\" points=\"251,271 253,271 253,273 251,273\"/>\n<polygon class=\"l70d44\" id=\"0x3169ab0\" points=\"255,271 257,271 257,273 255,273\"/>\n<polygon class=\"l70d44\" id=\"0x3169b20\" points=\"340.4,271 342.4,271 342.4,273 340.4,273\"/>\n<polygon class=\"l70d44\" id=\"0x3169b90\" points=\"344.4,271 346.4,271 346.4,273 344.4,273\"/>\n<polygon class=\"l70d44\" id=\"0x3169c00\" points=\"348.4,271 350.4,271 350.4,273 348.4,273\"/>\n<polygon class=\"l70d44\" id=\"0x3169c70\" points=\"352.4,271 354.4,271 354.4,273 352.4,273\"/>\n<polygon class=\"l70d44\" id=\"0x3169ce0\" points=\"96.9,243.8 98.9,243.8 98.9,245.8 96.9,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x3169d50\" points=\"100.9,243.8 102.9,243.8 102.9,245.8 100.9,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x3169dc0\" points=\"104.9,243.8 106.9,243.8 106.9,245.8 104.9,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x3169e30\" points=\"108.9,243.8 110.9,243.8 110.9,245.8 108.9,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x3169ea0\" points=\"194.3,243.8 196.3,243.8 196.3,245.8 194.3,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x3169f10\" points=\"198.3,243.8 200.3,243.8 200.3,245.8 198.3,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x3169f80\" points=\"202.3,243.8 204.3,243.8 204.3,245.8 202.3,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x3169ff0\" points=\"206.3,243.8 208.3,243.8 208.3,245.8 206.3,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x316a060\" points=\"291.7,243.8 293.7,243.8 293.7,245.8 291.7,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x316a0d0\" points=\"295.7,243.8 297.7,243.8 297.7,245.8 295.7,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x316a140\" points=\"299.7,243.8 301.7,243.8 301.7,245.8 299.7,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x316a1b0\" points=\"303.7,243.8 305.7,243.8 305.7,245.8 303.7,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x316a220\" points=\"389.1,243.8 391.1,243.8 391.1,245.8 389.1,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x316a290\" points=\"393.1,243.8 395.1,243.8 395.1,245.8 393.1,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x316a300\" points=\"397.1,243.8 399.1,243.8 399.1,245.8 397.1,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x316a370\" points=\"401.1,243.8 403.1,243.8 403.1,245.8 401.1,245.8\"/>\n<polygon class=\"l70d44\" id=\"0x316a3e0\" points=\"145.6,216.6 147.6,216.6 147.6,218.6 145.6,218.6\"/>\n<polygon class=\"l70d44\" id=\"0x316a450\" points=\"149.6,216.6 151.6,216.6 151.6,218.6 149.6,218.6\"/>\n<polygon class=\"l70d44\" id=\"0x316a4c0\" points=\"153.6,216.6 155.6,216.6 155.6,218.6 153.6,218.6\"/>\n<polygon class=\"l70d44\" id=\"0x316a530\" points=\"157.6,216.6 159.6,216.6 159.6,218.6 157.6,218.6\"/>\n<polygon class=\"l70d44\" id=\"0x316a5a0\" points=\"243,216.6 245,216.6 245,218.6 243,218.6\"/>\n<polygon class=\"l70d44\" id=\"0x316a610\" points=\"247,216.6 249,216.6 249,218.6 247,218.6\"/>\n<polygon class=\"l70d44\" id=\"0x316a680\" points=\"251,216.6 253,216.6 253,218.6 251,218.6\"/>\n<polygon class=\"l70d44\" id=\"0x316a6f0\" points=\"255,216.6 257,216.6 257,218.6 255,218.6\"/>\n<polygon class=\"l70d44\" id=\"0x316a760\" points=\"340.4,216.6 342.4,216.6 342.4,218.6 340.4,218.6\"/>\n<polygon class=\"l70d44\" id=\"0x316a7d0\" points=\"344.4,216.6 346.4,216.6 346.4,218.6 344.4,218.6\"/>\n<polygon class=\"l70d44\" id=\"0x316a840\" points=\"348.4,216.6 350.4,216.6 350.4,218.6 348.4,218.6\"/>\n<polygon class=\"l70d44\" id=\"0x316a8b0\" points=\"352.4,216.6 354.4,216.6 354.4,218.6 352.4,218.6\"/>\n<polygon class=\"l70d44\" id=\"0x316a920\" points=\"96.9,189.4 98.9,189.4 98.9,191.4 96.9,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316a990\" points=\"100.9,189.4 102.9,189.4 102.9,191.4 100.9,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316aa00\" points=\"104.9,189.4 106.9,189.4 106.9,191.4 104.9,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316aa70\" points=\"108.9,189.4 110.9,189.4 110.9,191.4 108.9,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316aae0\" points=\"194.3,189.4 196.3,189.4 196.3,191.4 194.3,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316ab50\" points=\"198.3,189.4 200.3,189.4 200.3,191.4 198.3,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316abc0\" points=\"202.3,189.4 204.3,189.4 204.3,191.4 202.3,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316ac30\" points=\"206.3,189.4 208.3,189.4 208.3,191.4 206.3,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316aca0\" points=\"291.7,189.4 293.7,189.4 293.7,191.4 291.7,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316ad10\" points=\"295.7,189.4 297.7,189.4 297.7,191.4 295.7,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316ad80\" points=\"299.7,189.4 301.7,189.4 301.7,191.4 299.7,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316adf0\" points=\"303.7,189.4 305.7,189.4 305.7,191.4 303.7,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316ae60\" points=\"389.1,189.4 391.1,189.4 391.1,191.4 389.1,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316aed0\" points=\"393.1,189.4 395.1,189.4 395.1,191.4 393.1,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316af40\" points=\"397.1,189.4 399.1,189.4 399.1,191.4 397.1,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316afb0\" points=\"401.1,189.4 403.1,189.4 403.1,191.4 401.1,191.4\"/>\n<polygon class=\"l70d44\" id=\"0x316b020\" points=\"71.2,162.2 73.2,162.2 73.2,164.2 71.2,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b090\" points=\"75.2,162.2 77.2,162.2 77.2,164.2 75.2,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b100\" points=\"79.2,162.2 81.2,162.2 81.2,164.2 79.2,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b170\" points=\"83.2,162.2 85.2,162.2 85.2,164.2 83.2,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b1e0\" points=\"145.6,162.2 147.6,162.2 147.6,164.2 145.6,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b250\" points=\"149.6,162.2 151.6,162.2 151.6,164.2 149.6,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b2c0\" points=\"153.6,162.2 155.6,162.2 155.6,164.2 153.6,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b330\" points=\"157.6,162.2 159.6,162.2 159.6,164.2 157.6,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b3a0\" points=\"243,162.2 245,162.2 245,164.2 243,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b410\" points=\"247,162.2 249,162.2 249,164.2 247,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b480\" points=\"251,162.2 253,162.2 253,164.2 251,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b4f0\" points=\"255,162.2 257,162.2 257,164.2 255,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b560\" points=\"340.4,162.2 342.4,162.2 342.4,164.2 340.4,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b5d0\" points=\"344.4,162.2 346.4,162.2 346.4,164.2 344.4,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b640\" points=\"348.4,162.2 350.4,162.2 350.4,164.2 348.4,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b6b0\" points=\"352.4,162.2 354.4,162.2 354.4,164.2 352.4,164.2\"/>\n<polygon class=\"l70d44\" id=\"0x316b720\" points=\"96.9,135 98.9,135 98.9,137 96.9,137\"/>\n<polygon class=\"l70d44\" id=\"0x316b790\" points=\"100.9,135 102.9,135 102.9,137 100.9,137\"/>\n<polygon class=\"l70d44\" id=\"0x316b800\" points=\"104.9,135 106.9,135 106.9,137 104.9,137\"/>\n<polygon class=\"l70d44\" id=\"0x316b870\" points=\"108.9,135 110.9,135 110.9,137 108.9,137\"/>\n<polygon class=\"l70d44\" id=\"0x316b8e0\" points=\"194.3,135 196.3,135 196.3,137 194.3,137\"/>\n<polygon class=\"l70d44\" id=\"0x316b950\" points=\"198.3,135 200.3,135 200.3,137 198.3,137\"/>\n<polygon class=\"l70d44\" id=\"0x316b9c0\" points=\"202.3,135 204.3,135 204.3,137 202.3,137\"/>\n<polygon class=\"l70d44\" id=\"0x316ba30\" points=\"206.3,135 208.3,135 208.3,137 206.3,137\"/>\n<polygon class=\"l70d44\" id=\"0x316baa0\" points=\"291.7,135 293.7,135 293.7,137 291.7,137\"/>\n<polygon class=\"l70d44\" id=\"0x316bb10\" points=\"295.7,135 297.7,135 297.7,137 295.7,137\"/>\n<polygon class=\"l70d44\" id=\"0x316bb80\" points=\"299.7,135 301.7,135 301.7,137 299.7,137\"/>\n<polygon class=\"l70d44\" id=\"0x316bbf0\" points=\"303.7,135 305.7,135 305.7,137 303.7,137\"/>\n<polygon class=\"l70d44\" id=\"0x316bc60\" points=\"389.1,135 391.1,135 391.1,137 389.1,137\"/>\n<polygon class=\"l70d44\" id=\"0x316bcd0\" points=\"393.1,135 395.1,135 395.1,137 393.1,137\"/>\n<polygon class=\"l70d44\" id=\"0x316bd40\" points=\"397.1,135 399.1,135 399.1,137 397.1,137\"/>\n<polygon class=\"l70d44\" id=\"0x316bdb0\" points=\"401.1,135 403.1,135 403.1,137 401.1,137\"/>\n<polygon class=\"l70d44\" id=\"0x316be20\" points=\"71.2,107.8 73.2,107.8 73.2,109.8 71.2,109.8\"/>\n<polygon class=\"l70d44\" id=\"0x316be90\" points=\"75.2,107.8 77.2,107.8 77.2,109.8 75.2,109.8\"/>\n<polygon class=\"l70d44\" id=\"0x316bf00\" points=\"79.2,107.8 81.2,107.8 81.2,109.8 79.2,109.8\"/>\n<polygon class=\"l70d44\" id=\"0x316bf70\" points=\"83.2,107.8 85.2,107.8 85.2,109.8 83.2,109.8\"/>\n<polygon class=\"l71d20\" id=\"0x316c000\" points=\"70.2,312.6 86.2,312.6 86.2,383.2 70.2,383.2\"/>\n<polygon class=\"l71d20\" id=\"0x316c070\" points=\"70.2,106.4 86.2,106.4 86.2,165.6 70.2,165.6\"/>\n<polygon class=\"l71d20\" id=\"0x316c0e0\" points=\"95.9,108.8 111.9,108.8 111.9,380.8 95.9,380.8\"/>\n<polygon class=\"l71d20\" id=\"0x316c150\" points=\"144.6,108.8 160.6,108.8 160.6,380.8 144.6,380.8\"/>\n<polygon class=\"l71d20\" id=\"0x316c1c0\" points=\"193.3,108.8 209.3,108.8 209.3,380.8 193.3,380.8\"/>\n<polygon class=\"l71d20\" id=\"0x316c230\" points=\"242,108.8 258,108.8 258,380.8 242,380.8\"/>\n<polygon class=\"l71d20\" id=\"0x316c2a0\" points=\"290.7,108.8 306.7,108.8 306.7,380.8 290.7,380.8\"/>\n<polygon class=\"l71d20\" id=\"0x316c310\" points=\"339.4,108.8 355.4,108.8 355.4,380.8 339.4,380.8\"/>\n<polygon class=\"l71d20\" id=\"0x316c380\" points=\"388.1,108.8 404.1,108.8 404.1,380.8 388.1,380.8\"/>\n<polygon class=\"l71d44\" id=\"0x316c3f0\" points=\"99.9,351.9 107.9,351.9 107.9,359.9 99.9,359.9\"/>\n<polygon class=\"l71d44\" id=\"0x316c460\" points=\"197.3,351.9 205.3,351.9 205.3,359.9 197.3,359.9\"/>\n<polygon class=\"l71d44\" id=\"0x316c4d0\" points=\"294.7,351.9 302.7,351.9 302.7,359.9 294.7,359.9\"/>\n<polygon class=\"l71d44\" id=\"0x316c540\" points=\"392.1,351.9 400.1,351.9 400.1,359.9 392.1,359.9\"/>\n<polygon class=\"l71d44\" id=\"0x316c5b0\" points=\"74.2,316.6 82.2,316.6 82.2,324.6 74.2,324.6\"/>\n<polygon class=\"l71d44\" id=\"0x316c620\" points=\"148.6,316.6 156.6,316.6 156.6,324.6 148.6,324.6\"/>\n<polygon class=\"l71d44\" id=\"0x316c690\" points=\"246,316.6 254,316.6 254,324.6 246,324.6\"/>\n<polygon class=\"l71d44\" id=\"0x316c700\" points=\"343.4,316.6 351.4,316.6 351.4,324.6 343.4,324.6\"/>\n<polygon class=\"l71d44\" id=\"0x316c770\" points=\"99.9,281.3 107.9,281.3 107.9,289.3 99.9,289.3\"/>\n<polygon class=\"l71d44\" id=\"0x316c7e0\" points=\"197.3,281.3 205.3,281.3 205.3,289.3 197.3,289.3\"/>\n<polygon class=\"l71d44\" id=\"0x316c850\" points=\"294.7,281.3 302.7,281.3 302.7,289.3 294.7,289.3\"/>\n<polygon class=\"l71d44\" id=\"0x316c8c0\" points=\"392.1,281.3 400.1,281.3 400.1,289.3 392.1,289.3\"/>\n<polygon class=\"l71d44\" id=\"0x316c930\" points=\"148.6,246 156.6,246 156.6,254 148.6,254\"/>\n<polygon class=\"l71d44\" id=\"0x316c9a0\" points=\"246,246 254,246 254,254 246,254\"/>\n<polygon class=\"l71d44\" id=\"0x316ca10\" points=\"343.4,246 351.4,246 351.4,254 343.4,254\"/>\n<polygon class=\"l71d44\" id=\"0x316ca80\" points=\"99.9,210.7 107.9,210.7 107.9,218.7 99.9,218.7\"/>\n<polygon class=\"l71d44\" id=\"0x316caf0\" points=\"197.3,210.7 205.3,210.7 205.3,218.7 197.3,218.7\"/>\n<polygon class=\"l71d44\" id=\"0x316cb60\" points=\"294.7,210.7 302.7,210.7 302.7,218.7 294.7,218.7\"/>\n<polygon class=\"l71d44\" id=\"0x316cbd0\" points=\"392.1,210.7 400.1,210.7 400.1,218.7 392.1,218.7\"/>\n<polygon class=\"l71d44\" id=\"0x316cc40\" points=\"148.6,175.4 156.6,175.4 156.6,183.4 148.6,183.4\"/>\n<polygon class=\"l71d44\" id=\"0x316ccb0\" points=\"246,175.4 254,175.4 254,183.4 246,183.4\"/>\n<polygon class=\"l71d44\" id=\"0x316cd20\" points=\"343.4,175.4 351.4,175.4 351.4,183.4 343.4,183.4\"/>\n<polygon class=\"l71d44\" id=\"0x316cd90\" points=\"99.9,140.1 107.9,140.1 107.9,148.1 99.9,148.1\"/>\n<polygon class=\"l71d44\" id=\"0x316ce00\" points=\"197.3,140.1 205.3,140.1 205.3,148.1 197.3,148.1\"/>\n<polygon class=\"l71d44\" id=\"0x316ce70\" points=\"294.7,140.1 302.7,140.1 302.7,148.1 294.7,148.1\"/>\n<polygon class=\"l71d44\" id=\"0x316cee0\" points=\"392.1,140.1 400.1,140.1 400.1,148.1 392.1,148.1\"/>\n<polygon class=\"l72d20\" id=\"0x316cf50\" points=\"55.2,347.9 441.6,347.9 441.6,363.9 55.2,363.9\"/>\n<polygon class=\"l72d20\" id=\"0x316cfc0\" points=\"55.2,312.6 441.6,312.6 441.6,328.6 55.2,328.6\"/>\n<polygon class=\"l72d20\" id=\"0x316d030\" points=\"55.2,277.3 441.6,277.3 441.6,293.3 55.2,293.3\"/>\n<polygon class=\"l72d20\" id=\"0x316d0a0\" points=\"55.2,242 441.6,242 441.6,258 55.2,258\"/>\n<polygon class=\"l72d20\" id=\"0x316d110\" points=\"55.2,206.7 441.6,206.7 441.6,222.7 55.2,222.7\"/>\n<polygon class=\"l72d20\" id=\"0x316d180\" points=\"55.2,171.4 441.6,171.4 441.6,187.4 55.2,187.4\"/>\n<polygon class=\"l72d20\" id=\"0x316d1f0\" points=\"55.2,136.1 441.6,136.1 441.6,152.1 55.2,152.1\"/>\n<polygon class=\"l71d16\" id=\"0x316d260\" points=\"144.6,108.8 160.6,108.8 160.6,380.8 144.6,380.8\"/>\n<polygon class=\"l71d16\" id=\"0x316d2d0\" points=\"242,108.8 258,108.8 258,380.8 242,380.8\"/>\n<polygon class=\"l71d16\" id=\"0x316d340\" points=\"339.4,108.8 355.4,108.8 355.4,380.8 339.4,380.8\"/>\n<polygon class=\"l72d16\" id=\"0x316d3b0\" points=\"55.2,171.4 441.6,171.4 441.6,187.4 55.2,187.4\"/>\n<polygon class=\"l72d16\" id=\"0x316d420\" points=\"55.2,242 441.6,242 441.6,258 55.2,258\"/>\n<polygon class=\"l72d16\" id=\"0x316d490\" points=\"55.2,312.6 441.6,312.6 441.6,328.6 55.2,328.6\"/>\n<polygon class=\"l71d16\" id=\"0x316d500\" points=\"70.2,106.4 86.2,106.4 86.2,165.6 70.2,165.6\"/>\n<polygon class=\"l71d16\" id=\"0x316d570\" points=\"70.2,312.6 86.2,312.6 86.2,383.2 70.2,383.2\"/>\n<polygon class=\"l71d16\" id=\"0x316d5e0\" points=\"95.9,108.8 111.9,108.8 111.9,380.8 95.9,380.8\"/>\n<polygon class=\"l71d16\" id=\"0x316d650\" points=\"193.3,108.8 209.3,108.8 209.3,380.8 193.3,380.8\"/>\n<polygon class=\"l71d16\" id=\"0x316d6c0\" points=\"290.7,108.8 306.7,108.8 306.7,380.8 290.7,380.8\"/>\n<polygon class=\"l71d16\" id=\"0x316d730\" points=\"388.1,108.8 404.1,108.8 404.1,380.8 388.1,380.8\"/>\n<polygon class=\"l72d16\" id=\"0x316d7a0\" points=\"55.2,136.1 441.6,136.1 441.6,152.1 55.2,152.1\"/>\n<polygon class=\"l72d16\" id=\"0x316d810\" points=\"55.2,206.7 441.6,206.7 441.6,222.7 55.2,222.7\"/>\n<polygon class=\"l72d16\" id=\"0x316d880\" points=\"55.2,277.3 441.6,277.3 441.6,293.3 55.2,293.3\"/>\n<polygon class=\"l72d16\" id=\"0x316d8f0\" points=\"55.2,347.9 441.6,347.9 441.6,363.9 55.2,363.9\"/>\n<polygon class=\"l69d16\" id=\"0x316d960\" points=\"483.9,460 486.7,460 486.7,500 483.9,500\"/>\n<polygon class=\"l69d16\" id=\"0x316d9d0\" points=\"0.9,0 3.7,0 3.7,40 0.9,40\"/>\n<use transform=\"translate(87.4 108.8)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(69 163.2) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(55.2 108.8)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(55.2 163.2) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(87.4 108.8) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__buf_2\"/>\n<use transform=\"translate(142.6 108.8)\" xlink:href=\"#sky130_fd_sc_hd__decap_8\"/>\n<use transform=\"translate(124.2 163.2) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(179.4 108.8)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n<use transform=\"translate(188.6 108.8)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(179.4 163.2) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(184 108.8)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n<use transform=\"translate(243.8 108.8)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(234.6 163.2) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(289.8 163.2) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n<use transform=\"translate(299 108.8)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(317.4 108.8)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(308.2 163.2) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n<use transform=\"translate(317.4 163.2) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(312.8 108.8)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n<use transform=\"translate(312.8 163.2) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n<use transform=\"translate(372.6 108.8)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(372.6 163.2) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(441.6 108.8) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(441.6 163.2) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(69 163.2)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(55.2 163.2)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(124.2 163.2)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(179.4 163.2)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n<use transform=\"translate(188.6 163.2)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(184 163.2)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n<use transform=\"translate(243.8 163.2)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(299 163.2)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(354.2 163.2)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(409.4 163.2)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n<use transform=\"translate(441.6 163.2) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(69 217.6) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(55.2 217.6) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(124.2 217.6) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(179.4 217.6) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(234.6 217.6) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(289.8 217.6) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n<use transform=\"translate(308.2 217.6) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n<use transform=\"translate(317.4 217.6) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(312.8 217.6) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n<use transform=\"translate(372.6 217.6) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(441.6 217.6) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(69 217.6)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(55.2 217.6)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(124.2 217.6)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(179.4 217.6)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n<use transform=\"translate(202.4 217.6)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(184 217.6)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n<use transform=\"translate(202.4 217.6) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__inv_2\"/>\n<use transform=\"translate(257.6 217.6)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(312.8 217.6)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(368 217.6)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(423.2 217.6)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n<use transform=\"translate(441.6 217.6) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(69 272) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(55.2 272) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(124.2 272) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(179.4 272) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(234.6 272) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(289.8 272) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n<use transform=\"translate(308.2 272) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n<use transform=\"translate(317.4 272) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(312.8 272) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n<use transform=\"translate(372.6 272) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(441.6 272) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(69 272)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(69 326.4) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(55.2 272)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(55.2 326.4) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(124.2 272)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(124.2 326.4) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(179.4 272)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n<use transform=\"translate(188.6 272)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(179.4 326.4) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(184 272)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n<use transform=\"translate(243.8 272)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(234.6 326.4) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(289.8 326.4) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n<use transform=\"translate(299 272)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(308.2 326.4) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n<use transform=\"translate(317.4 326.4) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(312.8 326.4) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n<use transform=\"translate(354.2 272)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(409.4 272)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n<use transform=\"translate(372.6 326.4) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(441.6 272) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(441.6 326.4) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(69 326.4)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(55.2 326.4)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(124.2 326.4)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(179.4 326.4)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n<use transform=\"translate(188.6 326.4)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(184 326.4)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n<use transform=\"translate(243.8 326.4)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(299 326.4)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(354.2 326.4)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(409.4 326.4)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n<use transform=\"translate(441.6 326.4) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(69 380.8) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(55.2 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(124.2 380.8) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(179.4 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n<use transform=\"translate(188.6 380.8) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(184 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n<use transform=\"translate(243.8 380.8) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(299 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<use transform=\"translate(317.4 380.8) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n<use transform=\"translate(312.8 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n<use transform=\"translate(372.6 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n<use transform=\"translate(391 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n<use transform=\"translate(409.4 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n<use transform=\"translate(395.6 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__clkbuf_1\"/>\n<use transform=\"translate(441.6 380.8) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n<text class=\"l71t16\" dominant-baseline=\"central\" id=\"0x1d798d0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(152.6 244.8) rotate(-90) scale(1.2)\">VGND</text>\n<text class=\"l71t16\" dominant-baseline=\"central\" id=\"0x1d79960\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(250 244.8) rotate(-90) scale(1.2)\">VGND</text>\n<text class=\"l71t16\" dominant-baseline=\"central\" id=\"0x1d799f0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(347.4 244.8) rotate(-90) scale(1.2)\">VGND</text>\n<text class=\"l72t16\" dominant-baseline=\"central\" id=\"0x1d79a80\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(248.4 179.4) scale(1.6)\">VGND</text>\n<text class=\"l72t16\" dominant-baseline=\"central\" id=\"0x1d79b10\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(248.4 250) scale(1.6)\">VGND</text>\n<text class=\"l72t16\" dominant-baseline=\"central\" id=\"0x1d79ba0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(248.4 320.6) scale(1.6)\">VGND</text>\n<text class=\"l71t16\" dominant-baseline=\"central\" id=\"0x1d79c30\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(78.2 136) rotate(-90) scale(1.2)\">VGND</text>\n<text class=\"l71t16\" dominant-baseline=\"central\" id=\"0x1d79cc0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(78.2 347.9) rotate(-90) scale(1.2)\">VGND</text>\n<text class=\"l71t16\" dominant-baseline=\"central\" id=\"0x1d79d50\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(103.9 244.8) rotate(-90) scale(1.2)\">VPWR</text>\n<text class=\"l71t16\" dominant-baseline=\"central\" id=\"0x1d79de0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(201.3 244.8) rotate(-90) scale(1.2)\">VPWR</text>\n<text class=\"l71t16\" dominant-baseline=\"central\" id=\"0x1d79e70\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(298.7 244.8) rotate(-90) scale(1.2)\">VPWR</text>\n<text class=\"l71t16\" dominant-baseline=\"central\" id=\"0x1d79f00\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(396.1 244.8) rotate(-90) scale(1.2)\">VPWR</text>\n<text class=\"l72t16\" dominant-baseline=\"central\" id=\"0x3174000\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(248.4 144.1) scale(1.6)\">VPWR</text>\n<text class=\"l72t16\" dominant-baseline=\"central\" id=\"0x3174090\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(248.4 214.7) scale(1.6)\">VPWR</text>\n<text class=\"l72t16\" dominant-baseline=\"central\" id=\"0x3174120\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(248.4 285.3) scale(1.6)\">VPWR</text>\n<text class=\"l72t16\" dominant-baseline=\"central\" id=\"0x31741b0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(248.4 355.9) scale(1.6)\">VPWR</text>\n<text class=\"l69t16\" dominant-baseline=\"central\" id=\"0x3174240\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(485.3 480) rotate(-90) scale(0.14)\">in</text>\n<text class=\"l69t16\" dominant-baseline=\"central\" id=\"0x31742d0\" text-anchor=\"middle\" transform=\"scale(1 -1) translate(2.3 20) rotate(-90) scale(0.14)\">out</text>\n</g>\n</svg>"
},
"metadata": {},
"execution_count": 8
}
]
},
{
"cell_type": "markdown",
"source": [
"## Dump flow report"
],
"metadata": {
"id": "NW_7YdgTZYQK"
}
},
{
"cell_type": "code",
"source": [
"import pandas as pd\n",
"import pathlib\n",
"\n",
"pd.options.display.max_rows = None\n",
"final_summary_reports = sorted(pathlib.Path('/content/runs').glob('*/reports/metrics.csv'))\n",
"df = pd.read_csv(final_summary_reports[-1])\n",
"df.transpose()"
],
"metadata": {
"colab": {
"base_uri": "https://localhost:8080/",
"height": 1000
},
"id": "OWAwQI3fZC4W",
"outputId": "21d3be34-2e9c-4703-e5f7-47af88ce7b73"
},
"execution_count": 9,
"outputs": [
{
"output_type": "execute_result",
"data": {
"text/plain": [
" 0\n",
"design /content\n",
"design_name inverter\n",
"config RUN_2022.05.12_15.12.11\n",
"flow_status flow completed\n",
"total_runtime 0h0m39s0ms\n",
"routed_runtime 0h0m24s0ms\n",
"(Cell/mm^2)/Core_Util -2.0\n",
"DIEAREA_mm^2 0.0025\n",
"CellPer_mm^2 -1\n",
"OpenDP_Util -1\n",
"Peak_Memory_Usage_MB 464.96\n",
"cell_count -1\n",
"tritonRoute_violations 0\n",
"Short_violations 0\n",
"MetSpc_violations 0\n",
"OffGrid_violations 0\n",
"MinHole_violations 0\n",
"Other_violations 0\n",
"Magic_violations 0\n",
"antenna_violations 0\n",
"lvs_total_errors 0\n",
"cvc_total_errors -1\n",
"klayout_violations -1\n",
"wire_length 86\n",
"vias 12\n",
"wns 0.0\n",
"pl_wns -1\n",
"optimized_wns 0.0\n",
"fastroute_wns 0.0\n",
"spef_wns -1\n",
"tns 0.0\n",
"pl_tns -1\n",
"optimized_tns 0.0\n",
"fastroute_tns 0.0\n",
"spef_tns -1\n",
"HPWL -1\n",
"routing_layer1_pct 0.0\n",
"routing_layer2_pct 1.07\n",
"routing_layer3_pct 1.3\n",
"routing_layer4_pct 0.0\n",
"routing_layer5_pct 0.0\n",
"routing_layer6_pct 0.0\n",
"wires_count 2\n",
"wire_bits 2\n",
"public_wires_count 2\n",
"public_wire_bits 2\n",
"memories_count 0\n",
"memory_bits 0\n",
"processes_count 0\n",
"cells_pre_abc 1\n",
"AND 0\n",
"DFF 0\n",
"NAND 0\n",
"NOR 0\n",
"OR 0\n",
"XOR 0\n",
"XNOR 0\n",
"MUX 0\n",
"inputs 1\n",
"outputs 1\n",
"level 1\n",
"EndCaps 20\n",
"TapCells 12\n",
"Diodes 0\n",
"Total_Physical_Cells 32\n",
"suggested_clock_period 11.0\n",
"suggested_clock_frequency 90.909091\n",
"CLOCK_PERIOD 10.0\n",
"SYNTH_STRATEGY AREA 0\n",
"SYNTH_MAX_FANOUT 5\n",
"FP_CORE_UTIL 50\n",
"FP_ASPECT_RATIO 1\n",
"FP_PDN_VPITCH 9.74\n",
"FP_PDN_HPITCH 7.06\n",
"PL_TARGET_DENSITY 0.8\n",
"GLB_RT_ADJUSTMENT 0.3\n",
"STD_CELL_LIBRARY sky130_fd_sc_hd\n",
"CELL_PAD 4\n",
"DIODE_INSERTION_STRATEGY 3"
],
"text/html": [
"\n",
" <div id=\"df-7f376004-7ff9-4f86-a903-bb849fdd4592\">\n",
" <div class=\"colab-df-container\">\n",
" <div>\n",
"<style scoped>\n",
" .dataframe tbody tr th:only-of-type {\n",
" vertical-align: middle;\n",
" }\n",
"\n",
" .dataframe tbody tr th {\n",
" vertical-align: top;\n",
" }\n",
"\n",
" .dataframe thead th {\n",
" text-align: right;\n",
" }\n",
"</style>\n",
"<table border=\"1\" class=\"dataframe\">\n",
" <thead>\n",
" <tr style=\"text-align: right;\">\n",
" <th></th>\n",
" <th>0</th>\n",
" </tr>\n",
" </thead>\n",
" <tbody>\n",
" <tr>\n",
" <th>design</th>\n",
" <td>/content</td>\n",
" </tr>\n",
" <tr>\n",
" <th>design_name</th>\n",
" <td>inverter</td>\n",
" </tr>\n",
" <tr>\n",
" <th>config</th>\n",
" <td>RUN_2022.05.12_15.12.11</td>\n",
" </tr>\n",
" <tr>\n",
" <th>flow_status</th>\n",
" <td>flow completed</td>\n",
" </tr>\n",
" <tr>\n",
" <th>total_runtime</th>\n",
" <td>0h0m39s0ms</td>\n",
" </tr>\n",
" <tr>\n",
" <th>routed_runtime</th>\n",
" <td>0h0m24s0ms</td>\n",
" </tr>\n",
" <tr>\n",
" <th>(Cell/mm^2)/Core_Util</th>\n",
" <td>-2.0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>DIEAREA_mm^2</th>\n",
" <td>0.0025</td>\n",
" </tr>\n",
" <tr>\n",
" <th>CellPer_mm^2</th>\n",
" <td>-1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>OpenDP_Util</th>\n",
" <td>-1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>Peak_Memory_Usage_MB</th>\n",
" <td>464.96</td>\n",
" </tr>\n",
" <tr>\n",
" <th>cell_count</th>\n",
" <td>-1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>tritonRoute_violations</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>Short_violations</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>MetSpc_violations</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>OffGrid_violations</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>MinHole_violations</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>Other_violations</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>Magic_violations</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>antenna_violations</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>lvs_total_errors</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>cvc_total_errors</th>\n",
" <td>-1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>klayout_violations</th>\n",
" <td>-1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>wire_length</th>\n",
" <td>86</td>\n",
" </tr>\n",
" <tr>\n",
" <th>vias</th>\n",
" <td>12</td>\n",
" </tr>\n",
" <tr>\n",
" <th>wns</th>\n",
" <td>0.0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>pl_wns</th>\n",
" <td>-1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>optimized_wns</th>\n",
" <td>0.0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>fastroute_wns</th>\n",
" <td>0.0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>spef_wns</th>\n",
" <td>-1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>tns</th>\n",
" <td>0.0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>pl_tns</th>\n",
" <td>-1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>optimized_tns</th>\n",
" <td>0.0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>fastroute_tns</th>\n",
" <td>0.0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>spef_tns</th>\n",
" <td>-1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>HPWL</th>\n",
" <td>-1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>routing_layer1_pct</th>\n",
" <td>0.0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>routing_layer2_pct</th>\n",
" <td>1.07</td>\n",
" </tr>\n",
" <tr>\n",
" <th>routing_layer3_pct</th>\n",
" <td>1.3</td>\n",
" </tr>\n",
" <tr>\n",
" <th>routing_layer4_pct</th>\n",
" <td>0.0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>routing_layer5_pct</th>\n",
" <td>0.0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>routing_layer6_pct</th>\n",
" <td>0.0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>wires_count</th>\n",
" <td>2</td>\n",
" </tr>\n",
" <tr>\n",
" <th>wire_bits</th>\n",
" <td>2</td>\n",
" </tr>\n",
" <tr>\n",
" <th>public_wires_count</th>\n",
" <td>2</td>\n",
" </tr>\n",
" <tr>\n",
" <th>public_wire_bits</th>\n",
" <td>2</td>\n",
" </tr>\n",
" <tr>\n",
" <th>memories_count</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>memory_bits</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>processes_count</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>cells_pre_abc</th>\n",
" <td>1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>AND</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>DFF</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>NAND</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>NOR</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>OR</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>XOR</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>XNOR</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>MUX</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>inputs</th>\n",
" <td>1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>outputs</th>\n",
" <td>1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>level</th>\n",
" <td>1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>EndCaps</th>\n",
" <td>20</td>\n",
" </tr>\n",
" <tr>\n",
" <th>TapCells</th>\n",
" <td>12</td>\n",
" </tr>\n",
" <tr>\n",
" <th>Diodes</th>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>Total_Physical_Cells</th>\n",
" <td>32</td>\n",
" </tr>\n",
" <tr>\n",
" <th>suggested_clock_period</th>\n",
" <td>11.0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>suggested_clock_frequency</th>\n",
" <td>90.909091</td>\n",
" </tr>\n",
" <tr>\n",
" <th>CLOCK_PERIOD</th>\n",
" <td>10.0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>SYNTH_STRATEGY</th>\n",
" <td>AREA 0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>SYNTH_MAX_FANOUT</th>\n",
" <td>5</td>\n",
" </tr>\n",
" <tr>\n",
" <th>FP_CORE_UTIL</th>\n",
" <td>50</td>\n",
" </tr>\n",
" <tr>\n",
" <th>FP_ASPECT_RATIO</th>\n",
" <td>1</td>\n",
" </tr>\n",
" <tr>\n",
" <th>FP_PDN_VPITCH</th>\n",
" <td>9.74</td>\n",
" </tr>\n",
" <tr>\n",
" <th>FP_PDN_HPITCH</th>\n",
" <td>7.06</td>\n",
" </tr>\n",
" <tr>\n",
" <th>PL_TARGET_DENSITY</th>\n",
" <td>0.8</td>\n",
" </tr>\n",
" <tr>\n",
" <th>GLB_RT_ADJUSTMENT</th>\n",
" <td>0.3</td>\n",
" </tr>\n",
" <tr>\n",
" <th>STD_CELL_LIBRARY</th>\n",
" <td>sky130_fd_sc_hd</td>\n",
" </tr>\n",
" <tr>\n",
" <th>CELL_PAD</th>\n",
" <td>4</td>\n",
" </tr>\n",
" <tr>\n",
" <th>DIODE_INSERTION_STRATEGY</th>\n",
" <td>3</td>\n",
" </tr>\n",
" </tbody>\n",
"</table>\n",
"</div>\n",
" <button class=\"colab-df-convert\" onclick=\"convertToInteractive('df-7f376004-7ff9-4f86-a903-bb849fdd4592')\"\n",
" title=\"Convert this dataframe to an interactive table.\"\n",
" style=\"display:none;\">\n",
" \n",
" <svg xmlns=\"http://www.w3.org/2000/svg\" height=\"24px\"viewBox=\"0 0 24 24\"\n",
" width=\"24px\">\n",
" <path d=\"M0 0h24v24H0V0z\" fill=\"none\"/>\n",
" <path d=\"M18.56 5.44l.94 2.06.94-2.06 2.06-.94-2.06-.94-.94-2.06-.94 2.06-2.06.94zm-11 1L8.5 8.5l.94-2.06 2.06-.94-2.06-.94L8.5 2.5l-.94 2.06-2.06.94zm10 10l.94 2.06.94-2.06 2.06-.94-2.06-.94-.94-2.06-.94 2.06-2.06.94z\"/><path d=\"M17.41 7.96l-1.37-1.37c-.4-.4-.92-.59-1.43-.59-.52 0-1.04.2-1.43.59L10.3 9.45l-7.72 7.72c-.78.78-.78 2.05 0 2.83L4 21.41c.39.39.9.59 1.41.59.51 0 1.02-.2 1.41-.59l7.78-7.78 2.81-2.81c.8-.78.8-2.07 0-2.86zM5.41 20L4 18.59l7.72-7.72 1.47 1.35L5.41 20z\"/>\n",
" </svg>\n",
" </button>\n",
" \n",
" <style>\n",
" .colab-df-container {\n",
" display:flex;\n",
" flex-wrap:wrap;\n",
" gap: 12px;\n",
" }\n",
"\n",
" .colab-df-convert {\n",
" background-color: #E8F0FE;\n",
" border: none;\n",
" border-radius: 50%;\n",
" cursor: pointer;\n",
" display: none;\n",
" fill: #1967D2;\n",
" height: 32px;\n",
" padding: 0 0 0 0;\n",
" width: 32px;\n",
" }\n",
"\n",
" .colab-df-convert:hover {\n",
" background-color: #E2EBFA;\n",
" box-shadow: 0px 1px 2px rgba(60, 64, 67, 0.3), 0px 1px 3px 1px rgba(60, 64, 67, 0.15);\n",
" fill: #174EA6;\n",
" }\n",
"\n",
" [theme=dark] .colab-df-convert {\n",
" background-color: #3B4455;\n",
" fill: #D2E3FC;\n",
" }\n",
"\n",
" [theme=dark] .colab-df-convert:hover {\n",
" background-color: #434B5C;\n",
" box-shadow: 0px 1px 3px 1px rgba(0, 0, 0, 0.15);\n",
" filter: drop-shadow(0px 1px 2px rgba(0, 0, 0, 0.3));\n",
" fill: #FFFFFF;\n",
" }\n",
" </style>\n",
"\n",
" <script>\n",
" const buttonEl =\n",
" document.querySelector('#df-7f376004-7ff9-4f86-a903-bb849fdd4592 button.colab-df-convert');\n",
" buttonEl.style.display =\n",
" google.colab.kernel.accessAllowed ? 'block' : 'none';\n",
"\n",
" async function convertToInteractive(key) {\n",
" const element = document.querySelector('#df-7f376004-7ff9-4f86-a903-bb849fdd4592');\n",
" const dataTable =\n",
" await google.colab.kernel.invokeFunction('convertToInteractive',\n",
" [key], {});\n",
" if (!dataTable) return;\n",
"\n",
" const docLinkHtml = 'Like what you see? Visit the ' +\n",
" '<a target=\"_blank\" href=https://colab.research.google.com/notebooks/data_table.ipynb>data table notebook</a>'\n",
" + ' to learn more about interactive tables.';\n",
" element.innerHTML = '';\n",
" dataTable['output_type'] = 'display_data';\n",
" await google.colab.output.renderOutput(dataTable, element);\n",
" const docLink = document.createElement('div');\n",
" docLink.innerHTML = docLinkHtml;\n",
" element.appendChild(docLink);\n",
" }\n",
" </script>\n",
" </div>\n",
" </div>\n",
" "
]
},
"metadata": {},
"execution_count": 9
}
]
}
]
}
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment