Skip to content

Instantly share code, notes, and snippets.

@swwelch
Created March 31, 2015 17:41
Show Gist options
  • Save swwelch/d333f81da100d2c3f4c6 to your computer and use it in GitHub Desktop.
Save swwelch/d333f81da100d2c3f4c6 to your computer and use it in GitHub Desktop.
Display the source blob
Display the rendered blob
Raw
{
"metadata": {
"name": "",
"signature": "sha256:ad3ed808d69e0fa70eae3dd941238c151f7d97f58eb40c2317eac5b9493b1f4a"
},
"nbformat": 3,
"nbformat_minor": 0,
"worksheets": [
{
"cells": [
{
"cell_type": "code",
"collapsed": false,
"input": [
"import pandas as pd\n",
"import numpy as np\n",
"import seaborn as sns\n",
"import matplotlib.pyplot as plt\n",
"\n",
"# important to set inline option for visualizations to appear inside the notebook\n",
"%matplotlib inline\n",
"\n",
"# changing global properties of Seaborn\n",
"sns.set_context(rc={\"figure.figsize\": (8, 5)})"
],
"language": "python",
"metadata": {},
"outputs": [],
"prompt_number": 1
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"df = pd.read_csv(\"diamonds.csv\")\n",
"print df.head() "
],
"language": "python",
"metadata": {},
"outputs": [
{
"output_type": "stream",
"stream": "stdout",
"text": [
" Unnamed: 0 carat cut color clarity depth table price x y \\\n",
"0 1 0.23 Ideal E SI2 61.5 55 326 3.95 3.98 \n",
"1 2 0.21 Premium E SI1 59.8 61 326 3.89 3.84 \n",
"2 3 0.23 Good E VS1 56.9 65 327 4.05 4.07 \n",
"3 4 0.29 Premium I VS2 62.4 58 334 4.20 4.23 \n",
"4 5 0.31 Good J SI2 63.3 58 335 4.34 4.35 \n",
"\n",
" z \n",
"0 2.43 \n",
"1 2.31 \n",
"2 2.31 \n",
"3 2.63 \n",
"4 2.75 \n"
]
}
],
"prompt_number": 4
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# Univariate Plot Examples\n",
"\n",
"## Histograms"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"# using Matplotlib (plt)\n",
"plt.hist(df.carat);\n",
"plt.title(\"Histogram of Carat\")\n",
"plt.show()"
],
"language": "python",
"metadata": {},
"outputs": [
{
"metadata": {},
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAfEAAAFCCAYAAADhbOSmAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nDHFJkgr1/wGf6Z/sRfbOVQAAAABJRU5ErkJggg==\n",
"text": [
"<matplotlib.figure.Figure at 0x10437f9d0>"
]
}
],
"prompt_number": 5
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"The bars in the histogram above are a little too wide to see the details of our data, so we can increase the number of bars shown. Also, we can limit the range of the data plotted to eliminate outliers."
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"plt.hist(df.carat, bins = 70, range = (0, 3));\n",
"plt.title(\"Histogram of Carat\")\n",
"\n",
"# grab current axis (coordinate system) so we can modify its properties\n",
"ax1 = plt.gca()\n",
"ax1.set_xlabel(\"Carat\")\n",
"ax1.set_ylabel(\"Frequency\")\n",
"plt.show()"
],
"language": "python",
"metadata": {},
"outputs": [
{
"metadata": {},
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAf8AAAFRCAYAAAB656QgAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nMIa/JEkN8/8B3i4QNvMxpgAAAAAASUVORK5CYII=\n",
"text": [
"<matplotlib.figure.Figure at 0x109a92850>"
]
}
],
"prompt_number": 4
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"###Now for some plots of kernel density estimates (kde):"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"# Use a new color palette\n",
"c1, c2 = sns.color_palette(\"Set1\", 2)\n",
"\n",
"p1 = sns.kdeplot(df.x, shade=True, color = c1)\n",
"p2 = sns.kdeplot(df.z, shade=True, color = c2)\n",
"ax1 = plt.gca()\n",
"ax1.set_xlim(0, 15)\n",
"ax1.set_title(\"Density of x and z Diamond Dimensions\")\n",
"ax1.set_xlabel(\"millimeters\")\n",
"ax1.set_ylabel(\"probability\")\n",
"plt.show()"
],
"language": "python",
"metadata": {},
"outputs": [
{
"metadata": {},
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAfAAAAFRCAYAAACL7P+tAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nUkoplUCawJVSSqkE0gSulFJKJdD/A/81a3GSdxG/AAAAAElFTkSuQmCC\n",
"text": [
"<matplotlib.figure.Figure at 0x10b1f4290>"
]
}
],
"prompt_number": 5
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"## Boxplots"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"sns.boxplot(df.carat)\n",
"plt.title(\"Boxplot of Carat\")\n",
"plt.show()"
],
"language": "python",
"metadata": {},
"outputs": [
{
"metadata": {},
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAdgAAAFCCAYAAABSJMy8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n0ICCBYAG/j/T0cvhno8YuQAAAABJRU5ErkJggg==\n",
"text": [
"<matplotlib.figure.Figure at 0x1039bc4d0>"
]
}
],
"prompt_number": 6
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"# using the subplots procedure from matplotlib to create a grid \n",
"# 1 row, 2 columns\n",
"f, (ax1, ax2) = plt.subplots(nrows=1, ncols=2, figsize = (12, 6))\n",
"\n",
"sns.boxplot(df[df['carat'] <= 1.5]['carat'], ax = ax1)\n",
"sns.boxplot(df.carat, ax = ax2)\n",
"ax2.set_ylim(0, 1.6)\n",
"ax1.set_ylim(0, 1.6)\n",
"\n",
"ax1.set_title(\"Using a subset of the data\")\n",
"ax2.set_title(\"Setting plot limits\")\n",
"\n",
"plt.show()"
],
"language": "python",
"metadata": {},
"outputs": [
{
"metadata": {},
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAsAAAAF6CAYAAAAXlu5hAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text": [
"<matplotlib.figure.Figure at 0x109a45690>"
]
}
],
"prompt_number": 8
},
{
"cell_type": "markdown",
"metadata": {},
"source": [
"# Bivariate Plot Examples"
]
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"# and operator to combine boolean conditions\n",
"subset = df[(df.x > 0) & (df.price > 0)]\n",
"\n",
"sns.jointplot(subset.price, subset.x)\n",
"sns.jointplot(subset.price, subset.x, kind = \"kde\")\n",
"\n",
"plt.show()"
],
"language": "python",
"metadata": {},
"outputs": [
{
"metadata": {},
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAaYAAAGpCAYAAADGJ5LWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
"text": [
"<matplotlib.figure.Figure at 0x10c11ac90>"
]
},
{
"metadata": {},
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAaYAAAGpCAYAAADGJ5LWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nERFHUTCJiIijKJhERMRRFEwiIuIo/x+YT45Qn/W4+AAAAABJRU5ErkJggg==\n",
"text": [
"<matplotlib.figure.Figure at 0x1038e2990>"
]
}
],
"prompt_number": 7
},
{
"cell_type": "code",
"collapsed": false,
"input": [
"f, (ax1, ax2) = plt.subplots(nrows = 1, ncols = 2, figsize = (12, 6))\n",
"\n",
"sns.boxplot(df.price, df.color, ax=ax1)\n",
"sns.violinplot(df.price, df.color, ax=ax2)\n",
"plt.show()"
],
"language": "python",
"metadata": {},
"outputs": [
{
"metadata": {},
"output_type": "display_data",
"png": "iVBORw0KGgoAAAANSUhEUgAAAt0AAAGACAYAAABxz95GAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzsvXmQJfdV5/vN5e73VnVV19Z7q7X81BZ22JYs2VZjjRmP\nPfhNDBO8N0TAwPPMxOCwYTAMZojwAgJjYWDAOMwYex4aDxibIcIEvAd4LBnGoM1Yloxky1pSvVQv\n1V1dS9d29y3z/ZHb71bdtbvuzfOrPp8IqU7l/VX1qaysvN/fybNojuOAYRiGYRiGYZjhoUftAMMw\nDMMwDMPsdVh0MwzDMAzDMMyQYdHNMAzDMAzDMEOGRTfDMAzDMAzDDBkW3QzDMAzDMAwzZFh0MwzD\nMAzDMMyQMYf1jYUQMQCfB3AMQALAxwG8DOAPAdgAvgfgpy3LcoQQPwngvQAaAD5uWdZXhBApAF8E\nMA0gD+A9lmWtCiHeDOBT3tqvWZb1sWH9DAzDMDcLHe7ZCwD+GsCr3rLftyzry3zPZhiGGZxhRrr/\nDYAVy7LeBuCfA/gMgN8B8GHvmAbgh4QQcwB+BsBbAbwLwCeEEHEA7wfwHW/tFwB81Pu+nwPwo5Zl\nnQJwnxDi9UP8GRiGYW4W2t2z3wjgdyzLerv335f5ns0wDHN9DC3SDeDLAP7Ms3UAdQBvtCzrce/Y\nVwG8E0ATwFOWZdUB1IUQZwC8DsD9AH7TW/sIgF8SQuQAxC3LmveOPwrgHQCeH+LPwTAMczPQ7p59\nNwAhhPghAKcB/ByAe8H3bIZhmIEZWqTbsqyiZVkF76b7ZbhRD/nfywMYBzAGYLPD8a0ux+TjDMMw\nzA3Q5p79EQDfAvALlmU9AOAcgAcB5MD3bIZhmIEZZqQbQogjAP4cwGcsy/qfQojfkl4eA7AB94ac\nk47n2hxvd0z+Hh1pNJqOaRo38mMwDMNEiTaqf2jbPftPhRDjlmX5AvsvAPwegMcxxHs2wPdthmGU\npuM9e5iFlLMAvgbgpyzL+jvv8HNCiAcsy3oMwA8C+N9wIykPCSESAJIATsItsnwKwLsBPOOtfdyy\nrLwQoiaEOAFgHm56yq9082N9vbTrPxvDMMyomJ7O9V60C3S4Zz8ihPiAZVnPwE0LeRZDvmcDfN9m\nGEZdut2zhxnp/jDcx4i/LIT4Ze/YzwL4tFd08xKAP/O6l3wawBNw008+bFlWVQjxWQB/JIR4AkAV\nwI953+N9AL4EwADwqPdmwDAMw9wY7e7ZPwfgd4UQdQCLAN7rpaDwPZthGGZANMdxovZhqKys5Pf2\nD8gwzJ5mejo3svQSKvB9m2EYVel2z+bhOAzDMAzDMAwzZFh0MwzDMAzDMMyQYdHNMAzDMAzDMEOG\nRTfDMAzDMAzDDBkW3QzDMAzDMAwzZFh0MwzDMAzDMMyQYdHNMAzDMAzDMEOGRTfDMAzDMAzDDBkW\n3QzDMAzDMAwzZFh0MwzDMAzDMMyQYdHNMAzDMAzDMEOGRTfDMAzDMAzDDBkW3QzDMAzDMAwzZFh0\nM6SoVCqoVCpRu9E3hUIBhUIhajf6olaroVarRe1G36h2LTAMwzBMN8yoHWCGT6PRAACYJv1f94c+\n9EFoGvDJT34malf64gMfeC8A4POf/5OIPenNr//6r0DTNDz44ENRu9IXql0LDMMwDNMNjnRfB41G\nIxCyKvDww5/Fww9/Lmo3evLCC9/B6uoyVlaW8eKLL0TtTk8ef/zvUK/XUa/X8eSTj0ftTlfOnDmN\ns2dP48yZV3Hu3Jmo3emJatdCqVRCqVSK2g2GYRiGMCy6r4P//t8/h89//r9F7UZfLC4u4qmnHsdT\nTz2GpaXFqN3pytLS1cBeXKTtKwA899y3A/sf//HbXVZGz/r6emCvra1F6El/bGxsBrbsO1U++MGf\nxgc/+B+jdoNhGIYhDIvuAVlcXMSTTz6GJ574e/IiFgBWV1fb2hSR83er1WqEnvRHPJ4I7FgsFqEn\nvUkmU4GdSqW6rKTBwYMH29oU+da3vunl9ufx7LPfitodhmEYhigsugdEV+yMzcxMBfbU1FSXldFT\nq4VCWwXRPTs7K9lzEXrSm0olTH0ol8sRetIfmUymrU0RuTiVCz8ZhmGYTigmIaPHtqP2YDDS6Wxg\nZzLZLiujZ2xsXLLHIvSkP6anQ6E9MzMToSe9uXjxvGRfjM6RPikUioFdLBa7rIyeuTl1ovIMwzBM\ndLDoHpBSSR0xAADFYqGtTRHV0kvk6DH1COfS0pJkX+2ykgbr69cCm3oOukq+MgzDMNHBontAVHrs\nrRqLi1fa2lRpzZdfidCT3mxtbba1qSJvYqhvaFTylWEYhokOFt0DsrISiivqhYkAkM2qk16iaVrU\nLgxEIhEPbLmokiLpdLhBTKXSEXrSH9VqmHdOXchOTExK9kSEnjAMwzCUYdE9IKoJw3xenfSSAwcO\ntrWpolJO9+HDhyX7SISe9IecXkQ91UguUKZerMwwDMNEB/0RhcRQrfWaSjnociHl+Ph4l5U0kFtG\nLi8vR+hJbxwnag8G48KF84F98eKF6Bzpg2w2G2zGqT9NYhiGYaKDRfeAKBboxtraqmSv4cSJCJ3p\ngUopBUDrhqZQyEfoSW/kDYIKhZSaps5DuGw2h/e85z9A0zRks7mo3WEYhmGIos47GxFUK6SU28NR\nbxWnUkoBAFSr4cZA7tVMEZUG+QDA7bff0damiuM4sFXrJ8owDMOMFI50D4hK/YMB9SLzKtFoNAO7\nXq9H6ElvTDMU2vF4vMtKKqiTD5PP5/GlL/0hAODNb34rR7sZhmGYtnCke0DkaX4qTPZTaeDM2FjY\n+UGFnO59+0J/qXetWFgI86IvXaL9xAPY3iWIdjvGpaUlNBoNNBoNLC8v9f4ChmEY5qaERfeApNNq\nFVKeOHGrZBNO6AYAqPV4fno67FgyNTUdoSe9GRvbF9i5HO3NFwAkEsnApt6OkZ8mMQzDMP3AontA\nthcmUieVUicHXe4fnUwmu6ykwcbGemBvbW1F6ElvpqfDTQH19oZA6wAf6kWqpVI4mVSFp18MwzBM\nNLDoHhCVuioAwJkzVmCfPn06Qk96I0c3VXiKIG8SEgna0Vi5R3uhQLtfOwA0Go3Apl6kqlrvfoZh\nGCYa1FKQBFBNGMpdQKi34ZMjx+vr611W0mBmJowez87ORuhJb2q1sNCTetEnAAjxmsC+886TEXrS\nGx6OwzAMw/QDi+4BUS2qNTcnT3k8EKEnvVGtT7dcNLe0RLuATr4OZmfnuqykwebmmmRvdlnJMAzD\nMGrAontAVJtIubi4INmLXVZGj2p9ulXiyJFw9PvRo0cj9KQ/5Mg89WvBn0ipaTpPpGQYhmE6wqJ7\nQBQLdLcUUqpQnKgSKythUe21a6tdVkbP5maYrrOxsRGhJ/0h9xKnni/vqNNSnGEYZmhUKuWWehzK\nfPWrf433v+/fodEYbboli+4BUW0i5a233h7Yt99+e5eV0aNan25dV2cHtrBwObCvXLncZSUNajV1\nnnoUiwU4jgPHsVsKVhmGYW4m3v/+f49Pfeq/RO1GX7z44gsoFAsoFku9F+8iLLoH5NIlecjIpQg9\n6Q9dod+wSsNmAGD//v2STbuATt7NU+8GArRGt6lHuhmGYRi369T6Ov1WylGikCSjgWotA9PpMMeU\ner7pxsa1wFahe4ncyYa6MJQjsHJfaarIfc/zedo90AuFYmAXi8UuKxmGYRgaONs+jga1FCQB5PZl\n1FuZAcArr7wo2S9H6ElvVGpv6KJOMq+cZ6dCy0CeSMkwDMPsNVh0D0g2m0M2O4ZcbgzZbC5qd3pS\nqdQkm7aQlYWWCkWfKnVbueWWWyX7RISe9Ifj2FG70Deq1XkwDMMMC/WCEKN1mEX3gJw5cxqFwhby\n+S2cO3cmand6kkwmJJu2kFWtT7dKhZ8qjVUHWvueLy1djdCT3mSz6qRwMQyjDs8880383H/6qZF3\n2LgR1OvmxOklpJFzjdfW6BcMyOKVupBdWVkJ7NXVlS4raXDp0vnAXligXVRbLocbGhXyjuWWgbFY\nLEJPevONbzwZ2N/85j9E6AnDMHuJb37zG7i2utIyiI06qkW6Rz3wkEX3wKi1jVtevirZyxF60pux\nsX2BncuNRehJf8h50tQ7gshj6lWYSCkLbVmAU6ReD68D6mlGDHOz819++9fxla/8f1G7sWdxFAl1\n+26O2l8W3QOiUuQYAJLJdGBT77ARj4dCi7qvAGDb6uQdy2JQ7oFNFTkaTz0yL0RYUH3yJP3iaobZ\nTV599RV86EM/r0wKxHe/+zwee+zrUbuxh1Ej1O0HuDnSTZyJiUnJpt9LemZmJrDlaCdF5GixChHD\nq1cXA3tx8UqEnvRGfjxJ/YkHoFZ6yfp62OpShZQzhtlNHnvs61hYuIRz585G7coeRg0hqxIc6VaE\n48ePB/axY8c7rqOCSpH5Y8duCWz5PFPFNGNtbYrIBX7pdLrLShqolF6SSqUlOxWhJ0wnVlaW8Ru/\n8TFlRlQzDDMaWHQT5+pVOUeafnGDSpH5ZDIULCqIl8OHDwf2oUOHIvSkN/J1MDm5v8tKGtTr4VMP\n6vnyql23NyOPPvoVvPjiC/je974btSt98Sd/8sd44om/i9oNhgi2rUaetIsqvrp+jlp0myP91/YA\nuq7WY56pqam2NkUWFxcC+8qVKzhx4rYIvemN3KedeuHn+HhYpDo2RttXAJicnJJs2psE1ar1OyGE\niAH4PIBjABIAPg7gZQB/CMAG8D0AP21ZliOE+EkA7wXQAPBxy7K+IoRIAfgigGkAeQDvsSxrVQjx\nZgCf8tZ+zbKsj432JwvfWFUp8nr00b/G5OQkvv/73x61K0yE+NerzuHRoTHq2iz+VQ6IXNQlt2Gj\nSmsbvtUIPenNK6+8JNm0p2cCrQWJ1HPQZ2cPBPaBAwe6rKSBSu0Y19ZWJVvpnO5/A2DFsqy3Afjn\nAD4D4HcAfNg7pgH4ISHEHICfAfBWAO8C8AkhRBzA+wF8x1v7BQAf9b7v5wD8qGVZpwDcJ4R4/Sh/\nKEDR3sGK+KzahgZQ5tQGqHBqw+sgYkf6xPez2WyO9N9l0T0gGxvhkBG5ZzdV5Dxu6puE1tHftPN4\nAWB6Omy9JxesUuTb335asp+N0JP+eOml70n2CxF60huVpr724MsAftmzdQB1AG+0LOtx79hXAbwD\nwJsAPGVZVt2yrC0AZwC8DsD9AB7x1j4C4B1CiByAuGVZ897xR73vwewR/O4Po+4Ccf04ypQlhh02\novWjH8KIsSKqO0gv4Ug3ae644/bAvv3227uspMGFC/OBffHixQg96c3Jk98X2K95zV0RetIf+/aF\nOfLU8+XPnw+vg/n5cxF60h/7908HNvX0koMHD7a1VcOyrKJlWQVPKH8ZbqRafo/IAxgHMAZgs8Px\nrS7H5OPMHkGlCLePOh67aluFc9xsukXKCrgKIDynjcZoI92c0z0gCrVmBgDouhG1C32zsbEm2RsR\netIfGxthqzjqTz3uvPM1OHv2VQCAEHdG7E1v7r//+/Gnf3rBs98WsTfdUeVNph+EEEcA/DmAz1iW\n9T+FEL8lvTwGYAOuiM5Jx3Ntjrc7Jn+PrkxMpGGau3fvSqXcbjjj4ylMT+d6rKaBbmhK+JpMqnZu\nNZiGroSviYQr0fbtS5P3t1h09+eGIuc2FnPvL2NjiZH6y6J7QEoldYZ2AMCRI0cC++jRoxF60psr\nVy63taki53FTTyu4667X4itf+X8BAK997esi9qY3KythnvS1a7RrEVQrAO6EEGIWwNcA/JRlWX7r\njOeEEA9YlvUYgB8E8L8BfAvAQ0KIBIAkgJNwiyyfAvBuAM94ax+3LCsvhKgJIU4AmAfwTgC/0suX\n9fXSrv5slYo7uGVrq4yVlfyufu9h0WzaSvhaqbgRzs1NRc6t46DRaCrha7XqXrfr6yVkMrT9zefd\nB1r1ekONc+vdE1ZWNpHL7a6/3UQ8p5cMSKkUvhlQz5F2USAZzOPWW8N0nRMnbo3Qk/6QhTb1Qsql\npXB4z+LiYpeVNEgmw4mk1PP7Vdp89eDDcFM/flkI8XdCiL+Dm2Lyq0KIb8AN0vyZZVlLAD4N4Am4\nIvzDlmVVAXwWwF1CiCcA/AcAv+p93/cB+BKApwH8o2VZz4zyhwLULPbTFLp3A2qdW1UITyn9c1uv\nuyJWlfaGfg667/eo4Ej3gMg50ufPn8ddd702Qm96o1IPYVl0q5Avr07hELC5GabVbm1tdVlJA1m8\nRt2n+8knH+s6Nlp+4vX1r38NTz/9VMe1DzzwAzh16oFd9W+3sCzrZwH8bJuX/kmbtQ8DeHjbsTKA\nH2mz9mkAb9kdL68Xdf5WfRwFhJaL66dK90NlzqxX5KeCkPXFa9MebY709eKL7lEPzOJI94DMzYXt\n1qiPVVcNucPGs8/S77CRSMQlO9FlZfQkk2FnGOq+AsDWVlinRz2/P5PJtLUZiqgjDNXx1Ie+MAxR\nw1c/0q3CJFW/ha7dVKPwzfdTHsQ2CjjSPSBCnAzsO+882WUlDdJpdSLdtVr4mId6ugbQ2gVE7g5C\nkc3NUMT6uXdR0it6LPeUn58/g4ceerDtulFEjk+deqDnv/Hggx8CAHzkI7/adR0TFc62j/RRx1MX\nlbJLVPHVj3SPWhheD9Wq62OjSX+DAABNbyPj+z0qONI9IEtL4eh3FcbAq5SD3ppSQF90y9fC0tLV\nCD3pjVwAXCgUIvSkP1SapAq4eefUc89vZtTrJa0OYS63IkoW6nja9KKxUafY9UO16r5/N+pqiG6/\nVSBHuomTy6n1KHljI2xlR72t3cxMmK4zNTXdZSUN5CcHcu48RQ4cONjWjop+oscf+tAHAXD0mLlx\nfF2oQm6sjyrbA5UmEdpevrGjSO9fPz9ahUi3H9RTwVcAaHg56KMufmfRPSCK/K0GTExMSjbtAS7H\nj98S2CdOnIjQk/6YmgqnUE5P094kqNhZIJvNRu0Cs8dQKdKtzl+sOp1h/NxoVYpU6xGlQFwPlYov\nuqtwHIf831rN2xyM+ikCp5cMyMJCONXx0qVLEXrSH+VyUbJpp5ecP382sM+doz81MZcLRWE2S3sY\nQD6fl+zoc7oZZrQolgLhqBfpVqHYz/fRViR6VvOisX7qBmX8VFbHcZRoneqL7VGfWxbdAyJfTCpc\nWHIBHfUuEHLxpAqFlAoEdgLW19WZnskwu41Kf6s+qrjsi+5R9zu+HupevrGtyAXhC0MVtIZcNyTb\nVKnVq9A1feTnlkX3HieRCFvFyW3jKDI2Fqa/jI+PR+hJf8zPn5Fs2pF5uTe33I6PYW4mFNFaLor4\n2mw63kf6kW7fR1Ui3RUv+OSnblCmWCxAN0zPpi26bdtGtV6FqZsc6aaOSjnSAFCthn+s1HfL8hRC\n6hsEAIjHQx9jsViEnvQmnU4HdiqV7rKSYfYuKuQdA0GWdMRe9Ifj+MV+KkS6/amJagxwqVYrgKah\nXC71XhwxhUIB8bj73lIs0u6Q5XdHM3WTI93UWVtT6zH9q69agX369KsRetKb55//tmQ/F6En/bG2\nthLY6+trEXrSm8OHj0r2kQg9YZgo8KcmRuxG3zjKbBCazWbLR8o0GmqNKq9Vq4BhkK/HAoCtfB6x\nhCu6qbel9YV2TI+hUhrtuWXRPSByEZqcL02VublDgT07OxehJ73J5cKUEhU6V7QO86H9FEFOKSkU\n8l1WMszeQ7mWgYq4CYQpGypEusNCSvobBMdxUK9WoBkGiiX6ke58Po9k0m0oQP09xhfdcT2OSpkj\n3aSZmQmFqwpj4N/ylvsD+/777++yMnruuee+wL733vu6rKSB7K9sU0SOlFDPt2OY3UchFQvXW3Ui\n3a6QVal7iaPA5qtWq7n9xA0TRRXSS/IFJFNu4EwV0Z00E6iM+CkCi+4BmZycbGtTRaUWh4uLC4F9\n5cqVCD3pjzvuuDOwhRARetIbeYNI/YkHw+w2Kk5NVMVXvyhRhUJKlVoGBsWThomSAuklhWIeydQY\nzFiCfFta/9wmjATndFNHpbHqLsokMWJ5ebmtTZX5+bCv+Pnz56NzpA8SiURbm2FuBnzRrYLYCic8\nqiG6/amJauR0Kyi6YzHyWqPZbKJcLiKZzCGRzLbMhaCIf25TZmrknWFYdA/IhQvzgU1daAFqRebl\njiUqCMOlpauBvbhIOzKvWjtGhtlNVBKyjmN7H+n7CsiRbvqi2/dVhTHwlYrbYUMzY+RrhorFAuA4\nSKSySCSy2NykHekue3ncKTOFCrcMpM3c3IHAViGnW5H7NgB13mR85DaB8Xg8Qk96c+nS+cBeWKCd\nZsQwu486ke5mk76PMn5Rohrn1vVVBdHtt7WDGRv5qPJB8SPb8UQWiWQOW4qkl2RiKVRqLLpJI8TJ\nwL7zzpNdVtJApTzpa9dW29p0USd1R+60o0KrS4bZTVRKLwmisYoEIXx/1Ti3Tcmm7a8vtLWYiTpx\n0e0XTiZTOcSTWRTy1FsGeqLbzKBpN0faeYdF94AsLS0F9vLyUpeVNFApT3pqaiaw9++fitCTflHj\nTREAjh491tZmmJsJ6kILCNNL1Lm/qFOkKv/+qV8L9bontI0YbOKdYfxIdyKRRSKRQbFIPafbjW5n\nYhkAGOnwIRbdAyIXNFAvbgBUGgYBjI3lAluFvOPFxcuSTfspwrFjtwT28ePHo3OEYSIg7NNNW2gB\nCka6FXqKIJ9T6v7W667Q1kyTfGcYfwJlPJlFIplFpVIi3Qu9UikjpseQiqW8z0eXYsKie0CuXpWF\n1mKEnvTH2Ni4ZI9F6Elv5AEuKgwe0jR1/nzK5aJk098sMszuolIhpe9rxI70iQrn1Kd1OBJtv4O+\n54YBx7ZJi1h/AmUikUE84Q62Kxbp9hYvl8tImkkkDbd5wyhFtznsf0AIcR+A37As6+1CiDcA+CsA\np72Xf9+yrC8LIX4SwHsBNAB83LKsrwghUgC+CGAaQB7AeyzLWhVCvBnAp7y1X7Ms62PD/hlkUql0\nYMvdNqiSSIQ+UvdX9lWF7iXyI6lR9/oclEqlJtm0fWWY3cbXhSoIRBV8bEGhTUKYukM/0h1Et3UD\ngOuv7tnUKBYL0HQDZiyJRMJN2SgU8sjlcj2+Mhqq5QoSZgJJ0xfdowtEDTVUJ4T4RQB/AMBXUHcD\n+KRlWW/3/vuyEGIOwM8AeCuAdwH4hBAiDuD9AL5jWdbbAHwBwEe97/E5AD9qWdYpAPcJIV4/zJ9h\nO4lE2KWCuogFgGQyJdm0/Z2bOxjYBw4c6LKSBipFupPJhGTTvg4YZrfxI5wqCFrVBvmo1Y7RkewI\nHemDYFOgu+8zjQbdSHexWEQinoamaYgl3MBkqUR38nGlXEHSSCBhuO+L1Wp1ZP/2sFXDGQA/jLDN\nw90A/g8hxGNCiIeFEFkA9wJ4yrKsumVZW97XvA7A/QAe8b7uEQDvEELkAMQty/KbZT8K4B1D/hla\nUEloAa2iO5VKdVkZPaqlQBw6dEiyD0foSW/knuJyMTDD3AxoGnGFJaFaekkIfYdVSi8JRHdQmEXX\n32KxiLgntuNepFseJEiNSrmMpJFE0nRF956JdFuW9edw00B8ngbwC5ZlPQDgHIAHAeQAyAm8eQDj\nAMYAbHU5Jh8fGYcPHwnsI0eOdFlJg3RaHdEt53FvbGxE6El/ZLM5yc5G6Elvgp6vGO2unmEooGZ6\nCX1fAal3iRLuyoWUtB0OrgNda/2cIMViEbG4J7rjrs4gHemuVJAwEkhGEOkeek73Nv7CsixfWf0F\ngN8D8Dhc4e2TA7ABV1znuhwDXBHeVZ1NTKRhmruXByU/mT927ADZnCWff/iHcBDK6uoV3HffGyL0\npjvT0/sCe2ZmH6anaZ/bVCocjpPNJkj7m06HaVG5HG1ffWIx9++WfWV2C8rCRWkU6ZLV+vtX41rQ\nvJNLeZNQKpcR88S2/5Hy0+pKpYIJYyxIL9lThZTbeEQI8QHLsp6BmxbyLIBvAXhICJEAkARwEsD3\nADwF4N0AngHwgwAetywrL4SoCSFOAJgH8E4Av9LtH1xf391HHPl8HpqmAdCwupoH9Zq0p576ZmA/\n8cQ3ce+9b4vQm+5sbVVa7JUV2r0+m83wQVGtBtL+rq+HwwquXdsi7atPve7mMN7svrKQv3FUap0a\npJdE7MdeR50NGP2Lt1wqwUxMAwBiMT/STTe9pFqtIJ6OIxFBIeWoRLd/db8PwGeEEHUAiwDea1lW\nQQjxaQBPwE13+bBlWVUhxGcB/JEQ4gkAVQA/Jn2PLwEwADzqCfiRUSwWvD9WB8VioSXFgCIzM3OB\nPT09HaEnvdnc3JBs+i0D5dHvKnRbYZibFWX0lYwqPnMh5ZCh72ilUsG+nCu2zdjo2/ANSqVWQXIs\ngbgegwYN1eoeinRblnUebmcSWJb1HQCn2qx5GMDD246VAfxIm7VPA3jLMHzth0IhzFMqFunmLPm8\n4Q334G/+5n8BAO6++56IvemOXPSpgohVKU96ff2aZPMYeObmQqUOGyFq+KrSOVXJVx/Huw4oP62p\nVsswvaJEXddhmPGRRo8HpVqrIW4koGka4kZ8T3Uv2XPMz58J7HPnzkXoSX9sbKgjtuQplPv27euy\nkgZXr4bDkeTuIBSZmws7rczOznVZyTBMlKgmDB2FxsDLyD27KaL5KtvZ9jlBarUqYrGw4C0WS5AV\n3bbdRKNZR8Jwn1THzThPpKSMasNxlpeX29oUkc8t9U4rALC1lZds2ukwR46ELQ2PHj0aoScMM3pU\n6wjCDAd5II4ye5vAUZqi27abaDTqMGPh02nTTKBarXX5quio1Vy//CLKhJFAbYS+sugeEHmAy8GD\nB7uspIF/gbk27RSItTV1ovJA6+//wAHa18LFixfb2gxzMxAEDVURWszQUSbSHXwekSM98MW1aYY1\nTmYsgcoI86QHwfc3rnuRbj2GKke66SK3waHcEsdnefmqZNOOdF++vBDYCwuXuqykQSwWtgyUiyop\nQvWGzTCjQMU+3Qq46hKc22jd6AcVfv8+YXqJvzmgeRP3g3mGJLp1I0440u36G/fTS/Q4R7opU6mE\nbXBUEN2xUZ2qAAAgAElEQVSZTDi0JZ1Od1kZPdPTM4E9NUW70woAXLgwL9nno3OkDxR6r2GYXYfT\nS4aLN74lYi96I4tuOdWEIttzunWdpuiu1+sAAMMIRbdhxFCvURXdrl8x3fQ+xloyAoYNi+6BoXnh\nd2Ju7kBgU0+BWFgI0x6uXLkcoSf9UalUJZvmozSfpSV1ij4ZZvdRLHoMQAURC6jVGaY1p5u2v9q2\n8e+aRlOu+YLVMMMnv6YZH6mQHQR/kxAzXH/jBotu0kxOTra1qZLP5yV7K0JPerO1Ffqnwhj4Eydu\nDexbbjkRoSe9KZXKkk13aAHDDAf6o7R9VPBRVVr7dCtynh3aLQPrdU90G6Ho1g0TNU/cUsP3N+bl\ndJu6icYIfWXRPSCq/J36JBLhI594nHbv67W1lcBeX1+L0JP+yGQygU1/SFKYukN9SBLD7DYqRWNV\n8FFV1Eov8eRZ4DJN1V2vNwC4QtvHMGIjFbKDEES6pfSSOotuuqhWSDk2Ni7ZYxF60puNjU3Jpt+9\n5PRpq61Nkf3790v2VISeMEwUqCO6meHRml5CW3QHOdyen1T7dDebnujWQ9GtGyYaDdqi2/T8NfXR\n+sqie0DS6bB/tAq9pOUWhwcOHOiyMnrknHM5F50qsZa+pLEuK6NHTt2hnmbEMLuOrZ7oVshVZZCF\ntm1TP8HbWwbSFN2NRhvRrZtoNptRudSVMNLtvmebuom69zOMAhbdAyKnFMg2VaamptraFDEMI7Cp\ni1gASKXC4UjUO8OMjYUTPnM52k88GGa3oS6vVMZRqEhVjnTTTy/xjCCnm6boDiPd4fu3rhtkRbfv\nr+H5G+NIN7ObrKyEedKrq6sRetKbmZlwPLkKecdyjrzcs5siKytLgX3tGu3rgGF2G5VaBqrkq2oo\n2b2EvOh2z6muh3JS1w00bZqie2ekO4ZGkyPdZFFJxALA0tKVwF5cXOyyMnrk9JeDBw9F6El/UM8J\nlFGtMwzD7CaaQjndQdFnxH7sRdQqpNTa2tTwI9qaFOnWNB0O0fPrp8PIOd11jnTThfLF3x51/KXa\nh7QTm5tbkr3ZZWX0qLRBYJjdx28ZGLEbA6DMnVuhcyrncVPfgLVEugnrDico9AzfvzVNJ7upCVsG\nmsHHpt0cmb9qqRwClMtFyabfvWR8fCKw9+3b12Vl9Kg2HCeTCfO4qed0r6+vSzb9dowMMxxoCy1A\nwTHwCiEHH1QR3dT99Dcy2yPzVP2u1VrTS/yPo8rrZtE9IHJEU4XH9MmkOt1W5E1MsVjsspIG8mTH\n5eWlLiujxzTNtjbD3FzQjRiGqJXTrVIOulrpJX6fbof4E3b/nG73keb1sD2n2/84qqmU/O47ICpF\njgHg6tUwYry4uIi77npthN505/bb78A3vvF4YEfNk08+hsce+3rH18+ePR3YZ868goceerDtugce\n+AGcOvXArvs3CK95zWthWS8BAE6evCtSXxiG6YyvC4kGCpWmNc2O9gkOdTbt9BKfFhcJR7rr9Sp0\nTQ+6l8QNd4DgqEQ3R7oHRL0x8GHesVxMR5FKpRLY1Wo1Qk/6Y3b2QFubIvImRggRoScMw3SD6y+G\nh6wDlenT7SjyfMZp/YRqdL5arSFhSJO6Ryy6OdI9IM8992xgP//88zhx4rYIvelNIpFoa1NEbmVH\noa3dqVMP9IxQ/8RP/GsAwG//9qdH4dJ18+1vf0uynyX9xINhhgVRHdBCEOmO1o2+cTxVSF/Eqhbp\nDhp1E79wO/lG0+darRoIbQCI665drVY6fcmuwqJ7QFKpcCAOdRELAJVKGDGmHj2Wz2c8Hu+ykg5H\njx6P2oW+kHP7VTm3DLN70BZYMoEwJPp4fgfODoMsjgNXCzr0CxRlNKICFgjH1W8vUqUa6S6XykgY\nUjDS8EX3aPQRi+4BeeMb78Gf/ukXAAD33HNPxN70JpkMpyZS3yTEYtLuM07bVx8qXUt65Z/L3WCe\nfPLvcfbsqx3XUshBZ6JDCHEfgN+wLOvtQog3APgrAH4Bw+9blvVlIcRPAngvgAaAj1uW9RUhRArA\nFwFMA8gDeI9lWatCiDcD+JS39muWZX1s1D+T44kWqkJAJszpVkMU+mJLjUh3qLqpn18FLlUAYcFn\nq+hutgzLoURlm+hOmq5GqlRG042O5lkhjDwQR4XhOMeO3RLYx48fj86RPlBpg6AardMzOdLNtEcI\n8YsA/gCAf8HcDeCTlmW93fvvy0KIOQA/A+CtAN4F4BNCiDiA9wP4jmVZbwPwBQAf9b7H5wD8qGVZ\npwDcJ4R4/Qh/JACy2KavZFTL6Xa8nGMV/HacMEGauuiW3XMIP0UwDLcg0ZEmUNq2TVd0l8tIGqHW\nSHoCvFzm9BJmF6B+Y5GRd5rUU2GoMUj++e/+7mdG4RKjJmcA/DCAP/Y+vxvAHUKIH4Ib7f45APcC\neMqyrDqAuhDiDIDXAbgfwG96X/cIgF8SQuQAxC3LmveOPwrgHQCeH8UP40Nfaof4EWNVbt22YwOa\nGu81KvjoE/qqkb4YfNEtt2B07CZ0g6a8LJVKmIiNBZ+nYm7qZblcGsm/T3MrQpgXXnhOsr8boSf9\n8eqrLwe2ZVkRetKbhYVLbW1mdzh8+CgOHz4atRsMYSzL+nO4aSA+TwP4BcuyHgBwDsCDAHIA5BGs\neQDjAMYAbHU5Jh8fLZomfyCNSsIQCMWWLUU66eKnl9A/z4F/Gu1s+VB0h7cN227AJCu6i0ib0mA7\nzx7VbBCaZ4UwutfbURWSyfDiop6y0ZoCEYvQk71JNpuN2gVGPf7CsixfYP8FgN8D8Dhc4e2TA7AB\nV1znuhwDXBHec6rYxEQaprl799pEwn2ry2aTmJ7O9VgdLRsbbuTNgUPeVxcHmgbEYgZ5f1OpMLVu\nbIz2tZDLee+Hmhvppurr1JQbNW4V3U3EYiZJn8uVMlK5sLFAwkhA13QA9ZH4y6J7QG69NWwReNtt\nt0foSX/ceefJwD558mSXldGzb184eGhiYqLLSoZhRsQjQogPWJb1DNy0kGcBfAvAQ0KIBIAkgJMA\nvgfgKQDvBvAMgB8E8LhlWXkhRE0IcQLAPIB3AviVXv/o+vruPuqt1dwobKFQxcpKfle/926zvu5G\n3BwH5H0FgEajCWhAsVgm72+pVA1yjdbXi6T93dx0/wY0TYdt22R9LRbdCY92MxTdzWYDumGQ89m2\nmyhWisjEwi50mqYhHUtjeXlt1/ztJt5ZdA+IPMBFtqlC/AlaC4mEet1LGGaP4t853gfgM0KIOoBF\nAO+1LKsghPg0gCfgpih+2LKsqhDiswD+SAjxBIAqgB+TvseXABgAHvUEPNMBle7ZAGA3bWigP1Yd\n8PLlVcgxgnQ+dR2O45Btw2ea7lPpZrMeHLObdcRMek+rSyV3I5OJtXYdy8TSKORHs0Fg0T0gExOT\nkk0/Gru+fi2w19bWcOJEhM70QC6erNW4kJJhosCyrPNwO5PAsqzvADjVZs3DAB7edqwM4EfarH0a\nwFuG4eveRg317QpZN7pJHdu2g0g39U2CLLoBtzuMptFLb43FXBkp//6bdgNJk568LBQKANAS6QaA\nrJlFcUSimwspB2RxcUGyFyP0pD+Wlq5K9lKEnvRmfX29rc0wDHMjUC+aaw+9qGY7bNuGpgENZUT3\nzmEuFGk2vcJUT3Q3mzT99VvQtqSXNOokh7Dl825Ndy7eWt+UjWeQ32LRTRJ5IqXcV5oqFB9HdUIu\n9MtkMl1WMgzDDEAgulUU37Txe19TjxwDntD23hOp+xuKbsP7nOamxm96sCO9hGAzhLwXzd4R6Y5l\nUSiw6CbJ3NyBwD548GCEnvSHSr2vM5lQdGez9KqeGYZRk0Bys+bedWzbzemmKgplms1mEIiiGjn2\n8UW3Rj7S7YprWxbddgOxOEXR7Ue6W/VFNp5FvpQfyRMxFt0D8sorLwX2yy+/3GUlDer18A+Bep60\nvNMc1a6TYZibAX/gDE3hIqPQw0kAgGOrE+luNpvqRboN2pHudoWUzWYdcYKTj/1Id7ZNpLveqI8k\nMMmie0DyUrK9v2uijOyjX0RAFbmPOMV8MIZh1MSPYKkQ6fYjsapob9t2+3QHIpEwTVtOL6Ht707R\nTdPfIL2k0Sq6/QJLSuTzWzB1EwmjtTuan+M9Ck3HontA5G4gKhT7aZo6v2I1i50YhqGO441Wpx7d\ndPGnZ9KX3fLURBXObbPZBHRVIt1uZFsz/O4gNEW3pmkwDANNeTgO2ZzuLeTiuR1/W37kexRP2NVR\nZESQc41VKPYzpV6Z1KPH3L2EYZjhoI7oVkBrB7Sm69APmjSajeAEUxWxPtsj3Y0GzfQSADCM2I7h\nOBRFd2EzvyO1BHBzuoHWTIZhwaJ7QG655dbAPnHi1i4raaDr6tzB19ZWJftal5UMwzD94xehqZDT\nHSSWKHDrtr0nCJoqkW47jHRTFrGA5J+xsw82NUzTbB0D32y0BPyokN/aai+6vWMsugmi2nCcgwcP\ntbUp0mg0JZvuDYZhGLXwxbYKwlCFtBIfOSVQhfTARqOhVnqJpkFTItJttkS6bbsJk+hwnEwsu+O4\nf4zTSwgyNTXV1qbK/PzZwD5/fj5CT3ozOzsX2DMzsxF6wjDMXsIXWNSFlmq0Cm36ortp24Hophw5\nBlyRrRmG1KebbjqMYYSRbsdxYNtNGAa96ZnFUmHHCHgASJspACy6SXLp0gXJvhShJ/0hR4/l9oEU\n2bcvfHKgwlMEhmHUwO8lTb1jhXqEhZQKBLphN5twFGkZ6EblDcDQvc/pXruGYQTn03+qZBi0It2O\n46BUKSHdRnQbuoGkmUS5XBq6Hyy6B+TMmdNtbarcfvsdbW2KyH3Ea7VahJ4wDLOXsL2hKFQHjMgE\n0WMFRKzUvASOAg673Utc2aOC6NZ0HZrup5fQDZrphg7HcTcFjrexNU1ake5arYam3UTKi2pvJ22m\nUCqy6CZHIhGOfo/HE11W0kD2l/rY+mKx2NZmGIa5EdRKL3Gk/9NGtZxueTgO9Q1YvV53O5eokNOt\nG8FTJNuLdFNrV+xHsVNmex2UMlMojUB30DorClCpVAKb+oRHADh7NozGnzt3tsvK6MlmwwIHFdox\nMgyjBs1mExo08nm8gJymQV/EyuklKuSXyDnd1DdgtXq9JaebcqRb07RwAJUnvnWdlrysVl3tljTa\ni+6EkUC1whMpyXHlykJgX758OUJP+oPahd8N/48C4PQShmF2Dze9hL7QAsKcWAU0bIuPtgIOO44d\ntGKkfi3U6nVAN4LuJZRrstz0Ev+6da8DatrDD5hun0bpkzDiLUHVYUHrrCjAiRO3B/Ytt5yI0JP+\nOHjwoGSr0zKQ8g2GYRi1cAspNfJCC5DTNOiLWN9HTQMcBc6t7TjS9CHa53d7egnl90RN08NItye+\nqc0IqVbdQF7caN8/PG7EUatypJsccpvAmZmZCD3pj83NrcDe2trqsjJ6ZmdnJXuuy0qGYZj+cXN5\nabdd8wm7QETsSB/4w3EANQopoVAOeq1eg6OrIrrdUtptR6NwpSN+apmpt++qYmrmSPLmWXQPSD4f\nCtfNzc0IPemP1t7XtDcJxO+BDMMoih/pdpQQ3Y5k044eO0HRHH1ft0P9/aZWqwOmCc1rvUdddG/f\nxFAb8uSfv46iWzdHkjfPontAxsbGA3t8fLzLShqodCM8ffoVybYi9IRhmL2EbdvQNG8MOHHkUfXU\n79+yf45NXMUqRrVWdQW3J7op1zk5jgONWGR7O/61qneQvbqmj+TvjUX3gGxubkg2/Uj35cth4efC\nAu1hPmtra5J9LUJPGIbZSwQ53cTbxAHbhCzxcKzvq6bR91U1arVaS043ZdENgFo2SRv8TjudHR3F\nJcyie0CuXVtta1Nlff2aZK9H6Elv0ulMW5thGOZG8CPd1CPHQKuP1P2VRbcKTxFkwUUs+2EH9XrN\nTS/RdUDXUa8TblHcRqzKT2yYEBbdA1Kvh7tN8jtPAJOTYeEn9dHqk5OTgT0xMdllJcMwTP/Yjord\nS9QR3dDp+wq0BmOp5Rxvp16rBfncmhkjrTccxw6G4fgfbWLpRrrX79x22m8ObTgwjOFLYhbdA3Lg\nwGHJPthlJQ0OHQrbBB4+fCRCT3pjmmErn1isfVsfhmGYQXFsp0OHBXq0ppfQFrJypFsF0Q2AfgWl\nR6NeB7z3RM00USUsut0nSe4mxv9I7XowvDSdTk9kmnYzEObDhEX3gBw9ejywjx8/3nEdFV555SXJ\nfjlCT3pz/vxZyT4XoScMw+wlHMeGBiiR061SpNtvwajpapzb1ug27Uh3o16DZnqdNkwTlRH0kL5e\nmnYTmuYKVs2PKBNLN/IDeQ27fVvAptOAabbvbLKbsOgekEqlFNjlcjlCT/rj2rVrkk07B73ZdCSb\n1h8swzDqYjuOO6lcuUh3hI70gS+slIp0e1DOLmk0Gu6wIU8EaoY5kmmJ10uzGUaJ/Y/U3sPjcXcS\nZc1u3xaw1qwhkWg/rXI3YdE9MIT/UhXnttvCaZ+33np7l5UMwzD9E0zLI5Zn2g6VCin9KcK6DjQV\niHQ7LcNxInSkB7WaG9X2I92OaaJaoxvpbjQaO0S3PGGaAolEHIArrttRa9YQ99YMExbdA3Lhwnxg\nX7x4MUJP9h7pdDqwMxnuXsIwzG7hjv9WL9JNW8gG6SUqRboph7g9gqJJr5ASholKhbDortdhmK5g\n1TQdumG2NJ2gQCKRBABUmu2fGFSaVSRT6bav7SYsugckJf1SRvEo4kYpFPKSXYjQk9688sqLkv1S\nl5UMwzD94zjeM0rK4U0PlSLd/mhtzaDvK9BaRkt5QxOI7qCQMkY80l2HYYTNDwwjRm6Cpq/dKo32\norvcrCCdYdFNjpmZ6cCenZ2N0JP+mJjYH9j79tFuGZjNjgV2JpON0BOGYfYSQXoJfc3dIl6p5cVu\nx/dPV6SQ0rabQaSb8jCfarU1vQSmSbplYL1egyF1HzPNWJAiQ4VEIgENGkr1UtvXy/UyUunU0P1g\n0T0gfg9KVRgbywU29bH1t9xya2DfeuttEXrCMMxeQ51CyqZk0xayLd1LbIe0kAW81pE6zZZ2MoFg\nNcJCSqqiu9Goo9lsIBZLBsdMM4kyscJPXdeRTqZRauxsgGE7Nkr10kiCfWopSALIEx43Nja6rKSB\n3GGlVGq/w6PC888/G9jPPfftCD1hGGZPQlwUAtvTS6hHut30Er+9MfnIvN0EdA3QdeKi2xXYcqS7\nQSxH2sePyptmmG5rxhKolGmJbgDIpDNtI92VRhUOnJHUkrHoHpArVxYl+3KEnvSHvDumlmO1nXw+\nL9lbEXrCMMyeQ4ECOqBVuFLrALEd3z//ATB10W033cmJmq4FGwaKBEWIZlhI2SD6/u0H9mLxMDXD\njKVIBvkymSyK9eKO4/4xjnQTJJcLfynZbK7LShpsbKy3tSly/PiJwD527JYIPWEYZi+hUk63LFwp\nR2OB1pxuwG0dR5mm3XTzjDSN9AahVvMEtjdFUTMMNBs0RXex6ArWWDwsQozHUyiWdorbqMlksyg1\ndm4Gig0W3WRR4aYtMzMzF9jT0zMRetKbycnJwN6/fypCTxiG2Us48HK6CXes8JFTSigLQ2Bnegn5\ndJhGEzB0wNBJP0VoeALbn+4Iw4DdbJLMmS+XXREbl0R3LJFGqUgv0p3NZVFoG+l2feX0EoIkk2Gx\ngAotA2+9VZ3ixNOnrbY2wzDMjRBGuumJlu3IQ2ZUi3RT3yTYTbd7CfX0kuCJgWG0fKSYIuq3JY4n\nQ8GaSGRRKtFrUZzOZtsWUpYC0c2RbnJUKuEvzC8goMzKSjj6nfoY+OCRGkC2UpthGAVxHGjQFBHd\ncqSbrjAEWruXAIqklxg6oOukfQ188yLdGtHR6kA4/yORCAVrIplFtVomd46z2QzK9dKO+4CfcsKR\nboIkk2oNx7l69UpgLy5e6bIyelKpVFubYRjmRrAdG5qmRqRbToGh7q8fiQ/TS2hH5u1G0w3L6xrq\nRHOkAUlc+48QgjaH9ES33/QgkWwV3UDrcD4KpNMZNB0b1WZrwLRUL3uv83AccjgOvYu+G/JOk9qu\nk2EYZhTYtgNN08iLQkC1iZTbu5fQfo+xm2HLQMqi2/+9a37HHe8EU4x05/NbMM04zFgYhEwkc8Fr\nlEgm3WDedtFdbVagQQtGxQ8TFt0D8sorL7e1qeJfZNttihjeIIDtNsMwzI1gOzZ0aCQjhdux7TC6\nTT/S7eV0qxLpbvqRbtqFlMHvPRDd/hTNiBzqwubmFhKp1k5uSe/zrS1qotsV1ZVtorvSqCIRT4Sb\nnCHCymZA4vFwNxeLxbqspAKdv9Inn3wMjz329Y6vLy9fDeylpSt46KEHO6594IEfwKlTD+yqfwzD\n7E3CSDed+2Fn1BHdftFnGOmmK7pt24bjONB1DY5BO72ks+imd343NjeRTI61HEum3M+pRbr9lOBa\ns7VmrNasIREfTbowR7oH5PDhw5J9JEJP+mPfvonApj4GfnZ2rq3NMAxzI9iODV3T3EgnceSNAfnI\nsZ/Trfmf0z2/YUcQHY6uqZFu6Ytv7+MoIrGDsrW5iUSqVXQnPBFOLdJtmm6gtGG3/u4bTgOmMZog\nal+RbiHEKQDfB+APAdxrWdbjw3SKMsQDDzuo1cLHKFG3Gzp16oGe0emf+Il/DQD4tV/7zVG4xDB7\nEr5nh4TCUI2cbkpPJ3vhi2xNgfSSIN/cSy+pExbdO8R1IDwIiu78FqZmD7ccSyQz0DQdm5sbEXnV\nHtOb8LlDdNuN4LVh0zPSLYT4OQAfB/DzAHIA/h8hxH8etmNUkVvZyYKWKqqlw9x22x247bY7onaD\nYZSF79mtBMJQEdHdmlJCW4AHGxq99XOKhG34NEDXggE0FNH9E7otyqfrtES34zgoFjaRTLdGujVN\nRyKZJZdeogVpOq3n1XackT1F6Ce95N8CeBeAomVZKwDuAfDvh+kUZRKJeGDHR5QDdCNMTITpJZOT\n+yP0pD9M0xzZjpNh9ij/FnzPDmiNdNNNfwiRc7ojdKMPgi4bCoju4EmvN5GScqTbF91BDrd3Xg1/\nWA4RyuUSms1mkMMtk0yNYWNjMwKvOuN0SNPRNQ2j2uD2I7qblmXJId0KALpX65CRB+KoEOleWlqS\n7KtdVjIMs0fge7ZEILqhRqRbhn4hpf8Uwf2c8vkNI91e9xKC0x19AnHtnU9ffAcRcCKEPbpzO15L\nJHPY3KQpuren6WjQRlYE3M9v8DEhxO8AyAoh/hWAvwTQuQXFHke1qYlyR5Dl5aUuKxmG2SPwPVtC\njm6p0L2kVWfT9te2m9A0QDdcEUOxj7SPXEip6RoahHuKbxfdYaSb1lPgfN4dftNedGeRL9AaBe9r\ntvi2osmYERtZN5t+RPd/BnAawHcA/N8A/heADw7TKdrQvgluJ5UKJyz5PSoZhtnT8D1bwhfamgY4\nCty/5eg28UA3mk0bmh5GuimLbj+9RDN0wDCIR7o9ce2Lbu+8UksvCUV3dsdriWQOxQKtnO5qtQIA\nSBjxluMJI4HqiDIX+hHdaQCmZVn/F4APAJgFEO/+JXuXqamZwN6/fypCT/pDFtqyAGcYZs/C92yJ\n4NE8NPLpGqrRbDag6ZrUp5uu6A4KJ72cbsotA/26Jsc/n7YNTdfJpZf4Y94TiZ2iO57IoFwukko5\nqlRc0Z00WgOQCSOBSq08El/7eVbxJwC+69lbcIX6HwP4P/v5B4QQ9wH4Dcuy3i6EuA1uCysbwPcA\n/LRlWY4Q4icBvBdu3uHHLcv6ihAiBeCLAKYB5AG8x7KsVSHEmwF8ylv7NcuyPtbfj7o7bG6uBza1\nytx2qNa9hGGYG4bv2RLhoBH6OdIuKg3Hafop0t7ndIXs9kJKWwHRDamQUicW5QaAUqkIAIgndgb0\nEok0HMdBpVJGOp0ZtWtt8TVbJt7qTzaeheM4KJdLyGR2biB2k362Tccsy/oIAFiWteXZt/XzzYUQ\nvwjgDwD4yu+TAD5sWdbb4Gay/5AQYg7AzwB4K9yK+08IIeIA3g/gO97aLwD4qPc9PgfgRy3LOgXg\nPiHE6/vxZbeQCwPW19e7rKSBvEnY2qJV1MAwzFDge3YbNII9jtvhTs/0P6Mtuuv1OnQj7NNdr9MV\nskENlqEDpo4GYV+DNBKvuM+xbeg6PdFdLJYAALH4TtEdT2S8NcWR+tSNfH4LSTOJmN4agMzFXKE9\nimE+/YhuWwjxOv8TIcRJAP1WEJ4B8MMIS0XfKA1p+CqAdwB4E4CnLMuqW5a15X3N6wDcD+ARb+0j\nAN4hhMgBiFuWNe8df9T7HiPj6NFjbW2qbG5uSTatRvUMwwwFvmd3gHrk2MUJTj51d+v1GjQp0k25\n93Uguk0DmmHAsW2yKSZhIWUz+Egx0l2plGDGEm3TXsxY0ltTHrVbHVlfW8d4Ymd7w30Jd1r3xsbw\nA6n9pJf8AoCvCSEue59PA/jxfr65ZVl/LoQ4Lh2SQw15AOMAxgBsdji+1eWYf/xENx8mJtIwzd27\nWPfvD39h09PjmJ7eWbVLide+9jVYWLgQ2NT9jcXc3xV1P31U8lclXwG1/CXmq9L3bGB379vxeJin\nqesald9RR1KpMP1+bCxJ2l9Nt2EYGnTD3R0kkwZZf5NJVxhqpgHHu7bGxuLIZGikPshMTXk6QxoD\nb5j0zq3jNBCLtW/Q4B9PJnUyfm+urWEyMbnj+GTSPVarFYbua0/RbVnW3wohjgJ4LYC6e8i63jJP\nOUt9DMAG3Buy/FPm2hxvd0z+Hh1ZXy9dp6vtefLJbwT2E098E/fe+7Zd/f67zZUrVyV7BSsr+Qi9\n6U297u7sqfvpo5K/KvkKqOXvMH0d9E1A9Xs2sLv37Xw+bFvmOPSvp1KpBn9Wx+ZmibS/+XwRmu7A\nz3xYW9si6+/KinfZmYb7H4ArV65h3z46hX4+m5tuwZ8jtQzUoJE7t+sb+SCivR3/+NWra5iepuH3\n0sLIPwQAACAASURBVNISvm/8NTuOTyT3AQDm5y/tyjnuds/umF4ihPhV7+P/gJuT9x8B/CcAnxNC\nfP46fXlOCPGAZ/8ggMcBfAvA9wshEkKIcQAn4RbsPAXg3fJay7LyAGpCiBNCCA3AO73vMTKq1fAp\nLaXHJp0oFEqSTePCZxhm9+F7difaj36mSmvLQNo+1+s16EaYXkI5pzsYbCeJbr+FHDV2joF3oBHr\nXAIAtWoNhtG+QYNhuk9sqMwzKZfL2ChsYCY1veO1uBHHRHIfri4uDt2PbpHuZ72Pf7/t+PXMy/TX\nfxDAH3hFNy8B+DOvEv7TAJ6Auwn4sGVZVSHEZwH8kRDiCQBVAD/mfY/3AfgSAAPAo5ZlPTOgLzfE\nxET4aEKFsep33nknzp61AABC3BmxNwzDDBG+Z7fBL0p0sHP8M0UcR87ppi26q7UKdMMJhuNQEVjt\n8NvFIWZA89LBymWqotu/aMP0EorXbq1e7yi6TdM9TuWaWFpyBfVsZrbt67PpWVy9fGXofnQU3ZZl\n/ZVn/rhlWf/sev8By7LOw61yh2VZpwH8kzZrHgbw8LZjZQA/0mbt0wDecr3+3Ch1qaF+nXBzfR//\nQgOA5eXlCD1hGGaY8D27Pb5YcaQCRdo4QSY9cc2Neq0GzYDXq9tBvU5DYLWjUil70yh1ODHakW65\nzSVlGo0G9A5TMnXdPU6ljeSVK26Jy1x6pu3rc+lZPH31WXfTO8QNTj/PK5JefiADtBRdpNP0h800\nGmG+mgqbBIZhbhi+Z7cgvYESjBZupzW6TVt11+o1+E01DEMj/R5TLpehxTyB6H0sl2mmiIaXgBZ8\npPjUw242O7Yy1LzjVIbjXLp0EYZmYDYz1/b1Q9mDKFdLWFu7NlQ/+uleMg3gvBBiCUAZ3qNKy7J6\nVqDvRSYn1UovOXjwIJ5/3rUPHToUrTMMw4wCvmd3QJVe3aqkl9Trdfgtj3UDpCPdpXIpEN3+R6p1\nWf4U1VBz0xTdTdtGp9it7o0ppTKl9NKFC5jLzsHssEk4lDvorrt0cajTxvsR3f8SwL8A8ANwK+G/\nCuBvh+YRcYJiDAC12vU2BBgdy8tLks3pJQxzE8D3bGYkNBoNJD0Noxsa2b7XAFAsF4MIN7z0kiDP\nmxi+UPWjxdA0MhHj7fRKxaCSi37x/Hnckb294+uHs4fddRfP4/Wvf+PQ/OhHdH8UQBLAf4NbCPMT\nAO4C8LND84owY2P7AjuX29lknRqXL19qazMMs2fhe3YLUjcQ4ukaqtFoNILOJZoO1Op0A1GlcglO\nzHM27kqfUml3WwrvFkF02D+5ug7HphExVpGtrU2s59dx9MCRjmvSsRSm0vtxYX6+45rdoB/RfS+A\nk5ZlOQAghPhLAC8O1SvCjI2F/RfHx8cj9KQ/EolUYMfjiS4rGYbZI/A9W8J/LK8RzYtVGbtpByPg\ndR1oEo50l0qlMNJt0k4vCZ4Y+KLbMEiOrdc1LUyF2Qalv7ULF84DAI7mDndddyx7FOfPnRuqL/0U\nUi6gdYLYDIDh91UhC71emd04duyYZB+PzhGGYUYF37Ml/MfyOtG82Hb4XlJ5NN8J23aC2lRNc9Ag\n0qmiHeVKWEip6Ro00yAruoM2e15nEM0w0WzQK1I1DKNj2ovtuJF50+wntjtczp93hfTRsc6RbgA4\nMnYEy2vLKJWKQ/Ol37PxHSHE3wJoAHg7gMtCiK/CLc55d/cv3Vvs2zcR2BMTE11W0mBjIxz+trm5\n2WUlwzB7CL5ne8iim2pebGeoi247bAij0ymaa0etUgUmwqe9WsxEiWj3El90a2YYmXdsG41Gg4SI\n9dG7pL340zQ1LfpA5fn5eUyl9iMTy3RddyznivKLFy/gzjt3Tq7cDfr57X182+f/VbLVCBvsIhcu\nnA3s8+fP4667XhuhN72RU0pisfZN7BmG2VPwPVtCFt1NBUS3HN0mHuiG0xLplsaWE6Req4bpJQAQ\nM8m2DAz6h3vv2Zr3sVqtwDSzUbm1A9M0O6aX2DadSPeFc/M4kuse5QbCSPiFC/PRiW7Lsv5+KP+y\noly9Gg6bWVyk/8Q2lQpzulXoK84wzI3B9+xW/OiroeuBEKCNFmyNyKeXOE7LxqBJ9Pw6joN6rQY9\nJrWLixkolWkWUvqbAS3mjlKHN92xUqkgk6Ejut30kvYpRf5xw2jfom9UVCoVLF9bwn233t1z7Xhi\nHLlELsgBHwbRb0GI8eSTj+Gxx77e8fXV1dXAfvHF7+Khhx7suPaBB34Ap049sKv+DYo8kXJp6WqE\nnjAMw4yeQHRrOhqE0x98NE2dxxGOE07P1DRaxXMytVrNHfQpRbodU0eZ6ETKsr8Z8MS25j2xLpVK\n2E9oPIgZM2F3yOMPI93Riu7Lly/BgYMjPYoofQ5nDuHi/Pmh+RN9so1iTE1NtbWpsr6+LtlrEXrC\nMAwzevxOEDFDR7NJN/1BhnZ8W8KRUmA0h6zoDtI1ZAFIuJCyWCxATySDJx2h6B5egd/1YBpmx6dH\nvhg3OoyJHxULC26r5EPZ/oYDHs4dwuLVK0Or/+BI9zZOnXqgZ3T6Qx/6IADgIx/51VG4dEPIF3zU\nj3kYhmFGTdN78zc1A7Zjw7Y7j66mgKxbqYpYH9k/TQPZ9J1gqJ3Zml5SKdOMdOcLBSAhtfj17GKx\nEJFH7TFNI+hSsh0qOd1XrlyGqZuYSvX3iOBAZg61Rg1ra9cwNTW96/5wpPs6yGazyGbp5FV145Zb\nws5hx4/f9FOgGYa5yah7/Y1Nw327azRoCkMfx7GDUDdl0W0H3Sm0Hceo4YtuTcrp1kwzbM1HjI2t\nTSCRDD7XvHkb+Xw+KpfaYhhG5+4lnhjX9Whl5pWFy5hNzwRj6Xsxl5l1v+7KwlD8YdG9x7l2LRz9\nvrZ2LUJPGIZhRo8f6Y57T/2ahHtJA67Q9nWsbVMW3Z7YknK6qYruWs2LdBuS5DF1t6MJQba2tqAl\nwyYIvp3Pb0XlUlt0Xe88HMfvGhSx6F5ZWsJMuv+I9UzKXbu8vNxj5fXBonuPs7god1u5HKEnDMMw\no6ded4eKxLz0Ov9zqjSbTei65tl0Nwh+frw8Bp5qn+626SWmgQbRa2Er3yq6YZrQzBg2Nzc6f1EE\nuH26228MHa8cOMo+3Y7jYHVtBfuTk31/zVhiDKZuYnV1ZSg+seje44yN7ZNs+mPrGYZhdhO/kDKu\nmy2fU6Ver8PwoseUffV90yTR3SA4NRGQ+17vFN3UUnhs20a5kIeWCge5aJoGLZXG2gYt0e12r+lU\n9ht9OXCxWEC1XsXkAKJb13RMJCdwbYVFN3MdvOlNbw7se+65L0JPGIZhRo8vBP1IN2UhCwD1ei3I\ngqDs63bRret0/a1U/O4lYVGfZhqAA3J53cViAXazCS21ba5GKo1rxDqQuRNJ24tr/3in9JNR4E/h\nHk8MFnAcj49hc0gbHO5eoji9+orLN8EXXngOL7/8Qtt1FHqKMwzD7DZBpFsR0V2rVoLBidQEoUy9\n7vrmN8XSDaBWoumvL7q1luE4pvdaGQm5U0jErK25wlpLt44s19IZcnVZruhuH7v1j0eZ57+15Yru\nsXhuoK8bi+dwdYMj3cx1ILfribp1D8MwzKjxRXbCK6SkntNdq1YQ896Zq0SHtwDhhsDL2oFu0j23\nQaS7g+imhD9PQ9s2eVJLZ5Hf3CCVDlOt1WCY8bavGYY72CfKjWOh4HZ7ycYH6zaXjWdRKA6nUwyr\nMMXpp6/4r/3aLwFQo684wzDMbhIOx/Ej3TSFoU+lWkY85jbaqBHtrgGEvvmjIAwTqNdoPkUolbwJ\nj9JESi3u2v7IdSoEojvdKhT1TBa1Wg2lUgmZTKbdl46cWrUWiOvt+GI8StHt/97TZqrHylZSZgrl\n6nCuC4503wTouh552x6GYZgo2B7pptphw6dcLiNuaIibWhihJYjvmyy6azV6hYmAO8lRi5nQ5PdB\nT3QXi7SmPF67tgpo2s70Ei/yvba2GoVbbSmXyzBjybavxbzjUV7DvuhOmqGPv/XMJ1vWtPs8ZaZQ\nb9SHskFnJcYwDMPsWYKcblONloHVShkxE4ibGsrlUtTudMRPy/ADnYapwbGdINebEsViAVp8W0TW\nE93URquvrK5AT2daNwgAtKybl3ztGh3RXSgWEU+k277mHy+Vopui6adnJYz2G4NOJA03x38YGwYW\n3QzDMMyexY9WqTIcp1KtIm5qiJlAhbDo9tMyfNHtp/ZSS9cAgI38JpBozabVEq7jhQKt0epXl5eB\nzM7CP190r67SEd2lYgHxePtUl3jcFd1Rnt9qtQpDM2DqYS7/L77p51vWtPs8bgwvNYZFN8MwDLNn\n8SPdqZgafbqr1RriJhA3gDKxKKyML65NP9LtfQzypwmxld+Ck9gW6U66wsovtqPCyuoytNzYjuNa\nKgPoxtCGtgyK4zjI5zeRTO/0FQB0w0QimYl0oE+1WkW8Q6FnNxKe6B5GITOLboZhGGbPUq/XoGka\n4p4qpJxeYts2arUG4jEgHgPKFXoC1scX177o9j9SjHS7Y9VbxZdmGtBMg9Ro9WazicLmBvR2kW5N\ng5HNYWl5KQLPdlIqlVCv15BO7+u4JpWewNra+gi9aqVarQQCehDiXnpJMMl0F2HRzTAMw+xZ6vU6\n4oaBmE4/p7tWq8EB3EJKw83vpkq5XISmhS0Dw/QSehuFUqEAJHd22dCScWx4A1QocO3aKhzbhtZp\nenRuDFeWro7WqQ74XVZSmYmOa1LpfVi9Fl1v8VqlioQxeA/2MNLNopthGIZh+qZWqyNmGEHLQMoD\nZ/zH2THT/a8yhDf93aJUKsGMa8HkQarpJY1GA7VKZUekGwCQimN9M7pI7HZWVpYBAHquvejWcuNY\n9dZEzfKyK/6zueng2N/85Sda1mysXcLqanT+lsvl6xLdSa/wchgbSBbdDMMwzJ7FfcQcCwopKQ+c\n8SNrMUNDzNBQq9LdIBSLhSClBJDTS2iJ7iB9JLVTfDmJGDa26ES6l7wottZBdOu5cdTKJRJ56EtL\nbppLdmym4xrDiKNcKkTWlrGYLyBjDt7TPB1zi0CH4TeLboZhGGbPUi2XkDANJEx/AqECotuLdNfq\ndIs+S+UC5LkoVNNL/FHgWrv0klQceUKi+8qVy9BMc8c0Sh9t3M2fvno1+hSTK4uXEU9kkEiGvv6z\nf/mhljV3v/XHAACLi5dH6ptPsVhEJta+pWE3MjFXqA+j8wqLboZhGGbPUi6VkTJjMHUdccMkN/Zb\nxp/yGDMA0wDq9QbJYTOA29/aiIW+GUQLKQPh1C69JBlHpUhnk3DpygL0sX1Bys529HE3fzoqEStz\n6eIljE8c6ugrAIxPHAIALCxcGpVbLWxsrWMs0b67SjcysTQMTcfGxu6nHrHoZhiGYfYsxWIB6Zgr\nuNLxOLkJhDJ+vrlpAKahwQHdFoeu6A4/13UNukFvoE+QirG9ZSDcXt3NRmMoBXPXw6WFS8C+yY6v\na7lxQDdw+XI0ItbHcRxcvnIJ+yYPdV2XHZuCacZx6dKFEXkWUi6XUK6WMZnoXOjZCV3TMZGcwNoQ\neqKz6GYYhmH2LMVCKLozsTgKW3RaxG3Hn+Zo6hpM792ZauFnuVJuyekG3LxuapFuf5OltRHdvhAv\nFqMfkFMul5FfX4M+sb/jGk3Xoe+bwPyF86NzrA3Ly0uolEuYnDrWdZ2m6di3/yjOnjs3Is9C/H7m\nk8nBRbf7dZNYXd79nugsuhmGYZg9y1Yhj7FECgAwlkhiK8JhHb2o1dx2hqbhppgAIDlWHfCm/bUO\neYQRc1sJUiLYBMTMnS96J5lCce3Fi+cBAPrEVNd1+sQULlwcfeRYZn7+LABgcup4z7X7p4/j0sXz\nsO3mkL1qZWFhAQBwIHvgur5+LjOLy4sLu57e1eYqZBiGuXn44hf/By7cYOTI//qHHnrwhr7PsWPH\n8eM//u9u6HswIbVaFZVaFWMJtwVYLpHEBcKiO4h0ezndAN1Id61ab0kvAVzRXSKWXhLk8MeMHa9p\nnhAvl6MX3fPzbjRYn+rcDcR/vXj2Fayvr2FionMqyjA5c+Y0DCOG8cnDPddOTt8C63t/i8uXF3Dk\nSPfI+G6ysHARuqZjLjN7XV9/OHsIjy88ic3NDezbd33R8naw6GYY5qbmwoXzuHBmHkdyvd9AOjGu\nuRPk7KXrH7xyKb9w3V/LtGd93S2Emki5HQwmkik8v3QZjuN0LQCLCl9gxwwNpuH6RzHSbds26rXG\nTtFtOqgQm6LZaNQBXW//+zb0cE3EnD7zKvRMFnq6e4s7X5SfO3cWd98djeh+xXoFk9O3wNj+qKMN\n07O3AwBefdUaqeieP3sWc5lZxPQ2aUV9cDjn5qvPz5/DG95w9675xaKbYZibniO5w/jFN/18pD78\n1jOfjPTf34usrbnT8CY90T2ZyqBWr6NUKiLToS1blPjdS+T0kirBXt2+n4bZKmQNE+S6wzSbNjS9\nwwZL1701o019aMdL1svQpud6rtP3zwC6Act6GXff/aYReNZKtVrFpYvncefr3tXX+uzYNFLpMVjW\nK/in//SdQ/bOxbabOHPmVdw7fc91f4/jY8dgaAYs66VdFd2c080wDMPsSa5dc7sPTKbc6OGkF0Vc\nHUJXgt3A7yHu9+kGaOQbb8f3c0dOt0mvkNK2baDTUw3vuG3bI/RoJ6urKyhsrMOY694NBAA004Qx\nNYMXXn5xBJ7t5MyZV2HbTczM3dHXek3TMD0n8NLLL42s/eWlSxdRrpZx+8Rt1/094kYcx8eP/f/s\n3Xd4W+X1wPHv1V62vGf2UqYzgUAme5dAGC1QKGWUbgotBQqFDmZbShdQoNBSSn8thFlWWJlkx47j\nOJETx3a897Zsa9zfH7Kc2LFljSvJCe/nefKA5XuvTpw4Pve95z0H+779CkYmkm5BEAThJOUbq53c\nl2yn9P23fpSM0h7M4XAgSaBRga5vFXm0JbFwTNI9RE139yi7SVANt8oN0JcExrrUaH9fAh1I0g2g\nysim8khpTNozFhYWIEkSaZm2gM9Jz5pOa0tj1L7v9u7NB8CWGNiNwXCmJ07jcFmxot1tRNItCIIg\nnJTq6+tIMJrQqr21GikmS//ro5HD0YVeIyFJEnrN0ddGG18JyeCWgWot9HSPrnIYSZL6k+vjjJKk\nOy8/D5XRhOSnXeCx1NnjkD0eCgujv9q9r3AfSSkT0OqMAZ+TnjUd8Cbs0ZC3aydj48aQaEgI6zpz\nUmfjkT3s3btHochE0i0IgiCcpOprakgxHt2YZtHpMWi0NDSMzqS7vb0Vo96bABp03v9GYhR1uHy9\nrzWDhjxqdN62h7Eu1ziWSqVGdg2s2Xa+t937Px5v0h3IhsBI8Xg85O/dg2fQ5l7H+2sGHHfsx6q0\nTJAk8vNzoxYneG+2Sg4fIj17ZlDnxSdkYTRZKSjYG6HIjuroaOdgcRE5KbPDvtYk60QsOjO7d+1U\nIDIvkXQLgiAIJ6X6+lpSzXH9H0uSRKrZQl1NTQyjGl57WwuGvtVj39Tyjo7RN8ynq2u4pFsCeXSt\nzms03oR6yHrivpsDjeb4doLRUlJSTHdnB1JfW8tASGo16PTszN0VtTppALv9AB6Pm4wgk25JkkjP\nmsG+woKIx7t79048sof56fPCvpZKUjE3JYe83F04ncp0uBHdSwRBUJQSfa9B9L4WwuNyuWhqbSEl\nfWAryBSThfq62hhF5V9rSxOWvtxLJUmY9SpaWkZfX/H2du9o9cFJt7b/RqF91HSH6V/FluX+jZPa\ni0/1vta/0h27pDs3dxdIEsZLrhrwuvGi1X4/1i06g7bNn1FRUc7YseMiHifAvn35qNVaUjOmBn1u\nRvZMSg9tjXi827dsIcWYzPg4Zd5jYfoCNldtYd++fObNC7+LiUi6BUFQVFlZKcWHS0hMDu8fPY0u\nHoCm1tDbeTU3HgkrBuHE1dTUiCzLpA5K/lJMFvaXF4/KXt3NLa2kZRyNyWyEpsbRVwrT1tYKgG7Q\n4qyvzLe1tZX09NAmASpN1dcWEI98/LP9vlVXlSp2Sfe2XdtRp2UGtdINoB4zAYC8vF1RS7rz9xaQ\nkj4FzeC7rQD4SlIKC/dGLN7Ozg4KCvM5e+yZin1vz0yejlFjZOuWL0TSLQjC6JSYPI5zv3JvrMPg\n43cejXUIQoz4Nkv6Nk/6pJjNdPf20tHRQVxc3FCnxkRPTw9djh4sxqM/luP00DgK689bWprR6iVU\n6oGJjdZw9POjhbpvAM5Qmylljy/pjk2lbWNjAzUV5WgXLQn6XJXZgio5jW07t3PppZdHILqB2tvb\nqawoJeeUK0I63xKXgiU+lb1793L++RcrHJ3Xzp3bcXvcnJoRen/uwTQqDQvS5rF71w56e3vR6YK/\n4TiWqOkWBEEQTjq+Ht0pg1e6jZa+z9dHPSZ/Ghq88VhNRxNZq1misWn0JLA+dfXV6E3Hv27o27Pa\n2NgY3YD8iGLJc9Byc3cBoBk3MaTz1WMnUFZS3P/kIZLsdm+/6vTM6SFfIz1rBvai/RHbaLtl8yZS\nTSmMj1d2Jf2UjEU4ehzk5+eFfS2RdAuCIAgnnYaGBiSOTqP0Se4fkDO6km7fyvyApNsk0d3Tq2if\nYCXU1dVgsByfzWp0oNFK1NePnpr5/gRviHID36TKWHVb2b1nN6q4eCRrYkjnq8dOBFmmoCBf4ciO\nd+BAIWq1luS00G4QANIybXQ7uqioKFcwMq/29jb2H9jHovSFipeNTU+yYdGZ2b5tS9jXEkm3IAiC\ncNJpbKzHajShGVSvmzxKp1L6EtUE89GEwff/daNo46fH46GpsRnDEPskJUnCYIHq6oroBzYMj6dv\nT8hQQ3L6krNYjIF3uZzsL9yHKnt8yEmiKjkVlcFI3p7Itw7cv38/yWmTUA+eiBSEtEzvsBrfqrmS\ndu3agUf2sCh9geLX1qjUzEudS+7unWF3MRE13YIgCIJfNpttN+B7hn0YeBT4O+ABCoDv2u122Waz\n3QrcBriAX9vt9vdsNpsReAVIBdqBG+12e8Qz3sa6OpKP6dHtY9Hp0ak1/eUno0VNTQ1ajYRZf/S1\nxL6ku7a2hokTJ8cosoEaGxtwOl2Y4odOFI3xMpVVo2cDc09PN6gkpKHqtvtaBfb29kQ5Kjh8+DCu\n3h70WWNDvoakUiFljiG/ID+iG4N7e3upqChjes4FYV3HbEnBaLJSXHyQc88N71qD7dy2jRRTMuPi\nQv96+rMwbT6bKr+goCCf+fND31ApVroFQRCEYdlsNgOA3W4/s+/XzcCTwH12u305IAGX2Wy2DOD7\nwBnA+cCjNptNB3wb2NN37MvA/dGIu6Gh7rjSEvCuxiaZzDSOsqmUtTUVJJilAYmTdRSudFdVVQJg\nsg79ebNVormpjZ6e6CeyQ+np6UHSDrO+qPUm3b6x9tF08KAdAHV6VljXUadn0dnWGtGbyCNHSvF4\n3KSkTQrrOpIkkZw6iYOHDikUmVdvbw+FBwqYl5ITsRuP6ck29Go9eXm7w7qOSLoFQRAEf+YCJpvN\n9pHNZvvUZrMtBhbY7fYNfZ//ADgHOAXYbLfbnXa7vQ04BOQAS4AP+479sO/YiJJlmabm5v5SksGS\njSYaRl3SXUXCoHsEnUbCbFBRW1sdm6CGUF5eBoB5mAnbvtcrK5Wv2w1FR2cnkm6Ykoi+ZNzhcEQx\nIq8DRQdQxVmRhrgxDIYqzdua8dChIiXCGlJpaQkASakTwr5WUuoE6mqrFL3R2b+/EKfLyWwFplAO\nR6vSMiPJRn5ublgDfkTSLQiCIPjTCfzGbrefD9wO/GvQ59sBKxDP0RKUwa+3DXototraWnG6Xce1\nC/RJNplHVXmJLMs0NDUPqOf2STBBTdXoSGABysoOYzBLaPVDryia+/YEHjlSFsWohtfW0Qb6oVe6\nJb03GY/FRtUjFUeQklLCvo4qMRkkicrKyNXRV1QcQaczYjInhX2thCTvsCrfExMlFBTko1VpsSUG\nP7QnGLNSZtLQXE9dXegTbUVNtyAIguBPEd5Va+x2+0GbzdYIzD/m8/FAC97E+tjG13FDvO57za/E\nRFNYo7mbmqoAhqzpBm/v7rbODuLjdej1+iGPiaampiZcLjdW0/E/kq1mqG1qIDV1dPQULysvxpwo\n460qOp4xDtRaibq6ilERc5ejE1k3TKrTl3TLcm9UY3W73bQ0NqCeOWbkg0cgqdWoLXE0NddH7PdQ\nVVWJNTFbkdINa2I2AK2tdaSmhj+qHaDk4EEmWMejDWOTZyCmJkwBoKbmCLNnTwvpGiLpFgRBEPy5\nCW+ZyHdtNlsW3sR5rc1mW2G329cDFwKfAtuBh202mx4wADPwbrLcDFwE7Og7dsPxbzFQc3NXWAEf\nPOhdZR22vKTvdbu9hMzM7LDeSwmHDpUCA9sF+liNEgcq2qipaYnpuHLw1s7WVNf3T570yV3rYf55\n3gfn3sTMQ+H+Qurr26Mf5CBNzc1I8UMPNJE0aiSNmpqa+qjG2tTUiMftRhMXr8j1ZEs8peWVEfs9\nVFZVk5I+Q5FrWeJTkSQVxcVlisTb29vLoZJDnDvubAWi8y/LkolRY2DXrjzmzj1t2OP83fyI8hJB\nEATBn78B8TabbQPwf3iT8DuAX9hsti/wLt68brfba4E/AhvxJuH32e32HuAZYJbNZtsI3AL8ItIB\n+3pwJw9TXuIrOxktbQObm73DZOKMxyfdcUYJjyxHZQDKSCoqKpA9MuoRluvUGqgoLw+r9lUpjo5O\nMAw/RVAy6mlpje7XtqfHW88sacObbugjaXUR2wzqcjlpa2vGHBd+KQyASqXGZEmkrk6ZPRVVVZW4\nPW4mKDwQZygqScW4uHGUl4ReOiVWugVBEIRh2e12F/D1IT61cohjXwBeGPSaA7g6IsENo7GxHoNW\ni3mYkc1JfWUno6Wu2zc23WI4Pun2vdbS0kxiYvg1teGoqPC2Apy9cmCcvlVun/E5Ege3OWlsnn50\nOgAAIABJREFUbCAlJTVq8Q3mdrvp7e5GrfdTdqDX0toe7aS71/s/GoVSMLWG3q7IrHI3NTWBLGO2\nKJN0A5jMydTXKzOcqrraW0qWYc5Q5HojyTCns7M29A4mYqVbEARBOKk01tcPW88NkGg0ITGaku4W\nJAmMQ5SXm/uS7tbWEUvhI+7IkTJUajAO/QChn6Wvg4kvSY+Vjo6+DZKG4ZNuWa+hrb1t2M9HQn9t\ntGJPAiLXo9v3hMVgUqYUBsBoiqe1TZmveU2NN+lOM0Xn5i7dnE6no5P29tBuckTSLQiCIJxUmhrr\nSTQM34pNo1JhNZpoamqMYlTD6+jowKhToRoicTLqjh4Ta+UVJZisUv/49OGY+tsGxnYyZX9XEj8r\n3ZJeS0eUu5eYzd4bQlmpoTy9PZjNI9wJhaitLzk2GJTbpKk3xNPZoUzS3dLSgkVnRqdWplRnJIl6\n71/uUG+CRdItCIIgnFRaWlpIHKH/caLBSPMoWenuaG8ZdjHWqPMmuKMh6a6pqcQYN/LqrFYnoTNI\n/Y/+Y8Xh8G7IHbZPN4BOS0+U+3T7EmRZqTrsnm7iLJFJun03Ljq9ctfXG8zerjIKrPR3tLdj1g7/\nVEtplr736ugQK92CIAjCl5zH46a1o50Eg9HvcQkGI82jZKW7q7Nj2MVYX77Y1RVeR5dwuVwumpvb\nMAa44GmIk6mqjm15Sf/XbLiJlABaNb3d0Z2eaTQa0ZvMyArUksuyjKetlcy0yNQ0d3d7b0i0OoNi\n19RojSDLikwt7eroxKwJb8BQMExa73t1dnaGdL7YSCkIgiCcNNra2pBlmW0VpayedbSd+KMbPuLe\n5ef3f3y4uRE5xi34fDq7OhiulbRKktBpJByO0H7IK6WlpRnZI2OwBFY7bDB7N7TGUn9Spx3+z1nS\nanC73bhcLjRKbWwMQGpaOlVtCtTp93Qj9/aQkZEZ/rWG4OuKotEql3Rr+67V3e3AYAjvuh6Pm6qO\nGp7Y8eRxn7v7lDuHPGeoYwM9vsfV0/e+nmBDBcRKtyAIgnASae1r/6ZR+f/xppFUtHd24na7oxGW\nX46uTn9lx+i1El1dsU26m5qavLEEuKioN0Fra3tM2wb6km7J36Clvs/1KlVfHaDx2WOhtTns63ha\nvH8umZlZYV9rKN6voYRawcEzGo23/rq3tzfsa8kyRGgP6dD63yu0v9dipVv4UnrllZcoKysN+zq+\nazz88INhXWf8+Alcf/1NYccjCF92vm4LN85fPOD1Y1e5AS6cNotX9myno6MDqzXik+n9cji6ae8d\nuHL26sYerl3mbWei10JXZ2wHzTQ3B5d060wSbpeHjo4O4uJiM5myP5FW+7kB60+6ezENM0wpEsaP\nn8DmzeuRHV1II+w/8MfT1NB/vUjo7e1Fo9Eq2h1FrWDSLUkSWeasYVephxLMsYOPP9JWzi+3PhLy\n10Mk3cKXUllZKQcOFyElhffDQO7bMG1vqQ79Gk0j/zBV4iZB3CAIXwbtfe3f4vT+H1vH9Y1/b29v\ni3nS3eXo9tfVDr1GDnnjllLa+kohAi3t9R3X1tYa+6TbT3kJGlXfseEngMHwJcnupno02eNDvo6n\nqR6D2UxCQqJCkQ3U29vTnyQr5WjSHf7TBYPBQJsn/CcGgepxe/+e6Ef492U4IukWvrSkpDi0F58a\n6zBwvrd9xGO8NwmHUCWF3otU1nmTjKKW0DfveJpiW6MpCCPpT7p1QzS9PkZcX1bYHuUezYM5HA6c\nLjdLbAN/HPtWuQHMeonWluglFkPxle1o/X9Z+x2bdGdnj4lQVP71J9J+avd9pSdKbOoLxtix3kRb\nbmqEMJJuuamRsWPHR6xPd09PD5pA/9ADpNHo+68dLr3RQI87en92vvcSSbcgnORUSakYL1od0xgc\n76+J6fsLwkja2tqQJAnzSEl33w/NNoWGdITK1+/XPMQ0Sh+zQaKyJrZxtrS2oDOM3KPbR9fXPCaW\nQ326ux3egt8Aykt8o9mjJS4uDrM1gZ6m0NtWyh4PnpZGJi1cpGBkAzkc3XQ72vn4nUeP+9y5X7l3\nyHOGOvbY431JvBJfc6PJhMMVvZaPvvcymUIrCRIbKQVBEISTRnt7GxadfshBM8fyJd2xXun2TcWM\n89PhMM4o0eno6e8kEQstLQ39iXQgfM0ufCvksdDV5UDSafyvAve1jXFEuVc3wJjsscitTSGfL3e0\nI7tcjBkzVsGoBnI4HEiSsqmir3uJEl9zi8VCZ28XHjm0biLB6nR29r9vKMRKtyAIgnDSaGtpJj6A\nR79xOj0SRzdexkpNjXc/SKJ5+MQmwexNGmtrayK2YW4kjY31aI0yx7Rv8EurB5Xq6AbMWGjvbPc/\nGAf6G6HHYvhQdkYmB4sPhny+r893enpkenQDdHR2kpoxlbMuuivgc4ZbAffR6b0bVkPtdX0ss9mC\njEy3q7u/h3YkdTm9vd9D3XQrkm5BEAThpNHa0hJQ0q1WqbDoDTEtfwCorq5Co5b8rnQn9fXGrqmp\nimHS3URCduDHS5KEwQx19TWRC2oETc1NyEb/mwClvs/H4u9BWlo6np5uuv73GtKgFpfDlRIeW+In\n97WRTE1Ni1iMnR0dWBJD30s0FF/SrcTmYF+Zh8PliE7S7XKgUWvR6ULbXCrKSwRBEISTRmtrC9YA\nNznF6w20xnAlFqCspIjUeMlvCURynIQkoUib01A4HA4cXd0YzMFt1tObZWpqKiMU1ciampvANEJy\npNeCShWTFfn+rjkhDlrxnWe1JigU0UCyLNPW1oLRqGx3H7Vag05vokWBzcG+pLsrSnXdDpcD0wjT\nbv0RK92CIAjCSUGWZVpaW0lITg/o+MQYj4KXZZkj5UeYmu4/mdWoJVLiVZSWFEUpsoEqKysAMAWZ\ne5msUFtSg8fjQTXCsCKleTweWhobkab7X56XJAlVnJHq2tDbvobK2NefW3/GmahTAlutPnYFvHfH\nZtyFeWi1yg2uOVZXVxdOZw8ms/JJvcmcSGNT+Dc6hr4EOFodTLrdPegD7Zs5BLHSLQiCIJwUOjs7\ncLpdJBoCe8ycYDDR3By7Vnz19XV0OXpITxh5BTndKlFScjgmEx7Ly8sAsATZCtqcKNHb66KhIfqt\nRhsbG3C7XEgJI294k60myivLoxDVQPq+XvG4nCGdL7ucaLTK9tA+VlPfDanRrHwPcKM5icbG8JNu\ndV87SLcnOpNl3R5X/3uGQiTdgiAIwknBl9wlB7jJKdlkpqWtDVeISU+4iosPAZCVOPKP4qxEiY5O\nR3+3k2g6fPgQGq2EIciGDZYk739LSoqVD2oEvhsFKWHkvwtSgoWm+rqoD8hxu/sSRVWISZxKhSfU\n0pQA1NZ66/HjrIE9OQpGXHwa9XU1Yd9EajTegg23HKWkW3ajEUm3IAiC8GVXX18HQKo5sOwwpa/z\nQSwSWYDi4qK+0pGRV7ozk1R954Te7SJU+w/kE5cqBz2AxZIIao2EvehAhCIb3sGDRaCSkJLjRzxW\nlWrF4/ZQWno4CpEd5XT23ez56yPuh6RW43G7FIxooNq+kpu4eOU3asZZ0+nu7gq7ZafL5f39a6To\nVEurJQ0ud+gJvki6BUEQhJNCdXUVAGnmwMaOp/cd5zsv2g4VFZJulVAHMHAmNV5CrYp+0t3R0U5t\nTT3W1OAnHqpUEnHJMoWFeyIQmX/7DuxDlRzfP3HSHyndW7NcVGSPdFgD+Lp3SPoQN+bpDXjcbhyO\nLgWjOqqysgKDMb6/24iS4q3eNodVVeFttPUN2NEpPKp+OHq1Lqzx9SLpFgRBEE4K5WWlJJssGAOs\ncx1j9daqlpcfiWRYQ3K5XJSVl5OZGFgyq1ZJpCeoOFi0L8KRDVRYWABAYoitoBMyJCorqmhvD789\nXKA6OzspPVwMmUkBHS8Z9agSLezK2xnhyAby1UxLoU43NFkGXEdppWVHSEiOzOAd33XD/d7zDdgx\nqEPf3BgMg8ZAV3foNzkx6V5is9l2A76JBIeBR4G/Ax6gAPiu3W6XbTbbrcBtgAv4td1uf89msxmB\nV4BUoB240W63x+bZoCAIgjBqlJUeZkx84J0WTFodySYLpTGoOa6oKMflcpOZGHjnicxEib1HynG7\n3WFt5grG3r170Ggl4lJCOz8xE0r3wL59+SxevETZ4IaRn5+H7PGgHhdEWcS4VIr32uns7MAcYHlS\nuOrq6lCZzEgh1nRLfU9q6uvryc5WNjl2u91UV5UzZeZZil7Xx2hKQG8wh90Gs7HRe8ORqI9M28TB\nEvUJdPd209XVGdKAnKivdNtsNgOA3W4/s+/XzcCTwH12u3053nFXl9lstgzg+8AZwPnAozabTQd8\nG9jTd+zLwP3R/j0IgiAIo0tLSzPVdbXYAmy95jMtORX7/sKodwU5fNi7iTLQlW6AzAQVvU4XVVUV\nkQprAFmWyc3bTkKGjCqAEpihxCWDVi+xZ89uhaMb3hdbNyEZ9Uipgfc4VI1LQ/bI7Nq1I4KRDVRc\nVoKUmBzy+apE70q+b9OokiorK3C5nCSlTFD82uBt1ZiYPIHi4vBueBsa6rDoLOg1eoUi8y/ZmNz3\nvqGt9caivGQuYLLZbB/ZbLZPbTbbYmCB3W7f0Pf5D4BzgFOAzXa73Wm329uAQ0AOsAT4sO/YD/uO\nFQRBEL7EfGUQM1KDq4OYkZpBa0c7FRXRbRl36JAdo07qH/EeCF+CfuhQdOq6y8uP0NrSTlJ2aAk3\neOu6EzNlcvN2RLTThk9bWyv5e3YjTc5ACuJGQUq1orKa+eTzjyMY3VEul4u66kpUSSE+QgAkvQGV\nJY6SshIFI/Py3RSmpE1S/No+yWkTqaw6ElaNdHVFFWnG0L+GwUozeadzhroPJBZJdyfwG7vdfj5w\nO/CvQZ9vB6xAPEdLUAa/3jboNUEQBOFLbNuWzcQbjIxPCKyO12dOejYSsG3bF5EJbBgHCvPJTvI/\niXKwRIuESa/iwIHo1HXn5nprnJODGP8+lOQxEp0djv5ELpI2b96Ax+1BNTW4oCVJQpqaRcmhg1RX\nR36K5uHDxXjcblQp4bXjk1LS2X9gv+JPag4etKM3mLFEoHOJT0raZGSPh5KS0LrGeDweyo6UMC5u\nnMKRDS/LkolaUoXc6SYWNd1FeFetsdvtB202WyMw/5jPxwMteBPrY7egxw3xuu+1YSUmmtAEsHs5\nGFqt93qpqYHtkI+1EyneaMXqe5/RQqtV+/09j6Z4A4s1Oj1TAxFIvD3Epk/zYCPFKgyttbWVPfm5\nnDt5OiopuLWkRKOJWWmZbFr/GVdccXVUJic2NzdR39jMrNnB/QiWJIkxybC/MB9ZDr6FX7C2bF1P\nfIqE3hTe+yRlg6SCbdu3MGXKNIWiO57L5eLdD95FlZ6IKin47yPV1GzcucW89/673HLz7RGI8KjC\nwr0AqDPHhHUdddZYOkoPUVNTRWZmmHdHx9hXWEhqxrSI/h1LzZgKwIEDhdhsM4I+v76+FkePg3Hx\nkdnsORStSkuWJYuSQ6GVxcQi6b4Jb5nId202WxbexHmtzWZbYbfb1wMXAp8C24GHbTabHjAAM/Bu\nstwMXATs6Dt2w/FvcVRzs/KtdJxOb0JRXx+93djhiFa8r7zyUtibInzn33HHnWHHM378BK6//qYh\nP+f7mowWTqfb75/PaIr3RIoVTqx4h4pVJOEjW7fuE9weD8vGTwnp/KXjp/Dsjo3k5e1iwYJTFI7u\neDt3bgdgUnrwCf6kdBVFuW2UlZUwYULkHv3X1tZQWVGNwQK5a49fRZ1/3tCx564duoQkMRO2bt3A\ntV+7IWKJ3Natm2lvbkZz7vyRDx6CZNKjmprFxo3ruHL1NSQkKD+J0Wf77p2oktOQDCG2C+yjzvKu\n8ubl5SqWdDc1NdLYUMuCqZHZROmjN1hISBrDvsJ9XHbZ6pFPGMTX4nGSdaLSofk12TqJrYe3h7Sh\nORZJ99+Al2w2my9ZvgloBJ7v2yhZCLze173kj8BGvGUw99nt9h6bzfYM8A+bzbYR6AGujf5vQRhK\nWVkpB4sL+6eQhaRvI391c2FYsXSEP11WEIQTQGdnJ+//723mZYwhO4jOJcdalD2e1MI81vz338yb\ntzDiq91bt6wnOU5Fanzw7zM1U81HeS62bfsiokn3xo3rANAq1IktbYLEgc1tHDhQyIwZs5S56DFc\nLievvflf0Khx5Zcg7S0d8HntxacOeZ7zve0DPpZdbnC7efudN7jxhpsVjxO8Q2fKS4rRLjoj7Gup\n4q2ok9NYt2kdF154iQLRQUFBPgDpWdMVuZ4/6VkzOHhgHb29Peh0wW2G3F+4D4vOQpYlM0LRDW1a\n0lTWVWygtPQwkydPDercqCfddrvdBXx9iE+tHOLYF4AXBr3mAK6OSHBC2CxJw6+ARNNwqy2CIJxc\n3n//Hbq6HVw+c17I19CoVKyansPzuzazY8dWTjst/GRoOOXlZRQdPMSyGaH9+DXpJSalqVj/+ces\nWnUVer3yXRvcbjefff4hSdmQc1Zw/54P9++/2yVzaIfEJ598EJGk+9NP19JUVwcJ5rBW0iWNGtmo\n49NP13LeuRcoWrLhs2mTd81RM9mmyPXUU2xUbdtIZWW5Iq0Dc3N3YzQlkJgc+VrprHE52As+Zv/+\nQubODfwJhSzLFO7dy7SEKUGXlIXLluhNtPfv3xd00h377EgQBEEQQlBZWc57/3ubxWMnBr2BcrDT\nx01krDWRV/7xNzo7OxWK8HhvvvEfdBqJ+ZNC36ex2KahvbOLzz5bq2BkR+3YsZX2tk6ypipXBqLW\nSKRPktm5cweNjcqO1mhvb+O1N/6DKisJ7RVL0F586nG/hjPUsdrLzwC1in+88pLiGxR7enr46JOP\nUGePRxXg5NSRaCbZkNRq3nv/3bCv5XI5KSjIJ2tcTsT3DACkZ9pQa3T9m3YDVVNTTWNrIzOTg68F\nD5dVbyXbksXevOAnrYqkWxAEQTjheDxunv/rXzBqNVybE34dtkpScfOCM2jtaOfVf/1DgQiPd+jQ\nQXbu3MGCSSqMutATmjHJKsalqHj37ddpb28b+YQguN1u/vvaK5gTpLC7lgw2ZoaEjIc33/yvotd9\n8e/P09Pdg+q06YokipJRj2r+ZPbl7+GLLzYpEOFR69Z9gqOjHe3cRYpdUzKaUE+bxebNG2hoqA/r\nWvv2FdDd3cWY8aHVxQdLrdGRNXYO27dvx+MJfH+NrwQmFkm3732LDtmDbncokm5BEAThhPP2229Q\nXFJMnFbPX7at59ENH/X/Gs6xxwx1/ITEZC6cOpMNGz9n585tisbb3d3NM0//jjiTisXTwq/sPDtH\nQ6ejixf/9oyiq7GbNq2nvq6BCXMJqs91IIwWiaypsGHDupD7HA+2Y8dWdm7finrepJA6lgxHNWs8\nqrQEXvrH87S0NCtyTYfDwZvvvIk6Iwt1hrJ3NNo5C/HIMmveCO+GZuvWL9DqjGSOna1QZCMbN+lU\n2ttb+jdGBmLf3nw0Kk1/32yAJ3Y8OeCYSH48K3kGLrczqJhBJN2CIAjCCaagIJ833/gv8XoDcXqF\ndvr1uXzGPCYmJvPcs3+mtrZGseu++urfqatv5KIFavTa8JPZNKuKZdM17Ny1k02b1isQoXewzKv/\n/jvxKRIpEerCNm6OhFoDf3vx6bBvFpqaGnnuhWdQpcSjmqtsBwtJJaFeNpve3h7+/MwfFBnss+aN\n/9DZ1op20VIFIhxIZYlDO3Memzau4+DB4BJBn97eXnbu2s6Y8fNRq7UKRzi87PFzUWt0AT9V8Hg8\nHDhQiEkTXueXcExJmAx467qDEYvuJYIgCIIQkqamRp7+8+/JjE/gwZUXotcEnhzcu/z8EY/RqtV8\n59QVPPT5e/zxqSd48BePBt1VYbC1az/g888/Jc4Imw+42HzANeDz1y4b+vqvbhz60bXv+FOnqSmp\n8/Di354hPT2DadPC6zbx8ssv4HA4WHRWcEN7gqE3SkxaIGPfZmf9+s9YufLskK7jdrv5/R9/S4+z\nF83KBUgR6DgjJZhRLZ6OffM+3nn3TVaF0NbO58iRMtau/QCNbTbqtOCmpgZKO/9U3CVFPPfiX3n0\nV0+g0QSX4u3Zk0u3o4uJUyO3kXgoWq2BMRMWsHXbFm644SY0I3xPV1aW0+no5KZZNwx4/e5T7oza\nxwaNgYnWCRwo2AdX+Q13ALHSLQiCIJwQent7eerJx3H29PC9U5cHlXAHI9Vs4daFSyivKOdvLzwb\n1opsbu4uXnnlRaZmqrCaFAwSUEkSq07VEmeEJ3/3MLW11SFfa+fObWzbtpXxcyTMCZHdQJc5FRLS\n4ZVXXgy5Bvm11/5NafEhVEtmIlnNCkd4lMo2BtWkDNas+Q8HDoTWytblcvKXZ/+IpNOjU6BN4HAk\nrQ7tacupqSjn3XffDPr8jZvWYzRZSc+Ofp30xKmn4+jqYM+evBGPLS31jr2fnBDd/tyDTbJOpKy8\nNKinICLpFgRBEEY9WZb5+0vPUVJWwq2LlpAVYk/uQM3LHMOqGfP4YssmPvzwvZCuUVx8kD//6bek\nW1VcskjLdcsNXLtMf9yv4Qx17ODjjXqJq07X4HH18PhjD9Ha2hp0nC0tzTz/wl+IS5IYp3w3v+NI\nkoTtdAmXx8kzzz4VdOnG3r17eO+9t1FNy0Y9ObI9miVJQr1kFqp4E3/485O0twc/ZO6tt9ZQVX4E\n7ZKzkBQuhxpMM2EK6onTePOt1/uT00A4HF3k78ll3KRTUamiPwE5M3sWBkMcW7ZsHvHY8vKyvnru\nyI2oD0S2JYseZ09QN44i6RYEQRBGvS++2MTGTeu51DaHhVmR7x8McOn0OSzIHMt//u+flJYeDurc\n6uoqfvubX2HSeVh9uhadJnKrx4kWFatP19Lc3MxvHn8Ih8MR8LmyLPPCC3+hu9vB9CWgUke+TRyA\nMU5iykIoshfx0UfvB3xeS0szf3r6KVQJFtSnR2dFVtJpUK3MoaO9naef/WNQTz5KSw/zzrtvopky\nHc34yZEL8hj6M1YiGYz8+Zk/4nK5Rj4B2L17J263i/GTh2+vGEkqtYYxExaQm7trxI4gVeWVZJoz\not6fezDfUJ7KyoqAz/nS1XQrOar84YcfDDsef6PKBUGIvNbWFlram4/bqR5t5e0VJBgiN3b6RNbQ\nUM/Lf3+OKcmpXD5zbtTeVyVJfHPhGTzw6bs88+en+NUjvwmovrujo53HH3sQj6uHq5ZrsBgin8hm\nJ6lYdaqGNVsr+OMfHucnd/88oMmae/fmsWfPHiYtiHxZyWAZU6D+CKxZ8ypLliwjPt464jkvv/IS\n3Q4H6q+chqSJ3oqsKiUe9SnTKNiWx5YtmzjjjGUjnuN2u3n2+WeQDEZ0p62IQpRekt6A9owzqf3k\nf7z3/jtc9pUrRjxn+/ZtmMyJpKRH58ZgKOMmncKhA+spKNjLggXDt1Ts7OjAorVEMbKh+WLo6gq8\nr/+XbqW7rKyUsuKDyA2NIf+yqjVY1ZqwriE3NFJWfDDsGwBBEIST3T9eeg6Py81ti5ZGfXXLotNz\n84IzqKqt5q231ox4vCzLvPjiszQ3t7B6sYYkS/TinZyh5ty5Ggr27Qto9djjcfPPV/6GMU5iTOQn\nfh9HkiQmL5Lo7XXyRgC9uw8fLmbHti1Is8cr2h4wUKpZ41Alx/Ov//snTqdzxOM//vhDKo+Uoj11\nGVIEJof6oxk3CfX4ybz55usjduGRZZmiogNkZM9EiuHqcVrmNFQqNUVF+/0e19PTg14d3a/nUHwx\n9PQE3qv7S7fSDTDOmhTQLvZI89dPVhCE6LBaE4jrNh+3Uz3antjxJCpr9Np0nSjq6+vYk7+Hy2bk\nkKbQBL9gzU7PYmHWONZ99jFXXHGV3+4KW7duZseO7SyfqSErKfoJzLwJag7XePjvf/5JTs5cv2PB\n8/JyqamuZeYyKWplJYOZrRIZU2Q+/+xjrrryWszm4TdF/uv/XkYy6FDnxGYDnSRJqE6ZRtuHO/n0\n07VccMHFwx7rdDp5463XUWWNRT0xuFHhStEtXkH3mpd55923uPWW24c9rr6+jo6ONmYcs8r98TuP\ncu5X7o36x4kp4ykqOuj39yXL4bdvVILvO0ZspBQEQRBOChs3rgNklkapHnY4yydMob2zg9zc3X6P\ne3PNq2QkqDhtavQ3o4E3Mbxgvha1Sub999/xe2xJSTFIkDwmSsENI2WshMcjU15eNuwxLpeLQ0UH\nkKZmIelit16oyk5GlWAhf5//EeC7d+/A0dmBdvb8qIxTH4rKbEE9cSpfbNlEd/fwdf6+muSk5Ojs\nlfAnMXkcFZXlfo9JTk2lsbsxShENr97hjSElJXWEI4/6Uq50nyiUqD8H5WrQRf25IAjRVlpSTHqc\nlRRTbGs4Z6Z6N02VlZVwyimnDXlMb28PNXUNnG5ToVJ4mmMwzAaJzESJ0sNFfo8rKzuMSgXqYzZ5\n5q71MP88VVQ/nrnU+/5HjpQxffrMIWOtr6/D4/agTox9La+cYKZihM1zn677DNRq1Mds+nW8vwbj\nRauj+rFu0Rl0H9zP9u1bWb78zCFjdbu9my3VmqMlG8euQkfzY41Gh8ftfxx8emYGB/YXIstyzG5o\nAOod3q4l6enpAZ8jVrpHsbKyUkqKC+ls2B/WL6O6C6O6K6xrlBQXivpzQRCiLiU1jdqOtgEdIwaX\n5kXj45buLm88fla1qqqqkGWZAxUDk4bBQ26i8XGyRaKqusZvpw2tVossg8ej3Bj5UPR2H41nOK2t\nLQBI2qNrhc73tg84JlofSzoNHW3+WzM2NTeBRhuRoT3BUCV5/762tLQMe0y4k0GVJY0Yz8SJk+lx\n9VDSNvyTkWjY33gAk8FEamrgSbdY6R7l0qyS3z6u0TLcZDRBEIRIysjIQpZljrQ2Mz4hKWZxFDXU\nAZCePvw0wdTUNIwGHY7e3miFNSRZljlYIzNu7Fi/K4FLlqxg+/ZtNFdDcrb3tWNXoaO2QERIAAAg\nAElEQVT18aGdHlQqFYsWDf0EAWDSpClIKhWe0lpUEwJPcpQmuz3IR+rJyZnv9zhJko6bPHnsKnS0\nPpZd3g2f/p68+LrGdLTVkZg8/B6AaGhvqyVuhC42CxYsQqPWsKNmJ5OsE6IT2CBOt5Pc+j2cevrp\nQU3+FCvdgiAIwqh12mlnYLXE8dedm+jp6zk8eCN8pD++ZeES/rV3BxPHTWDqVNuwsZrNZi66eBWO\nXqhsPLq5avDCSaQ/npaloa3Lw1XXDByTPVhOzjyMJgOle8DtjM1qZ2eLTE2xxJycHOLiht8oq9Pp\nWLnybOSyOuRO79K49uKBPaWj8bHncA1ydy9nrvA/vt5kMiG3tcZ8FdnT2gyAwWAc9pjJk6ei0xmo\nriiIVlhDcrtd1FUdIGdOjt/jTCYzOXPmsb1mB73u2Nzg7q7LpdvVzeLTlwR1nljpFgRBUa2tLTQ3\nNvPxO4/GOhSaG4+gRvS+PpFZrVZu/+4dPPH4r/hH3lZuWXhGVNsGdrucPLtjIx5J4rs/uGvEVa3z\nz7+ETz7+gNe3dnD5aVrGpUR3bWv3YRefF7iYOWM6s2bN8XusRqPl9m/9gKeeeoJ9G2D2mf5XRJXW\n3Smz9zMw6I3c8PVbRjz+gvMvZuOm9bjX5qK+eBGSLrrdfjz1LXi+KCR73Dhmz/afGF5wzgU8/fRT\nuEsPoYlR9xIA556daPV6TveTHGo0GmbOnE3RwT24z3CiVsemi1JN5T6czm5yckbuxX/BRZfwSN5D\nfHLkcy6aGN1udC6Pi7eK/8eYzLHMmjU7qHPFSrcgCIIwqs2encOqVVfyxZHD/GHL5zic0Vndqu/s\n4OH1H3K4uYFbb/uu39ISH6PRyM8ffJSEhBT+s7mXfUf8bwpTiizLfL7Xycd7XMyZncOP7rwvoE1m\nCxacwk03fYumKjiwWcbjjs7KrC/h9rg0/PTuh0hLG7lkJCsrmzvvuBu5pQP3J3nI7ui1jpNbO3Gv\nzcVqTeCen9yPWu2/O81ppy0mOS0DZ972/hKPaHPX1eAuPcQF512E2ex/A+p5511AV2cT9oJPohTd\nQB6Pm7xtr5GUlDpi6Q7AjBmzmJezkA9KPqS9tz0KER61rnwD9V31fO36r6NSBdelSKx0C4KgKKs1\nATdxx+1Oj4WP33kUqzU2rdsEZV2x+hrirQn88+UX+dX6D/jh4jNJt8RH7P3sDbX8edt63BL8+Mf3\nkZMzL+Bz09LSeeiXT/DU7x/lf7uKqGzysHK2JmKj4Nu6ZD7IdVJa5+Hss87l6zfcPGJSeKwzzzyH\njo52/vvfV+nugJnLZQzmyK14t9TKFG4APBruvPNeJkwIvO/2nDlzue3W7/DXv/4ZPtiJ+uy5SMbI\n7nvy1DTj/mwPerWWn93zIAkJIz89U6nUfOPrN/G7Jx+j57P30Z9zCVKQCVo4PK3N9H7yLtakZC68\n8NIRj58zZy5zchZQsPsdJk1bgsEYue+toRQf2EBLUwXf//6d6HS6gM756rXXc9+9d/Hqgf9w25yb\no9LJpL6rgbeL32XWjDnMmRP4vwk+YqVbEARBOCGcc875/PSeB2h1Onno8/fYUn5Y8ffwyB7ePbCX\nJzZ+jDneykO/fDyohNvHbLbw03se4oILLiKvxM2Lnzkpq1d21VuWZfJKXPzt016qW9TcdNNt3PiN\nW4NKuH0uvfRyfvjDH9PdpmH3+97EWGmyLFOxX2bPJzLW+GR++csnRiyBGcrSpSv4znfuQGpqx/32\nVjwN/juJhMO9vxzXBztItMTz0AO/JiMjM+Bz581bwDdvug13RRk9Gz6JWn23p7Odng/fwqDRcP+9\nD/qtlT/W16+/AY+7ly8+ew6PJzpPaABamirJ3fofpk6bwSmnLA74vOzsMVyx+mp21Oxic9WWCEbo\n5fK4eX7vi6BWcfOtt4eU5IukWxAEQYgom82mstlsz9psti9sNtvnNpst5Ek3M2fO5pe/foLsseP5\n645NPLtjI50KdQup7+zgsQ1rWVOYy6JFp/LQrx4nMzMr5OtpNFquu+4m7n/gV+hNifzfJidr85z0\nusJPvtq6ZP77hZOP8lxMmjyNRx97irPOOjes1b5Fi07jl798Amt8Mns+likrkBVLFF29MoUbZQ7t\nlMnJmcevf/VbsrNDn8pz+ulLeOjnj2DRGXH/bwfug5WKxOkju9y4Nu3D/UUhM2fl8MivfhNSvGee\neQ5XX30t7sN2ej57HzmA8fHh8DQ10PPe62hcTu796QNB3SRkZmbzjW/cSnVFAbnb/hvBKI/q6e5g\n/UdPYTAY+N53fxj0399LL13FjGmz+PeB/1Ld6X/cfbjeLn6Xw60lfPOW20hNTQvpGqK8RBBOAK2t\nLXgaG3C8vyamcXga62mVRlNPV+EEsQrQ2e32M2w222nA7/peC0laWjr3//zXvPPOG7z15uscbKzj\n9lOWMTU5tB+EAFvLS/hH3jZklcS3vvU9lixZrtjj6mnTpvPIo3/gtddeZe1H71NSJ3PxQg1jkoNf\n95JlmX3lHj7JdyGj5hvf+CZnnXWeYrFmZ4/h4V//judf+As7tm+npQZmLAGdMfTrtzXK7N8I3R0S\nV1/9NS6++DJUCvSvnjBhIo/++jf8/o+/5dCGAuSaZtSnz0DShFfGIbd14f5sD57GNi65ZBVXXfXV\noGt3j3XJJavQaDS8+uo/6flgDbpzLkEVgWFPrvJSetd9gNlk4u67HwqqbMdn5cqzOXKkjI8//gBr\nQhZTZqxQPE4ft9vJxo//gqOzmfvv/wVJSclBX0OlUnP7d7/P/ffdzZ/znuHeU+/GojUrHuv2mp18\nUPIRK5afxeLFwXUsOZZY6RYEQRAibQnwIYDdbt8GLAr3gmq1mssvv4oHfv5rVEYjj21Yy0cHC4Ne\nmXW63byct41nd2wke+xYHn7kdyxdukLx+lC9Xs/119/Evfc9hEoXx6sbe1m/z4kriI2LXT0yb213\n8t4uJ+PGTeTRx57i7LPPVzxWo9HE97/3Y2666Tba69Xseh9a60K72a60y+R+KKNTx3H//b/i0ksv\nVyTh9omPt/LAfQ9x6VeuwFNUifvdbcitnSFfz1Nai+vtLWi7nNx11z1cc811YSXc4O3ZfeGFl/Kj\nH92N1NZCz7v/xd3X910Jsizj3JdHzyfvkpmRycO/fJyJE0N+mMR1193I7Nnz2L7x75Qe2qpYnMfy\nuF1s+vhpaqv2c8sttzNlyrSQr5WUlMwdd/6Exu4m/rrneVwKl8aUtpbxUsHLTJts48ZvjNxlxx+x\n0i0IJwCrNYFaWTpu+EG0Od5fg9Xqf3CBIAwhHmg75mO3zWZT2e32sNtPTJkylV89/Fue++uf+Hfu\nTooa67h54RmYtCNvxmro6uAv29ZT0tzIhRdcwtXXXBfUoItQzJgxi8ce/yOv/PNFNmxcT0WjzOrF\nWgw6/4lzQ5uH17a46OyBa665josuujTsZNAfSZI466xzmTJlGk/+/hH2fNKE7XRInxhYgi97ZA7t\nkqk8ALPnzOG73/kRFktgtcXBUqnUXH3V15hum86f/vIUPe9uQ332PFSZgQ9TkmUZT95h3LsPMXbC\nBO784d1+p4+GYsGCRTz084d54reP0P7+6+iWnoNmUujJJoDsdtG7ZR2uokLmzl/E977zA789uQOh\nVqu5444f8/gTD/PFZ8+hVmsZO3FhWNc8lsfjYfNnz1FRlssNN9zM0qXhr6ZPmzadm755G88//zT/\nPvAfrp/xNUVuRpu6m/nznmeIt1r5wY9+4ndqaiBE0i0IgiBEWhtwbMblN+FOTDShCaJEIDU1jocf\n+TVr1qzhxRdf5PGNa/nJ0nOx6IbvalHd3spjG9fiROaBBx5gyZLQHxkHL477fnYvp3++mN/99je8\nstHJVadrsZqGThLKGzy8sc2J3mDmqccfZerU6PV9Tk2dzTNPP8uDDz3A/k12HO0wfg5+ExqX01u/\n3VQJq1Zdxq233hbS5s5gnX32cmbNmsY9P7uPmg93oV46C/XUkWvyZY8H9+ZCPEWVrFi5krvuDLyD\nRrBSU+fw12ee5oGHHuLQug/xNDeiXbA4pARRdnTR89l7uGur+epXv8oNN9yg4FOEOB5/7GF++tN7\n2fTJ0yw//wdkjxu5f/ZIPB4PW9f/jSOHt3PLLbdw5ZVXKhCr1+rVX6GluZ7XXn+NdHM65433P8Bo\nJN2ubv6U9zQ9ci9PPvIYEyeGvgfBRyTdgiAIQqRtBi4FXrPZbIuBfH8HNzd3hfQmK1acT0JCGk/9\n/nF+s+kTfrL0nCETb1/CLWvU/Pz+X5KdPZb6+uj2+gWYPXsRd//05/z+yUd4Zb2Ta5dpSLQMTJoO\n17p5Y6uT1JRU7r7nIRIS0mIQq8RPfvwgL7zwF774YjOyDBPnDp0ketwyBeugtVbixhtv5pxzzqep\nKbQ/z1Co1WYeeuARfvf7xzi4YS9096CeM3xts+z24P54N57KRi67bDWrV19Da2sP0BPBKDXc99MH\nefGl59i0cR2e9jb0y88JqqWgp72Vng/fQuru4rvfvYPFi5fQ2Bh6Wc1w7rrrXh555JdsXPtnVpz/\nQzLHBjcM5liy7GH7hpcoKdrM6tXXsGLF+Yr/Xb7k0ispOVzGa7vXkGpMZn5a8J2HwNvF6Ln8v1HZ\nXsVdP74HiyUl4FhTU4d/oiNqugVBEIRIexPottlsm/FuovxRpN5o7tz53PGjn1LZ3sJvN39Cr3tg\nfWdTV2d/wn1fX8IdSzNmzOLnDz6GGy3v7nTh9hytnW53yPxvp4usrCwe/MXjIXdMUIJWq+X223/I\n0qXLKcuHmuLja7xlWca+VaalRuZb3/oe55wT3UmBPmazmfvu+TmLTjkN9/Yi3MXVQx4nyzLujQV4\nKhv55je/xZVXfjUqvZ7B+/W87dbvcOVVXzva2cTlCuhcT3MjPe+9jtbt5IGf/SKsjX0jMZvN3Hvv\n/WRkZrH+oz9QU1kY0nVkWWb7xpcptm/ksstWs2qVcivcx1KpVNz+nR8wcfwknt/7EhXtoXW1WVP0\nJvkNBXz9hm8GNKwn4PgUu5IgCIIgDMFut8t2u/3bdrt9Sd+voki+39y58/ne9++itLmRdw4cXVSX\nZZl/5G3F4XZx789in3D7jBkzlltv+x7VzR42FnoTL48s87+dTtyymu//4KcRq4cOhiRJ3Hzzt5k+\nYzr2rfJxmyvL90HtYbjiiqtZsmR5jKL00mi0fOfbP2TSlKnexLq2+bhjPLnFeIqruWL11Zx55jlR\nj1GSJC77yhXccMPNuI+U0PPxOyMm3u7Geno+eAOjRsOD9/+KyZMjX2pkscTxs/t+Tlp6Bhs++iNN\nDWVBXyN/5xsc2r+Oiy++jNWrr4lAlEfp9XruuOtujEYjf9nzLB3O4J4AbKvewUdln3D2WecpfuMo\nkm5BEAThpLNw4SksXbKcD4r2caSlCYBtFaXsqankyqu+xpgxoyPh9jnllMWsWHEm2w66ae30YK/0\ncKTBww033hpWr3ClaTQafnTHPVit8RzaQX+3mO5OmdJ8mYULF0VsFTNYWq2WH995L0lJybg/z0d2\nHX3q4altxp1bzOlLlrHqstjGe+65F/Ctb30Pd3UFvV98PmwHHtnRRe8n/8NsMPCLn/+asWPHRS3G\nuLh47r3nfsxmM+s+eJKO9oaAzz1YuI6C3e+yfPlZXHPNdVF5mpCYmMQP7/wJTd3NPJ//Ih45sD3b\n5e0V/KPwn0ybbOP6r39D8bhE0i0IgiCclK67/huYTCbeKMzDI8u8XpjLxHETOP/8i2Id2pBWrboK\nCcg/4ia/zE1SopVly1bGOqzjmExmvnrNN2hvkqkr8b5WukdGQsV1190UtRKNQMTFxfGdb30fubMb\nT0Ep0NepZHsR5vh4vvmN20ZFvEuXrmDV5VfhOrQf1/7jtzzIHjc9n3+A1NPN3XfdQ3p6RtRjTExM\n4qc//Rmy7GTd+7/D2esY8Zyq8r3s2PQP5syZzze/Gd2v9dSpNr5+w03sayzk0yOfj3i80+3khb0v\nYTKZ+cGPfoxGE16nkqGIpFsQBEE4KVkscSxdfiZ7a6vYW1NJQ2cH50e41V44UlJSmTVzJvmlHkrr\nPKxYeZ6iPa2VdPrpSxgzNpsj+6CnS6amGM4554KY1p0Px2abQc68BXjyS5G7e5HL6vDUtfDVq67F\nYDDEOrx+l6+6krnzFtK7bQPuxvoBn3Pm7cBdU8mtt3ybCRMmxShCbynUnT+6m7bWGnZsfsXvsY6u\nFrZ8/hyZmWP5wQ9+FJUONoOdddZ5zMtZwBsH3x5xYuVbxe9S2VHFzbd9G6s1ISLxjM7vZkEQBEFQ\nwJIly3DLHj44uA+dRsvChafEOiS/FixaTEe3t7xg/nzleiMrTaVSsXLFuXS2yP2bKlesOCvGUQ3v\nqtVfRXa68JTV4T5YiSUhgeXLV8Y6rAFUKhXfvv176A1GnLu+6H/d09WJqyCXRacuZsmSZTGM0GvG\njFlcdtlqSoo2U3Jwy5DHyLKHLZ+/gNvVww9+cEfYvcNDJUkSN996O3qDnpcK/jFsmcnh1lLWln7C\nyhVnM2/egojFI5JuQRAE4aQ1btwE4s0WKtpamDplWsx++AdqzBhvna4keUeyj2bz5nlvCupKwZoQ\n1x/7aDR+/ATikxJxl9RCVROnLTptVD7xMJstrPrKFbgrynBXVwDgzNsOHjdfvfraGEd31KpVVzJp\n8jR2bHqZbsfxrfSKD2ykuqKA6667MeYblhMSErn2+hs53FrKztrdx31elmVeK1pDnDmOa6+7MaKx\niKRbEARBOGlJkkRWVjadvT1kjxsf63BGlJWVDYDRYEDnZ7jPaJCenkFcvJnuDpg5I2dU1EYPR5Ik\nTl14GlQ3IrvcLFwwep94nHfeBRgtcTj35yO7nLgP7Wfp0hWkp2fGOrR+arWa2279Ni5nN/vy/jfg\ncy5XL3t3vcmkydM466xzYxThQEuWLGNM5ljePPQ2Ls/ADjH5DQUcbD7E5VdejdEY2ZtykXQLgiAI\nJ7XktDRkGFVdQIZjNlsAwh43HS2pqWm4XZCVNbpX5QEmjJ8IfX3Qx42bENtg/NDp9Jy66FQ8lWW4\njpQgu1wsOSP2ZSWDZWePYcmS5Rzc9xmdHY39rxcVfEJXZwtf+2p0OpUEQqVSc82111Pf1cC26h0D\nPvfu4fdIT05n5crwJlgGFEfE30EQBEEQYkir9Y70tlgsMY5kZBqNBkkCtebEGBidmJACQGpqeowj\nGVlGhnelWKPVEh8fH+No/Dtl0WnITieuon3ojSZsthmxDmlIq1dfg0d2U7TvM8A75t1e8DEzZuYw\nffrMGEc30Ny588lIzWRj5eb+1460lVPaWsa5F16EJgrfcyLpFgRBEE5qarX3h+mJsnosISFJJ8aP\nZ63WWwJzItzQJCd7bxAMJtOoWYEdzrRpNgDk5iYmT54SlYQwFCkpqcycmUNZ8VZk2UNdtZ2uzmbO\nPivyq8bBkiSJlWefzaGWYqo6vFNKN1ZuRqPWRm2D6onxXS0IgiAIIfIlWCdKIsvozgcH8LWB0+l0\nMY5kZGazGTgxbr6MRhPmeCtydxfjozgEJxTLli6js72R+tpiSg9tQacz9G+yHW2WLl2JhMSu2lxk\nWWZ3XR7z5y2I2sTXE+RfIEEQBEEIzdGk+8TIZtPT05kyJfLjvZWgUkl9/x396YSvc41mFHYtGUpK\nShrIMhkZo3svwvz5C0GSqK0spLZqP7Pn5KDXj85NwFarlXHZ49nfdIDqzhpae1rJmTc/au8/+r9L\nBEEQBCEMU6ZMRaVSjfoWfD5PPPEnvve9u2IdRlBOhBuaE+3my2zy3iSM9vpzk8lMRno2tdV2Otrq\nmTbVFuuQ/Jo1N4filsPsbdgHwOzZOVF779FZJCSckFpbW+hogty1Qzefj6aOJmhVtcQ6DEEQRoEz\nzljGGaOw+8PJIDk5GSBiE/wi4URYlQfQ928Ajk7pQzimTZvKlq1bAZg8eUqMo/FvypRpvC+/Q1Fz\nEfFmKykpqVF7b5F0C19Kra0tyI3tON/bHutQkBvbaZVG98AOQRCEoaxadRWLFy8hPT0j1qEEZPqM\nmcyYPivWYQTEVy8f6d7RSsjIyMTZ6wBGf2tO3xOvqo5qxoyN7tMvkXQLirFaE+jyVDH/vNivIuSu\n9ZxQKy+CIAgnIrVaHfOJg8H42X2/iHUIAfOVwfiS79EsJSUN8HYKio+3xjga/9LS0lGr1DT3tJAz\nNnIj34cikm7hS8lqTaBGdqC9+NRYh4Lzve3iBkEQBEEYICkpCTgxykt8sZrNllFfM6/RaIg3W2lu\nb+pvIxm1947quwmCIAiCIAgjuuaa6znrrPNISEiMdSgj8k1S1elGZ9eSwcwWM83tTVFf8Ip9HYAg\nCIIgCIIwgFarJSsrO9ZhBMTXA12jGf2lMAAGY2w6w4ikWxAEQRAEQQiZry/3iVB/DkdvDoxGU1Tf\nVyTdgiAIgiAIQsi0fe0NR3s9t49a662uNhgMUX3fL11Nd2trCy0tTTy64aNYh8KRliYStCfGXaEg\nnMzK2yt4YseTIZ/f1tMGQLw+9EeV5e0VjE+fGPL5giAIsaLRaJAkKepJbKh8vdqjXYP+pUu6BUEQ\njjV+/ISwr9Fa1g5AQnpy6HGkT1QkFkEQhGiTJIk777yHrKwTY+qrj0YT3TT4S5d0W60JxDvd3Lv8\n/FiHwqMbPkLys3O2tbWFphaZVzf2RDGqodW1yCRpxYRH4eRz/fU3hX2Nhx9+EICf/ezE6QEsCIKg\npHnzotvzOhy+gUOivEQQBEEQBEEQIuSGG77JvHkLiYuLbvcSkXSPYlZrAhpnNdcui33fy1c39mAW\nA1wEQRAEQTjBWa2JLFu2MurvK7qXCIIgCIIgCEKEiaRbEARBEARBECJMJN2CIAiCIAiCEGEi6RYE\nQRAEQRCECBNJt/D/7d1/jBx1Gcfx91UEWqR3LQdaMNIW45MYBUUUhKbIDzWYYICoESXRRghGDUH/\nMMYqxB9YNGIICRpISCBBjCIRMCigVgpUUn5IRIE8GCItSiKVg2sp11La9Y+d0+Xa2+Nud252bt+v\npGnnuzOzn53sPX3yvfkhSZKkktl0S5IkSSWz6ZYkSZJK5n26JXXd889t4ne3ruloH2MvjQIwf8Fg\nRzkWDy7rKIckSd1g0y2pqw4/fGlX9rPxhS0ALF6yeMb7WDy4rGt5JEnqhE23uurFEXj4zt0z3v7l\nsebf+87vPAeLOttHr9k9spmx39w04+0bYy8BMDB/QUcZGGo/83zOOatmvP9Wl1xyMQCrV3+rK/uT\nJKlKNt3qmm7MKG7c8hQASw7tcF+Lps7TGNnKztvu7+htGmM7ABiYv9/M9zGyFYaWtF2nK8d29Pnm\nvpa0f6+2hgadOZYkaQb6suneNDrCmrvvmPH2o9ub07GD+3c2HbtpdITDhw9qu86zow1uuGdHR++z\nbXsDgAP2H5jxPp4dbbBsuP063ZjhnK3Zza6dAjH6VHN/HTWyS6bMU6djK0mS9tR3TXc3mq3RjU8B\nMDRFwzxlluGD2ubpVmP4nyLvIcMz39+y4e7l6QWeAiFJkmZT3zXddZoxtDGUJEmaG7xPtyRJklQy\nm25JkiSpZDbdkiRJUslsuiVJkqSS2XRLkiRJJbPpliRJkko20Gg0qs5Qqs2bt07rA9577zrWrVvb\ndp2NxX2vp7pv9YknnsyKFSdO5+2nrVt565QV6pW3TlmhXnnrlBVmlvfggw+c+VOtamq6dVuSekW7\nmt139+nuhqGhoaojTEud8tYpK9Qrb52yQr3y1imrJKkaznRLUg9zpluS6mPOzXRHxDzgx8CRwA7g\n3Mx8stpUkjS3RMQA8E/giWLoT5m5OiKOAy4HXgHuzMxvF+tfDHykGL8wMx+IiGHgBmB/4BlgVWaO\nzfJHkaTK1fVCyjOAfTPzeOBrwGUV55GkuegI4KHMPKn4s7oY/wlwdmauAI6NiHdFxNHAysw8Fvgk\ncGWx7kXA9Zm5EngYOH+WP4Mk9YS6Nt0nALcDZOYG4Jhq40jSnPQe4LCIWBsRt0XE2yJiIbBfZv6j\nWOcO4FSadflOgMx8GtinmOX+X70GflusK0l9p5anlwALgS0ty7siYl5m7q4qkCTVWUR8DrhwwvAX\ngO9l5k0RcQJwPXAmr66/W4HlwHbguQnjgzTr9Wgx9mIxJkl9p65N9xbgwJblSRvufrwISZKmKzOv\nAa5pHYuI+TTPzyYz10fEoTSb6db6uxB4AXh5wviBxfiWYp3NLWNtWbclzUV1Pb1kPc2LdSgu6Hmk\n2jiSNCddRDH7HRFHAZsycwvwckQsLy60/BBwN826/OGIGIiItwADmfkcLfUaOK1YV5L6Tl1nun8F\nfDAi1hfLq6oMI0lz1KXA9RExfkeSzxbjnwd+CrwOuCMzHwCIiHuA+2hO6HyxWPe7wHURcR7N2e5P\nzVp6Seohc/4+3ZIkSVLV6np6iSRJklQbNt2SJElSyWy6JUmSpJLZdEuSJEklq+vdSyoRER8AfgE8\nCgwArwcuz8wbq8w1mQl5x23OzE9Uk6i9iFhK8/aPD7UMr83M71STaHIRsRz4AXAY8BIwBnw1Mx+r\nNNheFN+D8zPz7JaxS4HHM/O6yoJNofg+/Cwz3191ltcqIu6ieayz6iyyZpetTjUbrNtls2ZPzaZ7\nehrAH8Z/CCLiAGBdRDyRmX+pNtpeNYDfZ2adbtH1aGaeVHWIdiJiAXALcG5mbijG3gtcCfRi9r3d\nosjbFpWjgce2l1izy9fzNRus25rUrNZsTy+Znlc9JS0ztwFXAR+rJs6UBpiQWV1xOs3/yDeMD2Tm\nAz38H8/evgN+L9QPrNkaZ91W5Zzp7ty/gaOrDtHGyRHxx5bl2zLzh5WlmdrbJ+T9dGY+U1mavVsK\nPDm+EBE3A4PAEuCUzPxXRbnamfg9WA58s6owUoWs2d1Vh5oN1m31AJvuzi0FnoxBpO0AAAKySURB\nVK46RBtrW88Jq4HHenjmYdzTwDHjC5l5BkBE3EfzCX29aO2EcwPX4KxJV0TEG4DtmflKMeSvgHvb\nUqzZ3VSHmg3WbRWqrNmeXtKBiFgInAv05EU5Ks0twKkRcez4QES8FXgzNlz96FpgRUTMAw6h+ahz\n9SBrdl+zbmvctVRUs53pnp4G//91zy6ax++izPx7tbEm1Zq31WmZub2KQK9Bzxe/zNwWEacDl0bE\nEprfg13AhZnZizNok10o0vPHmnpkvAy4ovj3jZn5QpVh9CrW7PLV4WfUuj17ej0fVFizBxqNOhwf\nSZIkqb48vUSSJEkqmU23JEmSVDKbbkmSJKlkNt2SJElSyWy6JUmSpJLZdEuSJEkls+mWOhAR10bE\nZ6rOIUmamjVbVbLpljoz2QMMJEm9x5qtyvhESmkvIuL7wBnAK8BVwO3A1cAiYBtwQWY+OGGbVcBX\naBb0h4AvFU9B2ww8CLwJOCYzd83aB5GkPmDNVh040y1NEBEfB44H3gG8D1gF/Bq4PDOPAr4M/DIi\n9i02GYiIdwJfB1Zm5pE0i/zFxesHAWsy890Wb0nqLmu26sKmW9rTSuDnmbkzM7cBK4DhzLwZIDM3\nACNATNjm1sx8vli+Gjil5fUN5ceWpL5kzVYt2HRLe9oJDLQsHzFhmWK59fSseRPWmdf6embu6HJG\nSVKTNVu1YNMt7elu4KyI2CciFgA3Arsj4kyAiDgOeCPwt5Zt7gI+GhGLiuXzgLWzF1mS+pY1W7Vg\n0y1NUPxKcj3wZ+B+4EfACcAFEfEIcAVwVmbuLDZpZOZfgTXAuoh4HFgIfGP89dnML0n9xJqtuhho\nNPxuSZIkSWVypluSJEkqmU23JEmSVDKbbkmSJKlkNt2SJElSyWy6JUmSpJLZdEuSJEkls+mWJEmS\nSvZfOVQVo0T3EYMAAAAASUVORK5CYII=\n",
"text": [
"<matplotlib.figure.Figure at 0x1133269d0>"
]
}
],
"prompt_number": 69
}
],
"metadata": {}
}
]
}
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment