Skip to content

Instantly share code, notes, and snippets.

@y-marui
Created November 20, 2023 01:36
Show Gist options
  • Save y-marui/2c4b6c9117cebbd0de792c6338b0d087 to your computer and use it in GitHub Desktop.
Save y-marui/2c4b6c9117cebbd0de792c6338b0d087 to your computer and use it in GitHub Desktop.
Display the source blob
Display the rendered blob
Raw
{
"cells": [
{
"cell_type": "markdown",
"id": "2fe55817-f268-4ded-ae98-fa09c3b958cc",
"metadata": {},
"source": [
"# Comparison of difference in fitting result and evaluate stander deviation"
]
},
{
"cell_type": "code",
"execution_count": 1,
"id": "a1ce0035-30ef-4e5e-88ad-336aa7152f44",
"metadata": {},
"outputs": [],
"source": [
"import numpy as np\n",
"import matplotlib.pyplot as plt\n",
"from lmfit.models import LinearModel, ParabolicModel"
]
},
{
"cell_type": "markdown",
"id": "7b8da485-1a10-4fa8-ab24-36d2aed60a82",
"metadata": {},
"source": [
"## Linear Model"
]
},
{
"cell_type": "code",
"execution_count": 25,
"id": "3480ad97-a583-4255-902d-d3b08c6eeca1",
"metadata": {},
"outputs": [],
"source": [
"num = 100\n",
"NUM = 1000\n",
"rate = np.linspace(0, 10, num=num).repeat(NUM)\n",
"data = []\n",
"stderr = []\n",
"\n",
"for r in rate:\n",
" x = np.linspace(0,1, 10)\n",
" y = x + r * np.random.randn(10)\n",
" \n",
" model = LinearModel()\n",
" params = model.guess(y, x=x)\n",
" result_main = model.fit(y, params, x=x)\n",
" \n",
" data.append(result_main.params[\"slope\"].value)\n",
" stderr.append(result_main.params[\"slope\"].stderr)\n",
" \n",
"delta = np.abs(np.array(data)-1)\n",
"stderr = np.array(stderr)\n",
"\n",
"# plt.plot(rate, delta, \"o\", label=\"delta\")\n",
"# plt.plot(rate, stderr, \"o\", label=\"evaluate\")\n",
"# plt.legend()\n",
"\n",
"# print(\"1 sigma\", np.sum(delta < stderr) / num, \"68\")\n",
"# print(\"2 sigma\", np.sum(delta < 2 * stderr) / num, \"95\")\n",
"# print(\"3 sigma\", np.sum(delta < 3 * stderr) / num, \"99.7\")"
]
},
{
"cell_type": "code",
"execution_count": 26,
"id": "d10d8f71-a8e9-41ca-b0ea-a932e9c6af15",
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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",
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.plot(rate.reshape(num,NUM).mean(axis=1),\n",
" np.sum((delta < stderr).reshape(num, NUM), axis=1) / NUM,\n",
" \"o\", \n",
" label=\"lmfit\")\n",
"plt.axhline(0.68, label=\"ideal$\")\n",
"plt.xlabel(\"Standerd deviation\")\n",
"plt.ylabel(\"Ratio of result in $1 \\sigma$\")\n",
"plt.ylim(0, 1.2)\n",
"plt.legend()\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 29,
"id": "6a61f5d9-5e46-49f4-bc73-e157e3e8df76",
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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",
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.plot(rate.reshape(num,NUM).mean(axis=1),\n",
" np.sum((delta < 2 * stderr).reshape(num, NUM), axis=1) / NUM,\n",
" \"o\", \n",
" label=\"lmfit\")\n",
"plt.axhline(0.95, label=\"ideal$\")\n",
"plt.xlabel(\"Standerd deviation\")\n",
"plt.ylabel(\"Ratio of result in $2 \\sigma$\")\n",
"plt.ylim(0, 1.2)\n",
"plt.legend()\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 30,
"id": "dbf652c4-6c86-4944-82c4-ec1e96a18e49",
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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",
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.plot(rate.reshape(num,NUM).mean(axis=1),\n",
" np.sum((delta < 3 * stderr).reshape(num, NUM), axis=1) / NUM,\n",
" \"o\", \n",
" label=\"lmfit\")\n",
"plt.axhline(0.997, label=\"ideal$\")\n",
"plt.xlabel(\"Standerd deviation\")\n",
"plt.ylabel(\"Ratio of result in $3 \\sigma$\")\n",
"plt.ylim(0, 1.2)\n",
"plt.legend()\n",
"plt.show()"
]
},
{
"cell_type": "markdown",
"id": "7e62dce5-8b77-4290-8b5e-9ba16c8b4912",
"metadata": {},
"source": [
"## Parabolic Model"
]
},
{
"cell_type": "code",
"execution_count": 31,
"id": "0b0cac57-e88c-43b8-a412-96eb3cf7e3a3",
"metadata": {},
"outputs": [],
"source": [
"num = 100\n",
"NUM = 1000\n",
"rate = np.linspace(0, 10, num=num).repeat(NUM)\n",
"data = []\n",
"stderr = []\n",
"\n",
"for r in rate:\n",
" x = np.linspace(0,1, 10)\n",
" y = x**2 + r * np.random.randn(10)\n",
" \n",
" model = ParabolicModel()\n",
" params = model.guess(y, x=x)\n",
" result_main = model.fit(y, params, x=x)\n",
" \n",
" data.append(result_main.params[\"a\"].value)\n",
" stderr.append(result_main.params[\"a\"].stderr)\n",
"\n",
"delta = np.abs(np.array(data)-1)\n",
"stderr = np.array(stderr)\n",
"\n",
"# plt.plot(rate, delta, \"o\", label=\"delta\")\n",
"# plt.plot(rate, stderr, \"o\", label=\"evaluate\")\n",
"# plt.legend()\n",
"\n",
"# print(\"1 sigma\", np.sum(delta < stderr) / (num * NUM), \"68\")\n",
"# print(\"2 sigma\", np.sum(delta < 2 * stderr) / (num * NUM), \"95\")\n",
"# print(\"3 sigma\", np.sum(delta < 3 * stderr) / (num * NUM), \"99.7\")"
]
},
{
"cell_type": "code",
"execution_count": 32,
"id": "9447dea9-9dfe-4b16-a6e2-2cbc5e8d3fcc",
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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",
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.plot(rate.reshape(num,NUM).mean(axis=1),\n",
" np.sum((delta < stderr).reshape(num, NUM), axis=1) / NUM,\n",
" \"o\", \n",
" label=\"lmfit\")\n",
"plt.axhline(0.68, label=\"ideal$\")\n",
"plt.xlabel(\"Standerd deviation\")\n",
"plt.ylabel(\"Ratio of result in $1 \\sigma$\")\n",
"plt.ylim(0, 1.2)\n",
"plt.legend()\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 33,
"id": "690be113-216d-4958-9160-4c880c93aa4b",
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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",
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.plot(rate.reshape(num,NUM).mean(axis=1),\n",
" np.sum((delta < 2 * stderr).reshape(num, NUM), axis=1) / NUM,\n",
" \"o\", \n",
" label=\"lmfit\")\n",
"plt.axhline(0.95, label=\"ideal$\")\n",
"plt.xlabel(\"Standerd deviation\")\n",
"plt.ylabel(\"Ratio of result in $3 \\sigma$\")\n",
"plt.ylim(0, 1.2)\n",
"plt.legend()\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 34,
"id": "344ca50d-09b1-446a-97fa-d668ae613293",
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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",
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.plot(rate.reshape(num,NUM).mean(axis=1),\n",
" np.sum((delta < 3 * stderr).reshape(num, NUM), axis=1) / NUM,\n",
" \"o\", \n",
" label=\"lmfit\")\n",
"plt.axhline(0.997, label=\"ideal$\")\n",
"plt.xlabel(\"Standerd deviation\")\n",
"plt.ylabel(\"Ratio of result in $3 \\sigma$\")\n",
"plt.ylim(0, 1.2)\n",
"plt.legend()\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"id": "f1f6e1d5-288b-47a9-a2af-c8c255b05cba",
"metadata": {},
"outputs": [],
"source": []
}
],
"metadata": {
"kernelspec": {
"display_name": "2022 Fukami Lab",
"language": "python",
"name": "2022-fukami-lab"
},
"language_info": {
"codemirror_mode": {
"name": "ipython",
"version": 3
},
"file_extension": ".py",
"mimetype": "text/x-python",
"name": "python",
"nbconvert_exporter": "python",
"pygments_lexer": "ipython3",
"version": "3.11.6"
},
"widgets": {
"application/vnd.jupyter.widget-state+json": {
"state": {},
"version_major": 2,
"version_minor": 0
}
}
},
"nbformat": 4,
"nbformat_minor": 5
}
@y-marui
Copy link
Author

y-marui commented Nov 20, 2023

全体的に、正規分布の場合(ideal)と比べてlmfitから得られた値(lmfit)はnσ区間に入る割合が若干低い。

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment