Skip to content

Instantly share code, notes, and snippets.

Show Gist options
  • Save anonymous/0c094cbfe5d8e08ec4406a798f85f269 to your computer and use it in GitHub Desktop.
Save anonymous/0c094cbfe5d8e08ec4406a798f85f269 to your computer and use it in GitHub Desktop.
Устройство светодинамическое янтарь 01 схема

Устройство светодинамическое янтарь 01 схема



ВТорге Украина
"Алагир C6100" - Светорегулирующее устройство.
Comments Disabled:

Светодинамические установки СДУ находят широкое применение в автомобильной электронике для управления стоп-сигнальными "огнями" , для эстетического оформления баров, дискотек, казино, праздничной иллюминации, при подготовке выставок, презентаций, а также для организации световой рекламы. СДУ с программируемыми алгоритмами позволяют реализовывать большое многообразие светодинамических эффектов и управлять по программе большим числом световых элементов. Такое устройство можно выполнить, к примеру, на одном микроконтроллере и нескольких регистрах, в качестве интерфейсных схем, для управления набором световых элементов. Применение распространенных микросхем стандартной логики позволяет построить полностью автономное многоканальное светодинамическое устройство с интегрированным программатором, не требующее использования никаких дополнительных программаторов, вообще, или компьютера, в частности. СДУ можно разделить на три типа: Представленное в данном проекте устройство условно можно отнести к промежуточной между второй и третьей группой категории. В данном проекте реализована VHDL-модель устройства управления светодинамической индикацией. Язык описания аппаратуры для высокоскоростных интегральных схем VHSIC , называемый VHDL, является формальной записью, которая может быть использована на всех этапах разработки электронных систем. Вследствие того, что язык легко воспринимается как машиной, так и человеком он может использоваться на этапах проектирования, верификации, синтеза и тестирования аппаратуры также как и для передачи данных о проекте, модификации и сопровождения. Светодинамические установки СДУ , описания которых часто встречаются на страницах радиотехнической литературы, по архитектуре построения можно условно разделить на три группы. Первая группа - это устройства, в которых реализован ограниченный набор эффектов при относительно небольших аппаратных затратах, вторая группа - более сложные по архитектуре устройства с использованием ИМС памяти типа РПЗУ, третья группа — СДУ на микроконтроллерах. Применение устройств второй и третьей групп позволяет получить большое многообразие светодинамических эффектов. Реализация устройств первого типа не вызывает затруднений, в то время как изготовление СДУ второй группы требует применения специальных программаторов. Как показывает практика эксплуатации светодинамических устройств, эстетический визуальный эффект создают именно устройства с "запрограммированным" алгоритмом, а не сформированным случайным образом с использованием генератора случайных чисел ГСЧ. Поэтому устройства на основе ГСЧ можно не рассматривать в данной классификации. Второй недостаток заключается в том, что в любом из указанных случаев набор светодинамических эффектов жестко фиксирован. Поэтому, если в устройствах на основе РПЗУ можно изменить набор эффектов путем перепрограммирования микросхемы памяти с использованием программатора, то в устройствах на основе жесткой логики, в которых заложен фиксированный алгоритм, это вообще невозможно. Неограниченное число световых эффектов доступно лишь автоматам на базе ПЗУ и МК, но для запуска таких приборов в действие пользователь должен располагать компьютером и программатором. Третьим недостатком можно назвать возможность управления только ограниченным набором светоизлучающих элементов светодиодов, ламп накаливания , поскольку на каждый элемент приходится один сигнальный провод. Схема первого переключателя представлена на рис. Это устройство управляет двумя гирляндами, состоящими из малогабаритных светодиодов красного и зеленого цветов, и предназначено для украшения небольшой новогодней елки. На транзисторах VT1, VT2 собран симметричный мультивибратор, частота переключения которого определяется номиналами резисторов R1 — R4 и конденсаторов Cl, C2. Для указанных на схеме номиналов этих элементов частота составляет около 1 Гц. В коллекторные цепи транзисторов включены две гирлянды из светодиодов HL1 — HL Диоды VD1, VD2 и резисторы Rl, R4 необходимы для обеспечения перезарядки конденсаторов С1 и С2. Источник питания переключателя гирлянд выполнен по схеме однополупериодного выпрямителя на диоде VD3 с использованием балластного конденсатора С4 для гашения напряжения. Диод VD4 необходим для перезарядки конденсатора при положительной волне относительно нижнего по схеме провода сети напряжения, резистор R6 ограничивает импульс тока при включении устройства в сеть, когда конденсатор разряжен. Через резистор R5 конденсатор С4 разряжается после выключения устройства из сети. Пульсации выпрямленного напряжения сглаживаются конденсатором СЗ. Стабилитрон в блоке питания отсутствует, а напряжение на элементах мультивибратора ограничивается напряжением на включенной гирлянде светодиодов, то есть светодиоды выполняют функцию стабилитронов. Поскольку в любой момент времени обязательно включена одна из двух гирлянд, напряжение на конденсаторе СЗ не может превысить напряжение на светящейся гирлянде. Основа устройства - четырехразрядный регистр сдвига с параллельной загрузкой КИР Узел управления регистром состоит издвоичного счетчика КИЕ7 и логических элементов DD1. Эффект "бегущих огней" достигается в одну сторону за счет обычного сдвига кода в регистре, в обратную - параллельной записью в регистр его на один разряд. Задающий генератор автомата собран на элементах DD1. Её можно изменить подбором R1 И С1. Автомат может управлять не только светодиодами, но и лампами питающимися от сети. Для этого их надо подключить по следующей схеме. Рассмотрим особенности работы этого устройства. На инвертирующий вход компаратора DA2 поступают пилообразные импульсы с частотой, равной двойной частоте сети. На неинвертирующий вход компаратора поступают треугольные импульсы инфранизкой частоты, которые формирует генератор, собранный на логических элементах микросхемы DD1. Допустим, на выходе логического элемента DD1. В этом случае через диод VD5 и резистор R11 конденсатор С4 будет заряжаться, а напряжение на нем будет увеличиваться. Когда оно достигнет верхнего порога переключения триггера Шмитта, последний переключится в противоположное состояние, и на выходе элемента DD1. Теперь конденсатор С4 будет разряжаться через открывшийся диод VD4 и резистор R При уменьшении напряжения до нижнего порога переключения триггер Шмитта вновь переключится в противоположное состояние, и процесс формирования импульса повторится. В результате на конденсаторе С4 форма напряжения будет близка к треугольной. Воздействие этого напряжения на неинвертирующий вход компаратора приводит к формированию на выходе компаратора импульсов тока изменяющейся скважности; эти импульсы тока, протекая через цепь управляющего электрода симистора VS 1, изменяют яркость ламп гирлянды они подключены к гнездам "Нагрузка" от минимальной до максимальной и наоборот. Стабилитрон VD3 необходим для того, чтобы "приподнять" пилообразное напряжение до уровня, соответствующего нижнему порогу переключения триггера Шмитта. В качестве микросхемы DA2 можно использовать, помимо указанной на схеме, компараторы типа КСАЗ. При использовании компараторов других типов придется применить усилитель тока выходного каскада. Транзисторы VT1, VT2 могут быть любыми структуры n-р-n. Замена остальных радиодеталей, думается, не вызовет затруднений. Настройка устройства состоит в регулировании подстроечными резисторами R10 и R 11 скоростей зажигания и гашения ламп гирлянды. Больше режимов, чем у первой схемы, но меньше чем у нашей схемы, есть режимы, когда все огни горят либо все погашены, то есть в этом случае нет никакого бегущего огня. Схема управления выходными тиристорами не предусматривает стробирования импульсов управления сигналом нулевого напряжения сети, т. Предлагаемое устройство предназначено для плавного переключения обычной сетевой елочной гирлянды с часто той 0,2…2Гц. Яркость свечения ламп можно регулировать. Предполагается использование гирлянды напряжением питания В, мощностью не более Вт. Принципиальная схема автомата переключения изображена на рис. Частотой переключения управляет мультивибратор, собранный на элементах DD1. Сдвиг момента открывания тиристора VD6 о т начала полупериода сетевого напряжения происходит из-за задержки переключения инверторов на логических элементах DD1. В каждом полупериоде напряжения сети конденсатор С3 медленно заряжается через резисторы R5, R6, R7 и быстро разряжается после переключения элементов DD1. Начальный сдвиг фазы напряжения, определяющий яркость свечения ламп гирлянды, устанавливают подстроечным резистором R6. Желаемую часто ту переключения мультивибратора устанавливают подстроечным резистором R8. Конденсатор С4 лучше всего выбрать неполярным. Допускается применение оксидного конденсатора с малым током утечки. При налаживании устройства следует помнить, что его элементы находятся под напряжением сети. Сначала отключают один из выводов диода VD11 и налаживают регулятор мощности так, что бы при перемещении движка резистора R6 освещенность ламп гирлянды изменялась от нуля до номинальной. После подключения диода VD11 подстроечным резистором R8 устанавливают желаемую частоту переключения гирлянды. Подстроечным резистором R9 добиваются, что бы конденсатор С2 успевал полностью заряжаться за полупериод переключения мультивибратора; это обеспечивает плавность переключения гирлянды. При необходимости мощность гирлянды может быть увеличена. Для этого тиристор КУК должен быть установлен на тепло отводящий радиатор, а диоды моста заменены на поддерживающие соответствующий ток нагрузки их так же придется у становить на радиаторы. Если же вместо тиристора использовать симистор КУ, то диодный мост вообще не потребуется, а мощность гирлянды может быть доведена до 2 к Вт. Приведенная схема реализует простейший световой эффект — плавное мигание ламп. Она является одной из самых примитивных конструкций автоматов световых эффектов. Управление всего одной гирляндой, отсутствие световых эффектов, а лишь мигание, схема создает помехи для других электроустройств. Многообразные световые эффекты для праздничной иллюминации позволяет создавать программируемая светодинамическая установка ПСДУ. В ней вместо микросхем с жесткой логикой использовано постоянное запоминающее устройство ПЗУ. Прибор может коммутировать 8 различных устройств по 32 программам, состоящим из 16 тактов. Причем возможны следующие варианты управления: В ПЗУ хранятся 32 программы по 16 тактов. С каждым импульсом, приходящим с генератора, меняется выходной код счетчика тактов. Соответственно меняется выходной код ПЗУ. Через каждые 16 тактов на счетчик количества повторений и устройство реверса приходит импульс, увеличивающий состояние первого и изменяющий действие второго. В результате направление счета счетчика тактов изменяется на противоположное, и ячейки ПЗУ опрашиваются в обратном порядке, приводя к смене изображений на экране. Когда состояние счетчика количества повторений достигает значения, заданного переключателем программ, счетчик программ увеличивает свое состояние на 1, вызывая тем самым новую программу, записанную в ПЗУ. Действует ПСДУ следующим образом. На логических элементах DD2. Частоту их следования можно плавно менять переменным резистором R4. С генератора импульсы поступают на двоичный реверсивный счетчик DD4, и далее с его выхода информация приходит на ПЗУ. Когда счетчик тактов досчитывает до 15, на выходе переноса Р появляется импульс, который через счетчик количества повторений DD1 поступает непосредственно на тактовый вход микросхемы DD5 счетчика программ. Счетчик программ выполнен на МС DD5 и триггере DD3. Входным кодом ПЗУ, определяемым счетчиками тактов DD4 и программ DD5, DD3. Если переключатель SA1 находится в нижнем по схеме положении, на выходе триггера DD3. В верхнем положении SA1 реализуется обратный счет. В среднем положении того же переключателя импульсы поступают со счетчика количества повторений DD1 , и на триггере DD3. Возможны два режима выбора программ. В ручном фиксируется одна из 32 программ, номер которой в двоичном коде задается положением кнопок SB1 - SB4, SB6. При отпускании кнопки SB5 осуществляется автоматический перебор программ, начиная с номера, установленного SB1 - SB4, SB6. Основываясь на выбранном направлении проектирования разработана схема структурная Э1 чертеж АПА. После включения блока питания генератор опорной частоты подает импульсы на делитель частоты и на сдвиговый регистр. При включении устройства формирователь сброса вырабатывает импульс для установки сдвигового регистра в ноль. Также импульс сброса поступает на формирователь кода. В формирователе кода формируется такая комбинация: С формирователя кода эта комбинация подается на сдвиговый регистр, откуда она поступает на схему управления нагрузкой и на индикатор режимов. Таким образом, после включения питания в устройстве вся нагрузка остается выключенной на время тактовых импульсов. В представленном устройстве исключаются состояния, когда все нагрузки включены или не включена ни одна. При подаче го импульса в формирователе кода формируется комбинация , что означает: Формирователь сброса подает импульс на переключатель направления счета, который определяет в какую сторону будет сдвигаться комбинация на сдвиговом регистре: При м импульсе комбинация будет переписана в сдвиговый регистр, после чего формирователь кода инкременируется, в нем устанавливается комбинация В сдвиговом регистре первоначальная комбинация сдвигается вперед. Таким образом при следующем импульсе с генератора включается вторая нагрузка желтый светодиод , далее — третья нагрузка зеленый светодиод , при последующем — четвертая синий светодиод , а затем возврат на первую нагрузку красный. Так происходит бег огней в одну сторону 32 раза. Далее в сдвиговый регистр заносится код , переключение нагрузок повторяется, а в формирователе кода подготавливается комбинация Дальнейший инкремент формирователя кода вызывает другие цветовые комбинации. Так происходит 14 раз со сдвигом сформированных кодов от до одну сторону и затем формирователь кода переходит к комбинации , но сдвиг будет выполняться 14 раз в другую сторону, так как переключатель направления переключается после получения числа 14 на формирователе кода. На индикаторе режимов загораются светодиоды красного, желтого, зеленого и синего цветов в соответствии с состоянием нагрузки. Генератор импульсов включает в себя резисторы R9, R10, R11, конденсатор С13 и микросхему серии КТЛ2 инвертирующий усилитель с порогом Шмитта - DD1. Генератор опорной частоты собран на логических элементах DD1. Частоту следования импульсов можно плавно менять подстроечным резистором R Делитель частоты представляет собой счетчик до DD2. Формирователь кода состоит из: Формирователь сброса включает в себя такие элементы: Переключатель направления реализован единственным элементом — микросхемой серии КТМ2 D-триггер - элемент DD2. Сдвиговый регистр представлен микросхемой КЛА3 логическая функция И с инверсией — DD6. Когда на входах S0 и S1 находится комбинация 11, происходит занесение комбинации свечения с микросхемы DD7. Индикатор режимов реализуется светодиодами VDVD20 красного, желтого, зеленого и синего цветов и резисторами RR Схема управления нагрузкой содержит такие элементы: Блок питания состоит из: Блок питания вырабатывает два типа напряжения: Нестабилизированное напряжение идет через диодный мост VD9-VD12, сглаживается конденсатором С1. VDVD16 — детектор прохождения U пит через ноль. В данном бакалаврском проекте разрабатывается устройство на логических элементах микросхемах ТТЛ-технологии. Подобное устройство может обеспечить достаточное количество световых эффектов, необходимых для работы светодинамической установки. Приоритетным направлением проектирования в данном проекте является максимизация количества и разнообразия световых эффектов, создаваемых установкой. Для проектирования СДУ нам понадобятся два типа конденсаторов: Конденсаторы К — конденсатор оксидно-электролитический, алюминиевый. Конденсатор постоянной емкости, общего назначения. Достоинство — широкое распространение. Выбираются резисторы С — непроволочные, тонкослойные, металлодиэлектрические, металлоокислые. Данные резисторы отличаются высокой стабильностью параметров, слабой зависимостью сопротивления от частоты и напряжения и высокой надежностью. Очень стойки к большим температурам. Широко распространены в радиотехнике. В качестве светодиодов выбирается серия АЛВ — данный тип светодиодов получил широкое распространение как в быту, так и в промышленной электронике. Очень компактны, потребляют очень мало энергии. При проектировании устройства управления светодинамической индикацией необходимо использовать транзистор. Его выбор определяется следующими условиями: Транзисторы КТA - транзисторы кремниевые планарно-эпитаксиальные n-p-n. Выпускаются в пластмассовом корпусе. Масса не более 0,18г. Обладают очень маленьким обратным током коллектора, коэффициент усиления от 20 до В качестве основных выбраны микросхемы й серии, так как эта серия имеет низкое энергопотребление, широко распространение, имеют низкую стоимость, а также серия содержит всю требуемую номенклатуру, за исключением выходного усилителя, выполненного на микросхеме ЛН5, обеспечивающей требуемый повышенный выходной ток для управления тиристором. Диоды КДА обеспечивают выпрямление первичного напряжения для блока питания 12 В с током до 1 А. По сравнению с четырьмя устройствами, представленными в разделе 1. Также схема управления выходными тиристорами предусматривает стробирование импульсов управления сигналом нулевого напряжения сети, в результате чего переключатель не создает коммутационные помехи для электрооборудования, которые тем больше, чем мощнее нагрузка. Генератор импульсов реализован на элементах: R9, R10, R11, С13, DD1. Рассчитывается общий период следования импульсов и частота генерации импульсов. В представленном генераторе частота может меняться в зависимости от положения ползунка подстроечного резистора R Когда ползунок находится в самом верхнем положении - частота максимальна, когда в самом нижнем — частота минимальна. Таким образом, имеется две схемы для расчета — для минимальной частоты и максимальной. Рассматривается для начала интегрирующая RC-цепь данного генератора в качестве сопротивления в ней выступают резисторы R9, R10, R11 — в зависимости от положения ползунка подстроечного резистора R11, в качестве конденсатора выступает С Напряжение на конденсаторе U c при его заряде через резистор R от источника постоянного напряжения E изменяется по экспоненциальному закону:. Напряжение схемы управления нагрузкой для рассчитываемой схемы составляет 12 В, а ток с выхода микросхемы DD5. U VD 17 — напряжение на светодиоде VD17 АЛВ , определяемое по справочным данным [2];. Выбирается сопротивление, равное Ом. Длительность импульса сброса должна превышать один период тактового генератора, чтобы гарантировать установку всех элементов схемы в требуемое исходное состояние. Минимальная частота генератора 4,56 Гц исходя из расчета , соответственно период равен 0,22 с. Рассчитывается время достижения на входе микросхемы DD1. Расчет проводится аналогично расчету длительности нулевого состояния тактового генератора по формуле 5. Сопротивление R8 выбираем исходя из номинального значения для микросхем й серии 3 кОм. Расчет блока питания расчет цепи, состоящей из резистора R 3 и транзистора VT 1. Следовательно, рассчитанное сопротивление минимально и коэффициент запаса не учитывается. Само устройство имеет два вида питания: Из справочных данных [1] получается, что на один выход микросхемы серии К технологии ТТЛ, можно нагрузить 20 входов микросхем этой же серии или 40 входов серии К н не должен превышать 1. Надежность — свойство объекта сохранять во времени в установленных пределах значения всех параметров, характеризующих способность выполнять требуемые функции в заданных режимах. Для количественной оценки надежности важнейшее значение имеет отказ. Все количественные характеристики надежности электронных устройств имеют вероятностный характер, так как отказ является случайным событием. Электронная аппаратура относится к классу неремонтируемой, поэтому показателями ее надежности являются:. Исходными данными для расчета надежности являются типы элементов, их количество, значения интенсивности отказов, условия эксплуатации и режимы работы элементов. Языком описания называется набор синтаксических и семантических правил, определяющий формат представления устройств. Языки описания аппаратуры ЯОА позволяют создавать адекватные модели проектируемых устройств и эффективно выполнять соответствующее имитационное моделирование, обладая при необходимости независимостью от конкретных аппаратных структур. Описательным возможностям ЯОА, а также средствам для документирования проектов всегда уделялось особенное внимание. Дело в том, что на различных этапах проектирования используются различные алгоритмы и программы и, соответственно, различные виды исходных данных. Поэтому требуются языки описания, позволяющие представлять исходные данные для проектирования в форме, воспринимаемой существующими пакетами программ. В зависимости от типа программы и набора исходных данных используются самые разнообразные языки описания: Однако каждый из языков описания является входным форматом независимо разработанных программ, и поэтому имеет индивидуальные особенности. Поскольку такие ЯОА специализированы, то они не взаимозаменяемы. В результате, при проектировании интегральных схем, например, возникала необходимость подготовить входные данные с использованием до 10 различных языков описания. Сложно осуществить и автоматическое преобразование между языками, так как различаются принципы построения моделей описания. Это объясняется тем, что описательные способности первоначального языка в результате преобразования будут ограничены. Поэтому одной из основных задач в развитии ЯОА явилась разработка концепции общего языка описания. Стандартизация ЯОА позволяет избежать избыточности в описательных выражениях языков и обеспечить их унификацию для поддержки документирования проектов. Этот аспект очень важен, так как сопровождение проекта документацией способствует успешному выполнению разработки. Способность ЯОА к многоуровневому представлению устройств обеспечивает транспортабельность проекта, что позволяет различным проектировочным подразделениям эффективно взаимодействовать. Унификация ЯОА позволяет организовывать эффективное взаимодействие между различными САПР, способствуя созданию экономичных проектов. Например, разработка, выполненная с использованием свободно распространяемой САПР БИС Alliance, в формате Verilog передавалась в САПР Cadence. На основании описания ВС в Cadence выполнялась автоматическая генерация тестов и моделирование устройства с целью проверки его функционирования на соответствие заданной функции. Наиболее широкое применение приобретает язык VHDL VHSIC Hardware Description Language. Он разрабатывался как язык описания аппаратуры для высокоскоростных интегральных схем. Первоначальное назначение языка заключалось в обеспечении обмена проектами между различными соисполнителями работ по созданию сверхскоростных интегральных схем. Однако позже с учетом предложений и рекомендаций известных специалистов в области ВС язык был усовершенствован и стандартизирован Институтом инженеров по электротехнике и радиоэлектронике IEEE , в результате чего в году был утвержден стандарт IEEE Standart VHDL. Язык VHDL обеспечивает высокоуровневую абстракцию описания аппаратных средств благодаря наличию как множества предопределенных типов данных, так и возможности создавать пользовательские иерархически организованные типы данных на основе базовых, заложенных в языке. Благодаря этим возможностям, и, так как язык VHDL легко воспринимается как программными средствами, так и человеком, он может использоваться на этапах проектирования, верификации, синтеза и тестирования аппаратуры, для передачи пректных данных, модификации и сопровождения проекта. В настоящее время он используется для работы с ВС любого уровня сложности — микросхема, плата, блок, устройство, ЭВМ, комплекс. В данном бакалаврском проекте основной задачей являлось создание VHDL-описания устройства управления светодинамической индикацией. Описание данного устройства проводилось согласно принципиальной схеме, которая вынесена на плакат. VHDL поддерживает три различных стиля для описания аппаратных архитектур: Все три стиля могут самостоятельно или совместно использоваться для проектирования архитектуры ВС. При структурном описании structural description объекта проекта архитектура представляется в виде иерархии связанных компонентов. Каждый экземпляр компонента представляет часть проекта, которая, с другой стороны, может быть описана объектом проекта низшего уровня, также состоящим из связанных компонентов. Таким способом может быть построена иерархия объектов проекта, которая представляет весь проект. Компонентом может быть один вентиль, микросхема, плата или целая подсистема; иерархия может представлять структурное разбиение проекта или функциональную декомпозицию. Вначале необходимо описать интерфейсы и архитектурные тела для всех подсистем компонентов. В структурном архитектурном теле перед ключевым словом begin располагается описание внутренних для архитектуры сигналов, служащих для соединения между собой базовых компонент. Внутри архитектурного тела порты интерфейса также могут быть интерпретированы как сигналы. В этой же области архитектуры до ключевого слова begin располагаются описания базовых компонент, из которых она строится. Во второй части архитектурного тела находится совокупность реализаций компонент. Каждая реализация является копией объекта entity , представляющего подсистему и использующего соответствующее архитектурное тело. Ключевые слова port map специфицируют межсоединения портов каждой реализации компонента с внутренними сигналами архитектуры и сигналами интерфейса основного объекта, который построен на базе этих компонент. В данном проекте использовался структурный стиль с описанием некоторых компонент в поведенческом DFF, TFF, generator , а также в потоковом стиле компонент мультиплексор. Весь текст VHDL-описания устройства приведен в приложении А. Структурный стиль VHDL-описания основной программы был выбран по нескольким причинам: Редактор конечного автомата допускает простой и однозначный графический ввод проекта. Так как проект может быть легко перенастроен на любой конечный элемент, редакторы автоматов становятся очень популярными среди проектировщиков, которые по достоинству оценивают технологическую независимость. Редактор состояний автоматов допускает графический ввод информации в проект в форме конечных автоматов. Таким образом, конечный автомат FSM представляет собой процесс переходов между состояниями в ограниченные числе "состояний". Проект FSM содержит следующие атрибуты:. Создание модели устройства управления светодинамической индикацией на языке VHDL преследовало две цели. Реализация устройства в виде одной БИС значительно снижает стоимость, габариты, повышает надежность, простоту изготовления. В качестве нового изделия предлагается эффективная схема устройства управления светодинамической индикацией. Достоинствами нового изделия являются достаточно большое количество реализуемых световых эффектов при относительно низких аппаратурных затратах, а также широкая область применения устройства. В данной работе были предложены расчеты электрических параметров, показателей надежности, а также моделирование схемы на языке описания аппаратуры VHDL. Обработка результатов моделирования и разработка программы велись на персональном компьютере. Данная работа может применяться при производстве электронных устройств на базе программируемых логических интегральных схем, когда основными требованиями к разработке являются требование надежности электронного устройства, его универсальности, а также низкого энергопотребления и сравнительно невысокой себестоимости. Имеющиеся на рынке аналоги уступают разрабатываемому устройству по количеству реализуемых световых эффектов, помимо этого они могут создавать помехи в работе другого электрооборудования, включенного в общую с ними сеть. Возможности применения светодинамической установки широки, например, при оформлении различных эстрадных представлений, дискотек, иллюминировании фасадов зданий. Элементная база данного устройства подобрана из доступных и недорогих элементов, что делает его доступным для внедрения в производство. Себестоимость представляет собой выраженные в денежной форме текущие затраты предприятия, ПО, НИИ на производство и реализацию продукции. В ходе производственно-хозяйственной деятельности эти затраты должны быть возмещены за счет выручки от продаж. Использование показателей себестоимости на практике, во всех случаях требует обеспечения единообразия затрат, учитываемых в ее составе. Для обеспечения такого единообразия, конкретный состав расходов, относимых на себестоимость, регламентируется Типовым положением по планированию, учету и калькулированию себестоимости продукции услуг, работ в промышленности постановление КМ Целью учета себестоимости продукции является полное и достоверное определение фактических затрат, связанных с разработкой, производством и сбытом продукции. К материальным затратам относятся затраты на сырье и материалы, а также на покупные комплектующие изделия ПКИ с учетом транспортно-заготовительных расходов. Аналогично затратам на сырье и материалы рассчитываются затраты на покупные комплектующие изделия таблица 6. К затратам на оплату труда относится основная и дополнительная заработная плата персонала, занятого выполнением конкретных работ: Расчет затрат на основную заработную плату приведен в таблице 6. Для расчета заработной платы производственных рабочих необходимо определить трудоемкость изготовления изделия, которая определяется в виде суммарной трудоемкости технологических процессов изготовления изделия по формуле:. В ряде случаев для определения трудоемкости можно использовать статистические зависимости. Так, например, для определения трудоемкости монтажа блоков, выполненных на интегральных микросхемах, применяется формула:. К общепроизводственным расходам относятся затраты на управление производством; на амортизацию основных средств общезаводского назначения; на расходы некапитального характера, связанные с усовершенствованием технологий и организации производства, улучшением качества продукции, повышением ее надежности, долговечности и других эксплуатационных свойств; расходы на обслуживание производственного процесса. В этом разделе обобщаются расходы, которые направлены на обслуживание и управление фирмой: Сюда относятся налоги, сборы и другие предусмотренные законодательством обязательные платежи, а также затраты, связанные с профессиональной подготовкой или переподготовкой работников аппарата управления и другого общехозяйственного персонала. К расходам на сбыт принадлежат затраты, связанные с реализацией сбытом продукции товаров, работ, услуг и включает расходы на содержание подразделений предприятия, которые связаны со сбытом продукции; тару упаковку продукции; расходы по доставке продукции н а станцию отправления и на погрузку в транспортные средства; комиссионные сборы и т. По результатам проведенных расчетов составляется калькуляция себестоимости, приведенная в таблице 6. В заключении следует отметить, что в результате проведенных расчётов по разработке устройства управления светодинамической индикацией определены себестоимость и цена изделия. По сравнению с имеющимися аналогами цена разработанного устройства в 2 раза ниже, при этом оно не уступает аналогам по основным параметрам. Разработка устройства завершается созданием и передачей заказчику одного экземпляра изделия на основе разового заказа. При серийном выпуске устройства управления светодинамической индикаций цена снизится примерно в 3 раза. При значительной интенсивности труда, которая наблюдается при переходе к рыночным отношениям, нельзя пренебрегать вопросами сохранения здоровья и обеспечения безопасности людей, вовлеченных в производство. Внедрение новых технологий и новых видов техники требует постоянного внимания к вопросам обеспечения безопасных и высокопроизводительных условий труда, ликвидации производственного травматизма и профессиональных заболеваний. Охрана труда должна осуществляться на научной основе, которую составляют следующие условия: Современная действительность диктует повсеместное использование компьютерной техники, в том числе в автоматике и других отраслях промышленности. В связи с этим возникла необходимость разработки санитарно — гигиенических норм и защитных мер при работе с компьютерами. В представленном дипломном проекте главным этапом реализации объекта разработки является написание VHDL-описания работы разрабатываемого устройства. Важной составляющей трудового процесса использования ПЭВМ является значительная информационная нагрузка и как следствие — нервное переутомление. Причиной его возникновения может быть несоответствие реального результата и ожидаемого, чрезмерная интенсивность информационных потоков, которая не соответствует индивидуальным возможностям человека, неблагоприятное воздействие производственной среды и другие факторы, вызывающие стрессовое состояние у человека. Поэтому для научно обоснованного подхода к оптимизации умственного труда, получение необходимых данных оптимальных условий труда должно осуществляться комплексно с применением знаний по промышленной гигиене и эргономике. При выполнении данного проекта использовалась такая техника: Перечисленное оборудование использует напряжение промышленной электросети до В, поэтому необходимо выполнять правила по безопасному ведению работы с электрооборудованием — ПУЭ [12]. При работе на ПЭВМ на человека воздействует ряд опасных и вредных факторов, которые классифицируются согласно ГОСТ Опасным фактором называется фактор, вызывающий травму или повреждение организма. Эти факторы разделяются на физические, химические, биологические и психофизические основные из них приведены в таблице 7. В ф — яркость фона; В о — яркость объекта. Производительность труда во многом зависит от условий на производстве, таких как: Каждый из параметров по отдельности и в совокупности с другими влияет на состояние организма человека, определяя его самочувствие. Категория выполняемой работы относится к легкой физической Iа: Параметры являются оптимальными, так как категория работы III напряженная. Для обеспечения вышеуказанных оптимальных метеорологических условий в помещении предусмотрена система отопления общее паровое , вентиляции общая приточно-вытяжная искусственная и кондиционирование согласно СНиП 2. Расчет необходимого количества кондиционеров, должен проводиться по теплоизбыткам от машин, людей, солнечной радиации. При проектировании дисплейных классов вузов необходимо предусматривать приточно-вытяжную вентиляцию. Вытяжка - естественная из верхней зоны стены, противоположной оконным проемам. Двуокись углерода не должна превышать 0,1 об. По категории пожароопасности здание относится к категории В - ОНТП— [20], класс по пожарной опасности оборудования закрытого типа — П-IIа ПУЭ [12], огнестойкость конструкции здания - II степени, согласно ДБН В1. В помещениях имеется система пожаротушения в соответствии с ГОСТ Для обеспечения в помещении заданного температурного режима в соответствии с требованиями СНиП 2. Воздух поступающий в помещение должен быть очищен от загрязнения, в том числе от микроорганизмов и пыли. В соответствии со СНиП 2. Для обеспечения необходимых санитарно-гигиенических параметров воздушной среды при эксплуатации устройства в помещении имеется естественная и искусственная вентиляция. Естественная вентиляция осуществляется через оконные проёмы и двери. Основной недостаток естественной вентиляции заключается в том, что приточный воздух вводится в помещение без предварительной очистки и подогрева, а удаляемый воздух не очищается и следовательно, загрязняет атмосферу. Искусственная вентиляция осуществляется системой отопления, а также с помощью приточно-вытяжной вентиляции с механическим побуждением и местной вентиляцией. Забор воздуха извне производится вентилятором через калорифер, где воздух нагревается и увлажняется, а затем подается в помещение. Количество подаваемого воздуха регулируется клапанами или заслонками. Вытяжная система вентиляции удаляет загрязненный и перегретый воздух через сеть воздуховодов при помощи вентилятора. Чистый воздух подсасывается через окна и двери. Загрязненный воздух перед выбросом наружу очищается. Воздух внутри рабочего помещения может оказаться насыщенным пылью, попадающей туда одновременно с забором неочищенного воздуха с улицы. Поэтому необходимо проводить влажную уборку помещения, а также регулярно проветривать его. Работоспособность оператора во многом зависит от освещения. Неудовлетворительное освещение количественно или качественно утомляет не только зрение, но и вызывает утомление организма в целом, оказывает влияние на производительность труда оператора. Для обеспечения нормального освещения применяется естественное, искусственное и смешанное освещения, которые нормируются СНиП 4-II [16]. Лаборатория обеспечивается боковым естественным освещением в светлое время суток, в темное — системой общего искусственного освещения. Нормированные значения КЕО, согласно СНиП 4-II [16], для зданий, расположенных в I, II, IV, V поясах светового климата, определяются по следующей формуле:. В лаборатории применяется общее искусственное равномерное освещение. Данные по нормам освещения для создания комфортных условий зрительной работы приведены в таблице 7. Все производственные помещения, с постоянным пребыванием в них людей в соответствии с санитарными нормами и правилами, имеют естественное освещение. Защита от статического электричества производится в соответствии с санитарно-гигиеническими нормативами допустимой надежности электрического поля. Пожарная безопасность — состояние объекта, при котором с установленной вероятностью исключается возможность возникновения и развития пожара. Пожарная безопасность в соответствии с ГОСТ Для данного класса зданий и местности со средней грозовой деятельностью 10 и более грозовых часов в год, то есть для условий г. Харькова установлена III категория молниезащиты [24]. Для успешной эвакуации персонала при пожаре размеры двери рабочего помещения должны быть следующими:. Задачей законодательства об охране окружающей среды является регулирование отношений в области охраны, использования и воспроизводства природных ресурсов, обеспечение экологической безопасности, предупреждение и ликвидация отрицательного воздействия любой деятельности на окружающую среду. В данном случае при разработке устройства и программы не применяются материалы и технологии, наносящие вред окружающей среде. Разработчик использует санитарно-гигиенические места общего пользования с разработанной безопасной для окружающей среды системой очистки. При изготовлении действуют экологические стандарты, которые определяют требования к производству и материалам, использующимся в конструкциях приборов. Работа на используемом ПК не оказывает вредного воздействия на окружающую среду. После истечения срока службы он полностью подлежит вторичной обработке, а также все используемые в данной работе материалы допускают нетоксичную переработку после использования. В данном бакалаврском проекте проведена разработка устройства управления светодинамической индикацией. Данное устройство обладает следующими достоинствами: Были проведены расчеты электрических параметров устройства, а также расчет надежности радиотехнических элементов. Также выполнено моделирование работы схемы на языке описания аппаратуры VHDL, построены временные диаграммы и графы состояний. Полученное описание на языке VHDL позволяет синтезировать заказную БИС, реализующую устройство в одной микросхеме. Источники электропитания на полупроводниковых приборах. Применение языка VHDL при проектировании специализированных СБИС: Языки VHDL и Verilog в проектировании цифровой аппаратуры — М.: Опасные и вредные производственные факторы. Предельно допустимые значения напряжения прикосновения и токов. Естественное и искусственное освещение. Общие санитарно-гигиенические требования к воздуху рабочей зоны. Общесоюзные нормы технического проектирования. Определение категорий помещений и зданий по взрывопожарной и пожарной безопасности. Допустимые уровни на рабочих местах и требования к проведению контроля. Все материалы в разделе "Промышленность и производство". ВВЕДЕНИЕ Светодинамические установки СДУ находят широкое применение в автомобильной электронике для управления стоп-сигнальными "огнями" , для эстетического оформления баров, дискотек, казино, праздничной иллюминации, при подготовке выставок, презентаций, а также для организации световой рекламы. Классификация устройств светодинамической индикации Светодинамические установки СДУ , описания которых часто встречаются на страницах радиотехнической литературы, по архитектуре построения можно условно разделить на три группы. Позиционное обозначение Исходное значение Рассчитанное значение Выбранное значение R3 1 кОм 4 кОм 4 кОм R5 Ом Ом Ом R12 Ом Ом Ом C12 мкФ ,5 мкФ мкФ. Наименование Кол-во, штук Цена за штуку, грн Сумма, грн Резистор С 14 0,04 0,60 Резистор подстроечный СПа 1 0,50 0,50 Конденсатор К 4 0,80 3,20 Конденсатор керамический КМ6 4 0,40 1,60 Диод КДР, КДА, КД 12 0,50 6,00 Светодиод АЛ 4 0,15 0,60 Тиристор КУН 4 1,50 6,00 Микросхема MAX 1 11,00 11,00 Микросхема КРЕН5А 1 2,00 2,00 Трансформатор ТС10 1 10,00 10,00 Транзистор КТА 1 1,50 1,50 Корпус 1 30,00 30,00 Шнур 1 5,00 5,00 Итого 78, Наименование статей калькуляции Сумма, грн Сырье и материалы 15,25 Покупные комплектующие изделия 78,00 Транспортно — заготовительные расходы 11,40 Основная заработная плата ,8 Дополнительная заработная плата ,58 Отчисления на социальные мероприятия в том числе: Наименование фактора Источник возникновения фактора Характер воздействия на человека Нормированные параметры и нормативные значения Норматив- ный документ 1 Повышенный уровень статического электричества ЭЛТ Опасность поражения током, раздражение кожи Потенциал не более В ГОСТ Отчисления на социальные мероприятия в том числе: Установки пожаротушения и их составные части. Расчёт и проектирование установки для получения жидкого кислорода. Расчет гидравлической циркуляционной установки. Проект осветительной установки молочного блока производительностью 3 тонны молока в сутки. Выбор электродвигателя установки и его назначение. Расчёт многокорпусной выпарной установки.


Lenovo k900 16gb характеристики
Восстановить фото на компьютере программа
Стихи с днем рождения с пожеланием здоровья
Как выращивать кроликов в домашних условиях
Где проходит игра португалия мексика
На дне горький цитаты
Несложное вязание спицами
Кинематическая схема автомагнитолы lg tch m550
Коптильня горячего копчения своими руками из ведра
Разрешение принтера 600 х 600 dpi
Новые умк по истории россии
Сколько стоит отдых в геленджике на двоих
Skype скачать версию 5.0
Атлас эпилепсий у детей
Какиеесть правила общения
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment