Last active
January 28, 2018 22:19
-
-
Save davilamds/8fd315f1d478540b286492631aeba4f0 to your computer and use it in GitHub Desktop.
Flip Flop tipo D VHDL
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library IEEE; | |
use IEEE.STD_LOGIC_1164.ALL; | |
entity d_ff is | |
port( | |
clk: in std_logic; --declaración de señal de reloj | |
d: in std_logic; | |
q: out std_logic | |
); | |
end d_ff; | |
architecture arch_dff of d_ff is | |
begin | |
process(clk)--proceso toma en cuenta únicamente la señal clk | |
begin | |
if (clk'event and clk='1') then --se ejecuta en un cambio de la señal clk, cuando =1 | |
q<=d; | |
end if; | |
end process; | |
end arch_dff; |
Sign up for free
to join this conversation on GitHub.
Already have an account?
Sign in to comment