Skip to content

Instantly share code, notes, and snippets.

Show Gist options
  • Save anonymous/92ddf4b25b73d83bc4aad4d292788ad1 to your computer and use it in GitHub Desktop.
Save anonymous/92ddf4b25b73d83bc4aad4d292788ad1 to your computer and use it in GitHub Desktop.
Логические схемы процессора

Логические схемы процессора



Ссылка на файл: >>>>>> http://file-portal.ru/Логические схемы процессора/


Структурная схема процессора
Арифметико-логическое устройство
Studepedia.org - это Лекции, Методички, и много других полезных для учебы материалов
























Только полноправные пользователи могут оставлять комментарии. TM Feed Хабрахабр Geektimes Тостер Мой круг Фрилансим. Geektimes Публикации Пользователи Хабы Компании Песочница. Сколько я себя помню, всегда мечтала сделать процессор. Наконец, вчера я его сделала. Не бог весть что: Пока что в программе моделирования логических цепей, но все мы знаем: Под катом несколько анимаций, краткое введение в двоичную логику для самых маленьких, короткий рассказ про основные микросхемы логики процессора и, собственно, схема. Двоичная логика Двоичная система счисления для тех, кто не в курсе — это такая система счисления, в которой нет цифр больше единицы. Такое определение многих сбивает с толку, пока они не вспомнят, что в десятичной системе счисления нет цифр больше девятки. Двоичная система используется в компьютерах потому, что числа в ней легко кодировать напряжением: Для самых маленьких и тех, кто не в курсе, я расскажу и покажу, как работают простейшие элементы двоичной логики. Ваш друг — буфер между источником сигнала лампочкой в коридоре и приемником вами. Более того, ваш друг — не какой-нибудь там обычный буфер, а буфер управляемый. Он был бы обычным буфером, если бы постоянно кричал: Итак, у вас в коридоре две лампочки — торшер, к примеру, и люстра. Составим таблицу сложения для двух чисел в двоичной системе счисления: Полусумматор При переполнении результат сложения уже не помещается в столько же разрядов, во сколько помещались слагаемые. Слагаемые — два однозначных числа одна значащая цифра, понимаете? Его можно считать простейшим специализированным процессором, который складывает два числа. Полусумматор называется полусумматором потому, что с его помощью нельзя учитывать перенос результат работы другого сумматора , то есть нельзя складывать три однозначных двоичных числа. В связи с этим из нескольких одноразрядных полусумматоров нельзя сделать один многоразрядный. Я не буду вдаваться в подробности работы полных и многоразрядных сумматоров, просто надеюсь, что основную идею вы уловили. Более сложные элементы Мультиплексор Предлагаю снова включить воображение. Вы живете в частном одноквартирном доме, возле двери этого дома стоит ваш почтовый ящик. Выходя на прогулку, вы замечаете странного почтальона, который стоит возле этого самого почтового ящика. И вот что он делает: Он определенным образом номер на конверте определяет, какой отправить сигнал письмо по сигнальной линии почтовый ящик. Демультиплексор А вот эта штучка работает с точностью до наоборот. Счетчик Для понимания работы счетчика вам опять понадобится ваш друг. Позовите его из кухни надеюсь, он не сильно там скучал, и, главное, не съел всю вашу еду , и попросите делать вот что: Каждый раз, когда вы будете прикасаться к нему, он должен прибавить единицу к тому числу, которое помнит, сказать результат и запомнить его. Вы прикасаетесь к другу. Вы временно парализованы и не можете двигаться. Ну, и так далее. Вы, конечно, поняли, что ваш друг сейчас — это счетчик. Есть два отличия двоичного счетчика от вашего друга. Память Триггер Давайте продолжим издеваться над вашим несчастным возможно, даже воображаемым другом. Пусть теперь он запомнит число ноль. Когда вы касаетесь его левой руки, он должен запоминать число ноль, а когда правой — число один. Регистр Немного сложнее устроен регистр. Регистр обычно может хранить в себе чуть больше, чем один бит. У него обязательно есть вход данных, выход данных и вход разрешения записи. С выхода данных вы в любой момент можете прочитать то, что в этом регистре записано. На вход данных вы можете подавать те данные, которые хотите в этот регистр записать. Можете подавать данные до тех пор, пока не надоест. Сдвиговый регистр Вы когда-нибудь стояли в очередях? Значит, вы представляете, каково быть данными в сдвиговом регистре. Люди приходят и становятся в конец очереди. Первый человек в очереди заходит в кабинет к большой шишке. Тот, кто был вторым в очереди, становится первым, а тот, кто был третьим — теперь второй, и так далее. Есть одно важное различие между очередью и сдвиговым регистром. Оперативная память Если много-много триггеров объединить в регистры, а много-много регистров объединить в одной микросхеме, то получится микросхема оперативной памяти. У микросхемы памяти обычно есть вход адреса, двунаправленный вход данных то есть в этот вход можно записывать, и с него же можно считывать и вход разрешения записи. На вход адреса подаем какое-нибудь число, и это число выберет определенную ячейку памяти. Результат немного предсказуем, не так ли? Процессор BitBitJump Процессоры иногда делят на CISC — те, которые умеют выполнять много разных команд, и RISC — те, которые умеют выполнять мало команд, но выполняют их хорошо. Одним прекрасным вечером мне подумалось: Вскоре я узнала, что существует целый класс однокомандных процессоров — OISC, чаще всего они используют команду Subleq вычесть, и если меньше или равно нулю, то перейти или Subeq вычесть, и если равно нулю, то перейти. Изучая различные варианты OISC-процессоров, я нашла в сети сайт Олега Мазонки, который разработал простейший однокомандный язык BitBitJump. Единственная команда этого языка так и называется — BitBitJump скопировать бит и перейти по адресу. Этот, безусловно эзотерический, язык является полным по Тьюрингу — то есть на нем можно реализовать любой компьютерный алгоритм. Подробное описание BitBitJump и ассемблер для этого языка можно найти на сайте разработчика. Для описания алгоритма работы процессора достаточно знать следующее: При включении процессора в регистрах PC, A и B записаны 0 2. Считываем ячейку памяти с адресом PC и сохраняем прочитанное в регистр A 3. Считываем ячейку памяти с адресом PC и сохраняем прочитанное в регистр B 5. Записываем в ячейку с адресом, записанным в регистре B, содержимое бита с адресом А. Считываем ячейку памяти с адресом PC и сохраняем прочитанное в регистр B 8. Записываем в регистр PC содержимое регистра B 9. Переходим к пункту 2 нашего плана К сожалению, алгоритм бесконечный, и потому PROFIT достигнут не будет. Собственно, схема Схема строилась стихийно, поэтому правят бал в ней страх, ужас и кавардак. Тем не менее, она работает, и работает прилично. Чтобы включить процессор, нужно: Ввести программу в ОЗУ 2. Нажать на включатель 3. Установить счетчик в положение 4 это можно делать и аппаратно, но схема стала бы еще более громоздкой 4. Включить тактовый генератор Как видите, использованы один регистр, один сдвиговый регистр, одна микросхема ОЗУ, два двоичных счетчика, один демультиплексор представленный компараторами , два мультиплексора и немного чистой логики. Можете скачать схему в формате circ для программы Logisim , и поиграться. Во-первых, можно увеличить разрядность процессора — заменив 8-битные элементы на битные. Во-вторых, можно вынести ОЗУ из процессора, и добавить несложную схему, которая будет приостанавливать процессор, изменять ОЗУ и снова включать процессор. Такая схема будет выполнять функции простого контроллера ввода-вывода. Тогда можно будет сделать на базе этого процессора калькулятор, контроллер или еще какую-нибудь забавную бесполезную штуку. В-третьих, можно воплотить всю эту схему в железе. Что я собираюсь сделать. Как только сделаю — обязательно расскажу и покажу. Спасибо всем за внимание! Ссылки для тех, кому лень читать: Процессоры URISC — ru. Сайт языка BitBitJump — mazonka. Программа для моделирования логических схем Logisim — http: Самодельный URISC ORISC процессор для Logisim — narod. Китайский интернет и софт: Добавить в закладки Сутки Неделя Месяц Китайский интернет и софт: Собственно, я написал простенький 8-битный, командный, причём тестовая программа — рекурсивное вычисление факториала да-да, там был и стек: В общем, ничего сложного в этом не вижу: Ну да, ничего сложного и нет. На AHDLе, кстати, немного проще. Я на нем недавно brainfuck-процессор писал, управился всего за пару часов при том что AHDL знаю на уровне дисциплины провиницального университета, то есть не знаю. Ох, где моя тетрадка из детства, когда я тоже пытался схемы процессоров рисовать И еще одна тетрадка была, где я записывал от руки программы на бейсике не магнитофона, ни дисковода не было. И вас даже не трое таких У меня тоже были тетрадки с программами на бейсике. А я как-то все лето в детстве прожил на даче. Правда так их и не запускал потом. Я помню ещё из журнала выписывал программы и просто справочную инфу таблицу ASCII-кодов, например в общую тетрадку. Да уж, было время. Недавно нашел, чуть не прослезился от умиления. Тоже в детстве были такие тетрадки, туда записывал листинг программ на бейсике. Если блок выкидывался, заклеивал строки белой бумагой. И каждый раз присваивал новую версию. Помню, мой текстовый редактор за пару недель обрел номер версии повыше, чем сейчас у Emacs. Уважаемый, мы такие же как и вы. Хотя да, взаимосвязь есть. У меня был Спектрум и Б А у многих моих друзей был Pentium II. Но их на Хабре я не видел почему-то. Тут даже дело не в Спектруме, а в том, что Вы от него хотели получить, хотя и в нем тоже: Да ладно, я хотел то же самое, что было у друзей. Потом у меня была я машина с EGA-монитором ах, как я скучаю по ней , на которой не работали Windows 3. Верно, но возможности иметь что-то иное не было, поэтому грамотно и целеустремленно работали с тем, что есть. Ладно, нафлудили тут с Вами, пора заканчивать. Трудное детство, ZX Spectrum без магнитофона в одной розетке с холодильником. У меня в телевизоре было что-то неправильно переделано, и он выдавал со Спекки инвертированную картинку. Смотрел на жизнь в негативе. У меня была хорошая, качественная черно-белая картинка диагональю дюймов 7. Хотел бы я посмотреть на настоящий Спекки с цветным телевизором…. Настоящего спекки не было, но всякие Орион, и спекки, подключенные к EGA монитору производили неизгладимое впечатление по качеству картинки. Особенно после 21" монитора сделанного из лампового цветного телевизора точней у меня был гибридный лампово-транзисторный телевизор. О, навели на еще одно ностальгическое воспоминание: Так что все игры были черно-белыми…. Если быть точным, то RISC — это не малый набор комманд, а упрощенный набор. Поэтому для того, чтобы сложить два числа в памяти в RISC архитектуре надо сначала загрузить lданные в регистры процессора, сложить их и выгрузить обратно в память. Таким образом, то, что в архитектуре CISC делается одной коммандой, в RISC потребует 3 и более. У некоторых RISC архитектур комманд больше, чем у множества CISC. Достаточно хорошо об этом написано в http: Стоит ли исправить статью, как думаете? Думаю, что для начала стоит определиться с тем, что понимется под RISC архитектурой. Разбирать конкретные проявления данной архитектуры в виде конкретным процессоров, думаю бессмысленно. Хотелось бы выявить что отличает RISC от CISC. RISC всегда имеет фиксированную длину комманд. Отсутствие микропрограмм внутри RISC процессора. Тогда как CISC преимущественно выполняет микропрограммы. Вот еще одно мнение с отсылкой на первоисточник: RISC — ето именно уменьшений набор команд. Команди делаются более ортогональние, редко используемие команди — убираются. Про загрузить-посчитать-сохранить — ето Load-Store архитектура и ортогональна RISC. Например — ARM — Load-Store RISC. MSP — RISC, но не Load Store. Тоже не совсем верно. Бывает и наоборот, когда одной инструкцией на RISC делается то, для чего на CISC потребуется 5. Потом ждать 5 минут, чтобы вставить-таки ссылку в новом комментарии. Хехе, схемота, родимая У нас на 12ой кафедре в МИФИ была курсовая, длившаяся год, на которой мы проектировали простенький процессор. Это было самое интересное за весь период обучения. Кому интересно, она даже сохранилась: Старайтесь избегать включения-выключения отдельных элементов путем прерывания тактового сигнала т. Схема, может прекрасно работать в эмуляторе, но когда придется учитывать задержки срабатывания логики, возникнет ситуация, что элементы тактируются несинхронно. Возможны многочисленные трудноуловимые баги. Недаром все регистры, счетчики и т. Чтобы включить-выключить блок, используйте его. Спасибо, никогда больше так делать не буду. Ну, если честно, gated clock все-таки используется, но для других целей: Сейчас у меня как раз курсовое проектирование процессора согласно регламенту архитектуры IAbit. Статья — самое то. А так, могу только посочувствовать. Чем же вы преподавателя обидели? Вариант, что сам преподаватель не ведает что творит даже представить боюсь. Возможно я что-то не так сказал — тогда извиняюсь. Это что касается принципиальной эл. В сумме около микросхем вышло. Сейчас я на стадии разработки алгоритмов, где всё организуется как раз таки на логических элементах, поэтому статьи такого рода на вес золота. Хотя это только предположение. Так что это задача даже не на год, а на десятилетия: D Извиняюсь, забыл сказать, что по моральным убеждения конечно же мы не весь набор команд реализуем. А мне почему-то кажется, что и за год можно клон i реализовать. Если бы в университете объясняли так же как и вы, я бы обожал учиться! Всегда интересовался рассказыванием интересных историй хорошим людям. Так что не стесняйтесь, обращайтесь. Было бы интересно узнать, что интересно людям, и рассказать этим людям об этом интересном. А почему обозначения элементов не отечественные, а зарубежные? Потому что Logisim сделан не в нашей стране, и потому что в нашей стране не сделан аналог Logisim. А создавать свои произведения тоже в импотрной нотации, чтобы потенциальные противники оттуда могли понять? Это уже паранойя какая-то. Или отечественная жадность, не знаю даже, чего тут больше. Это был сарказм, дорогой буржуй, не будьте столь серьезны. Помню с большим интересом изучал как сделали процессор на клеточном автомате типа игры жизнь. Он считает простые числа и рисует их на небольшом жк-экранчике. От автора есть подробное описание как сделать ОРы, КСОРы регистры и прочую логику, а потом из этого склепать проц golly. Давно искал подобную статью, во времена электротехники в институте — до процов там не дошли толком. Вопрос не совсем про разработку собственного процессора, но по смежной теме — эмуляции цифровых схем. Литературу подсказать не могу, к сожалению, но пару слов сказать — это можно. Эти черные ящики очень легко моделируются, а потом представляются графически навроде блок-схем. Тогда открываете справочник-учебник по МРЭС, читаете внимательно про математические модели резисторов, катушек, конденсаторов, диодов и транзисторов, а потом используя эти модели и хрестоматийные схемы логических устройств, делаете свой велосипед. Второй вариант, как мне кажется, предпочтительнее. Он учитывает частотные и вольт-амперные характеристики элементов. То есть, например, можно будет реально наблюдать задержку в наносекунды при перекдючении элемента а это очень важно. Посмотрите, например, семантику VHDL. И если имеем 00 с флагом переполниния, значит таки оно произошло. А у вас почему-то по достижении К сожалению, память вас подводит. В сумматорах флаг переполнения включается при обнулении, а вот в регистрах и счетчиках — при достижении наивысшего значения. Переполнение регистра процессора и переполнение регистра или счетчика как дискретного элемента — это несколько разные понятия. Если вы возьмете реальный или виртуальный например, в Logisim счетчик с выходом переноса, то легко убедитесь, что на выходе P реально 1, когда в регистре максимальное значение. Я тоже был удивлен, когда узнал об этой особенности счетчиков и регистров. Есть счетчики с отдельным выводом переноса, есть без. Отдельного выхода переноса не имеет, что не мешает его каскадировать. Имеет два выхода тактовых сигналов прямого и обратного переноса. Устанавливается когда на всех выходах устанавливается высокий уровень. Метки лучше разделять запятой. Сейчас Вчера Неделя Илон Маск утверждает, что получил разрешение на строительство тоннеля от Нью-Йорка до Вашингтона 6,8k Раньше они служили по 50 лет 55,8k Интересные публикации Хабрахабр Geektimes. Британские спутниковые снимки 2: Как все было на самом деле Хабр. Мониторинг работы производства веб-студии Хабр. Необходимость регулирования интернета вещей Хабр. Movidius Neural Compute Stick — искуственный разум на флешке. Дизайн для пальцев, касаний и людей Хабр. Наука над земным шаром, часть 2. Разделы Публикации Хабы Компании Пользователи Песочница. Информация О сайте Правила Помощь Соглашение Конфиденциальность. Услуги Реклама Тарифы Контент Семинары.


Как правильно написать время
Чип карт спб
4 тактовая частота 1 6
Устройство и принцип работы процессора
Кинематическая схема манипулятора
3 понятиеи виды субъектов предпринимательской деятельности
Автобус 9 ульяновск расписание
Устройство процессора и его назначение
Универсальный пульт penguin rm 969rus инструкция
Тест мясо и мясных продуктов
Процессор
Обозначение крана на плане
Тести географія україни
Сколько серий в фильме близнецы 2004
Лекция 5 процессоры. Центральный процессор
Информационная цивилизация и культура
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment