Skip to content

Instantly share code, notes, and snippets.

@BenjyO238
Created August 12, 2014 21:43
Show Gist options
  • Save BenjyO238/d50868f4ab473f3a1dcd to your computer and use it in GitHub Desktop.
Save BenjyO238/d50868f4ab473f3a1dcd to your computer and use it in GitHub Desktop.
working to add vertexes
This gist exceeds the recommended number of files (~10). To access all files, please clone this gist.
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="ProjectCodeStyleSettingsManager">
<option name="PER_PROJECT_SETTINGS">
<value>
<option name="LINE_SEPARATOR" value="&#10;" />
<XML>
<option name="XML_LEGACY_SETTINGS_IMPORTED" value="true" />
</XML>
</value>
</option>
<option name="USE_PER_PROJECT_SETTINGS" value="true" />
</component>
</project>
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="CompilerConfiguration">
<option name="DEFAULT_COMPILER" value="Javac" />
<resourceExtensions />
<wildcardResourcePatterns>
<entry name="!?*.java" />
<entry name="!?*.form" />
<entry name="!?*.class" />
<entry name="!?*.groovy" />
<entry name="!?*.scala" />
<entry name="!?*.flex" />
<entry name="!?*.kt" />
<entry name="!?*.clj" />
</wildcardResourcePatterns>
<annotationProcessing>
<profile default="true" name="Default" enabled="false">
<processorPath useClasspath="true" />
</profile>
</annotationProcessing>
</component>
</project>
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="Encoding" useUTFGuessing="true" native2AsciiForPropertiesFiles="false" />
</project>
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="HighlightingAdvisor">
<option name="SUGGEST_TYPE_AWARE_HIGHLIGHTING" value="false" />
<option name="TYPE_AWARE_HIGHLIGHTING_ENABLED" value="true" />
</component>
</project>
<module version="4" type="JAVA_MODULE">
<component name="NewModuleRootManager" inherit-compiler-output="true">
<exclude-output></exclude-output>
<content url="file://$MODULE_DIR$">
<excludeFolder url="file://$MODULE_DIR$/target"></excludeFolder>
</content>
<orderEntry type="inheritedJdk"></orderEntry>
<orderEntry type="sourceFolder" forTests="false"></orderEntry>
</component>
</module>
<component name="libraryTable">
<library name="SBT:: scala-compiler-bundle:2.10.3">
<CLASSES>
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/lib/scala-library.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/lib/scala-compiler.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/lib/scala-reflect.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: ant:ant:1.6.5">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/ant/ant/jars/ant-1.6.5.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/ant/ant/srcs/ant-1.6.5-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: antlr:antlr:2.7.7">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/antlr/antlr/jars/antlr-2.7.7.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: asm:asm:3.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/asm/asm/jars/asm-3.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/asm/asm/srcs/asm-3.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: asm:asm-analysis:3.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/asm/asm-analysis/jars/asm-analysis-3.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: asm:asm-commons:3.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/asm/asm-commons/jars/asm-commons-3.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: asm:asm-tree:3.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/asm/asm-tree/jars/asm-tree-3.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: asm:asm-util:3.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/asm/asm-util/jars/asm-util-3.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: colt:colt:1.2.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/colt/colt/jars/colt-1.2.0.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.carrotsearch:hppc:0.4.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.carrotsearch/hppc/jars/hppc-0.4.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.carrotsearch/hppc/docs/hppc-0.4.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.carrotsearch/hppc/srcs/hppc-0.4.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.carrotsearch:junit-benchmarks:0.7.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.carrotsearch/junit-benchmarks/jars/junit-benchmarks-0.7.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.carrotsearch/junit-benchmarks/docs/junit-benchmarks-0.7.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.carrotsearch/junit-benchmarks/srcs/junit-benchmarks-0.7.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.carrotsearch.randomizedtesting:randomizedtesting-runner:2.0.8">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.carrotsearch.randomizedtesting/randomizedtesting-runner/jars/randomizedtesting-runner-2.0.8.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.carrotsearch.randomizedtesting/randomizedtesting-runner/docs/randomizedtesting-runner-2.0.8-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.carrotsearch.randomizedtesting/randomizedtesting-runner/srcs/randomizedtesting-runner-2.0.8-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.codahale.metrics:metrics-core:3.0.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.codahale.metrics/metrics-core/jars/metrics-core-3.0.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.codahale.metrics/metrics-core/docs/metrics-core-3.0.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.codahale.metrics/metrics-core/srcs/metrics-core-3.0.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.codahale.metrics:metrics-ganglia:3.0.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.codahale.metrics/metrics-ganglia/jars/metrics-ganglia-3.0.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.codahale.metrics/metrics-ganglia/docs/metrics-ganglia-3.0.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.codahale.metrics/metrics-ganglia/srcs/metrics-ganglia-3.0.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.codahale.metrics:metrics-graphite:3.0.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.codahale.metrics/metrics-graphite/jars/metrics-graphite-3.0.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.codahale.metrics/metrics-graphite/docs/metrics-graphite-3.0.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.codahale.metrics/metrics-graphite/srcs/metrics-graphite-3.0.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.eaio.uuid:uuid:3.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.eaio.uuid/uuid/jars/uuid-3.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.eaio.uuid/uuid/docs/uuid-3.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.eaio.uuid/uuid/srcs/uuid-3.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.esotericsoftware.kryo:kryo:2.21">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.esotericsoftware.kryo/kryo/jars/kryo-2.21.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/com.esotericsoftware.kryo/kryo/jars/kryo-2.21-shaded.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.esotericsoftware.kryo/kryo/docs/kryo-2.21-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.esotericsoftware.kryo/kryo/srcs/kryo-2.21-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.fasterxml.jackson.core:jackson-annotations:2.1.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.fasterxml.jackson.core/jackson-annotations/jars/jackson-annotations-2.1.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.fasterxml.jackson.core/jackson-annotations/docs/jackson-annotations-2.1.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.fasterxml.jackson.core/jackson-annotations/srcs/jackson-annotations-2.1.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.fasterxml.jackson.core:jackson-core:2.1.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.fasterxml.jackson.core/jackson-core/jars/jackson-core-2.1.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.fasterxml.jackson.core/jackson-core/docs/jackson-core-2.1.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.fasterxml.jackson.core/jackson-core/srcs/jackson-core-2.1.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.fasterxml.jackson.core:jackson-databind:2.1.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.fasterxml.jackson.core/jackson-databind/jars/jackson-databind-2.1.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.fasterxml.jackson.core/jackson-databind/docs/jackson-databind-2.1.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.fasterxml.jackson.core/jackson-databind/srcs/jackson-databind-2.1.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.fasterxml.jackson.datatype:jackson-datatype-json-org:2.1.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.fasterxml.jackson.datatype/jackson-datatype-json-org/jars/jackson-datatype-json-org-2.1.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.fasterxml.jackson.datatype/jackson-datatype-json-org/docs/jackson-datatype-json-org-2.1.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.fasterxml.jackson.datatype/jackson-datatype-json-org/srcs/jackson-datatype-json-org-2.1.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.github.stephenc.high-scale-lib:high-scale-lib:1.1.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.github.stephenc.high-scale-lib/high-scale-lib/jars/high-scale-lib-1.1.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.github.stephenc.high-scale-lib/high-scale-lib/docs/high-scale-lib-1.1.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.github.stephenc.high-scale-lib/high-scale-lib/srcs/high-scale-lib-1.1.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.github.stephenc:jamm:0.2.5">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.github.stephenc/jamm/jars/jamm-0.2.5.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.github.stephenc/jamm/docs/jamm-0.2.5-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.github.stephenc/jamm/srcs/jamm-0.2.5-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.google.code.findbugs:jsr305:1.3.9">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.google.code.findbugs/jsr305/jars/jsr305-1.3.9.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.google.guava:guava:14.0.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.google.guava/guava/jars/guava-14.0.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.google.guava/guava/docs/guava-14.0.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.google.guava/guava/srcs/guava-14.0.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.google.protobuf:protobuf-java:2.5.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.google.protobuf/protobuf-java/jars/protobuf-java-2.5.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.google.protobuf/protobuf-java/docs/protobuf-java-2.5.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.google.protobuf/protobuf-java/srcs/protobuf-java-2.5.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.googlecode.concurrentlinkedhashmap:concurrentlinkedhashmap-lru:1.3">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.googlecode.concurrentlinkedhashmap/concurrentlinkedhashmap-lru/jars/concurrentlinkedhashmap-lru-1.3.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.googlecode.concurrentlinkedhashmap/concurrentlinkedhashmap-lru/docs/concurrentlinkedhashmap-lru-1.3-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.googlecode.concurrentlinkedhashmap/concurrentlinkedhashmap-lru/srcs/concurrentlinkedhashmap-lru-1.3-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.googlecode.json-simple:json-simple:1.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.googlecode.json-simple/json-simple/jars/json-simple-1.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.googlecode.json-simple/json-simple/srcs/json-simple-1.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.netflix.astyanax:astyanax-cassandra:1.56.37">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.netflix.astyanax/astyanax-cassandra/jars/astyanax-cassandra-1.56.37.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.netflix.astyanax/astyanax-cassandra/docs/astyanax-cassandra-1.56.37-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.netflix.astyanax/astyanax-cassandra/srcs/astyanax-cassandra-1.56.37-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.netflix.astyanax:astyanax-core:1.56.37">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.netflix.astyanax/astyanax-core/jars/astyanax-core-1.56.37.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.netflix.astyanax/astyanax-core/docs/astyanax-core-1.56.37-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.netflix.astyanax/astyanax-core/srcs/astyanax-core-1.56.37-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.netflix.astyanax:astyanax-recipes:1.56.37">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.netflix.astyanax/astyanax-recipes/jars/astyanax-recipes-1.56.37.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.netflix.astyanax/astyanax-recipes/docs/astyanax-recipes-1.56.37-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.netflix.astyanax/astyanax-recipes/srcs/astyanax-recipes-1.56.37-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.netflix.astyanax:astyanax-thrift:1.56.37">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.netflix.astyanax/astyanax-thrift/jars/astyanax-thrift-1.56.37.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.netflix.astyanax/astyanax-thrift/docs/astyanax-thrift-1.56.37-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.netflix.astyanax/astyanax-thrift/srcs/astyanax-thrift-1.56.37-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.ning:compress-lzf:0.8.4">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.ning/compress-lzf/jars/compress-lzf-0.8.4.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.ning/compress-lzf/docs/compress-lzf-0.8.4-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.ning/compress-lzf/srcs/compress-lzf-0.8.4-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.sleepycat:je:5.0.73">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sleepycat/je/jars/je-5.0.73.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sleepycat/je/docs/je-5.0.73-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sleepycat/je/srcs/je-5.0.73-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.spatial4j:spatial4j:0.3">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.spatial4j/spatial4j/jars/spatial4j-0.3.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.spatial4j/spatial4j/docs/spatial4j-0.3-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.spatial4j/spatial4j/srcs/spatial4j-0.3-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.sun.jersey:jersey-core:1.17">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sun.jersey/jersey-core/jars/jersey-core-1.17.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sun.jersey/jersey-core/docs/jersey-core-1.17-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sun.jersey/jersey-core/srcs/jersey-core-1.17-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.sun.jersey:jersey-json:1.17">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sun.jersey/jersey-json/jars/jersey-json-1.17.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sun.jersey/jersey-json/docs/jersey-json-1.17-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sun.jersey/jersey-json/srcs/jersey-json-1.17-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.sun.jersey:jersey-server:1.17">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sun.jersey/jersey-server/jars/jersey-server-1.17.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sun.jersey/jersey-server/docs/jersey-server-1.17-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sun.jersey/jersey-server/srcs/jersey-server-1.17-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.sun.xml.bind:jaxb-impl:2.2.3-1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sun.xml.bind/jaxb-impl/jars/jaxb-impl-2.2.3-1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.sun.xml.bind/jaxb-impl/srcs/jaxb-impl-2.2.3-1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.thinkaurelius.titan:titan-all-jre6:0.4.4">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-all-jre6/jars/titan-all-jre6-0.4.4.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.thinkaurelius.titan:titan-berkeleyje-jre6:0.4.4">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-berkeleyje-jre6/jars/titan-berkeleyje-jre6-0.4.4.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-berkeleyje-jre6/docs/titan-berkeleyje-jre6-0.4.4-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-berkeleyje-jre6/srcs/titan-berkeleyje-jre6-0.4.4-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.thinkaurelius.titan:titan-cassandra-jre6:0.4.4">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-cassandra-jre6/jars/titan-cassandra-jre6-0.4.4.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-cassandra-jre6/docs/titan-cassandra-jre6-0.4.4-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-cassandra-jre6/srcs/titan-cassandra-jre6-0.4.4-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.thinkaurelius.titan:titan-core-jre6:0.4.4">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-core-jre6/jars/titan-core-jre6-0.4.4.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-core-jre6/docs/titan-core-jre6-0.4.4-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-core-jre6/srcs/titan-core-jre6-0.4.4-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.thinkaurelius.titan:titan-es-jre6:0.4.4">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-es-jre6/jars/titan-es-jre6-0.4.4.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-es-jre6/docs/titan-es-jre6-0.4.4-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-es-jre6/srcs/titan-es-jre6-0.4.4-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.thinkaurelius.titan:titan-hbase-jre6:0.4.4">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-hbase-jre6/jars/titan-hbase-jre6-0.4.4.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-hbase-jre6/docs/titan-hbase-jre6-0.4.4-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-hbase-jre6/srcs/titan-hbase-jre6-0.4.4-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.thinkaurelius.titan:titan-lucene-jre6:0.4.4">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-lucene-jre6/jars/titan-lucene-jre6-0.4.4.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-lucene-jre6/docs/titan-lucene-jre6-0.4.4-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.thinkaurelius.titan/titan-lucene-jre6/srcs/titan-lucene-jre6-0.4.4-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.tinkerpop.blueprints:blueprints-core:2.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop.blueprints/blueprints-core/jars/blueprints-core-2.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop.blueprints/blueprints-core/docs/blueprints-core-2.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop.blueprints/blueprints-core/srcs/blueprints-core-2.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.tinkerpop:frames:2.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop/frames/jars/frames-2.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop/frames/docs/frames-2.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop/frames/srcs/frames-2.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.tinkerpop.gremlin:gremlin-groovy:2.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop.gremlin/gremlin-groovy/jars/gremlin-groovy-2.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop.gremlin/gremlin-groovy/docs/gremlin-groovy-2.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop.gremlin/gremlin-groovy/srcs/gremlin-groovy-2.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.tinkerpop.gremlin:gremlin-java:2.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop.gremlin/gremlin-java/jars/gremlin-java-2.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop.gremlin/gremlin-java/docs/gremlin-java-2.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop.gremlin/gremlin-java/srcs/gremlin-java-2.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.tinkerpop:pipes:2.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop/pipes/jars/pipes-2.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop/pipes/docs/pipes-2.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.tinkerpop/pipes/srcs/pipes-2.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: com.yammer.metrics:metrics-core:2.1.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.yammer.metrics/metrics-core/jars/metrics-core-2.1.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/com.yammer.metrics/metrics-core/docs/metrics-core-2.1.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/com.yammer.metrics/metrics-core/srcs/metrics-core-2.1.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-beanutils:commons-beanutils:1.7.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-beanutils/commons-beanutils/jars/commons-beanutils-1.7.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-beanutils/commons-beanutils/docs/commons-beanutils-1.7.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-beanutils/commons-beanutils/srcs/commons-beanutils-1.7.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-beanutils:commons-beanutils-core:1.7.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-beanutils/commons-beanutils-core/jars/commons-beanutils-core-1.7.0.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-cli:commons-cli:1.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-cli/commons-cli/jars/commons-cli-1.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-cli/commons-cli/docs/commons-cli-1.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-cli/commons-cli/srcs/commons-cli-1.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-codec:commons-codec:1.7">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-codec/commons-codec/jars/commons-codec-1.7.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-codec/commons-codec/docs/commons-codec-1.7-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-codec/commons-codec/srcs/commons-codec-1.7-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-collections:commons-collections:3.2.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-collections/commons-collections/jars/commons-collections-3.2.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-collections/commons-collections/docs/commons-collections-3.2.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-collections/commons-collections/srcs/commons-collections-3.2.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-configuration:commons-configuration:1.6">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-configuration/commons-configuration/jars/commons-configuration-1.6.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-configuration/commons-configuration/srcs/commons-configuration-1.6-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-digester:commons-digester:1.8">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-digester/commons-digester/jars/commons-digester-1.8.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-digester/commons-digester/srcs/commons-digester-1.8-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-el:commons-el:1.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-el/commons-el/jars/commons-el-1.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-el/commons-el/docs/commons-el-1.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-el/commons-el/srcs/commons-el-1.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-httpclient:commons-httpclient:3.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-httpclient/commons-httpclient/jars/commons-httpclient-3.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-httpclient/commons-httpclient/srcs/commons-httpclient-3.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-io:commons-io:2.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-io/commons-io/jars/commons-io-2.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-io/commons-io/docs/commons-io-2.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-io/commons-io/srcs/commons-io-2.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-lang:commons-lang:2.5">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-lang/commons-lang/jars/commons-lang-2.5.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-lang/commons-lang/docs/commons-lang-2.5-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-lang/commons-lang/srcs/commons-lang-2.5-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-logging:commons-logging:1.1.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-logging/commons-logging/jars/commons-logging-1.1.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-logging/commons-logging/docs/commons-logging-1.1.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-logging/commons-logging/srcs/commons-logging-1.1.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-net:commons-net:1.4.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-net/commons-net/jars/commons-net-1.4.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-net/commons-net/docs/commons-net-1.4.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-net/commons-net/srcs/commons-net-1.4.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: commons-pool:commons-pool:1.6">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-pool/commons-pool/jars/commons-pool-1.6.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-pool/commons-pool/docs/commons-pool-1.6-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/commons-pool/commons-pool/srcs/commons-pool-1.6-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: concurrent:concurrent:1.3.4">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/concurrent/concurrent/jars/concurrent-1.3.4.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/concurrent/concurrent/srcs/concurrent-1.3.4-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: edu.stanford.ppl:snaptree:0.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/edu.stanford.ppl/snaptree/jars/snaptree-0.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/edu.stanford.ppl/snaptree/docs/snaptree-0.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/edu.stanford.ppl/snaptree/srcs/snaptree-0.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: hsqldb:hsqldb:1.8.0.10">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/hsqldb/hsqldb/jars/hsqldb-1.8.0.10.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: info.ganglia.gmetric4j:gmetric4j:1.0.3">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/info.ganglia.gmetric4j/gmetric4j/jars/gmetric4j-1.0.3.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/info.ganglia.gmetric4j/gmetric4j/docs/gmetric4j-1.0.3-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/info.ganglia.gmetric4j/gmetric4j/srcs/gmetric4j-1.0.3-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: javax.activation:activation:1.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/javax.activation/activation/jars/activation-1.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/javax.activation/activation/srcs/activation-1.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: javax.servlet:jsp-api:2.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/javax.servlet/jsp-api/jars/jsp-api-2.0.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: javax.servlet:servlet-api:2.5">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/javax.servlet/servlet-api/jars/servlet-api-2.5.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/javax.servlet/servlet-api/srcs/servlet-api-2.5-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: javax.xml.bind:jaxb-api:2.2.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/javax.xml.bind/jaxb-api/jars/jaxb-api-2.2.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/javax.xml.bind/jaxb-api/docs/jaxb-api-2.2.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/javax.xml.bind/jaxb-api/srcs/jaxb-api-2.2.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: javax.xml.stream:stax-api:1.0-2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/javax.xml.stream/stax-api/jars/stax-api-1.0-2.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/javax.xml.stream/stax-api/srcs/stax-api-1.0-2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: jline:jline:1.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/jline/jline/jars/jline-1.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/jline/jline/docs/jline-1.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/jline/jline/srcs/jline-1.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: joda-time:joda-time:1.6.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/joda-time/joda-time/jars/joda-time-1.6.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/joda-time/joda-time/docs/joda-time-1.6.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/joda-time/joda-time/srcs/joda-time-1.6.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: junit:junit:4.11">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/junit/junit/jars/junit-4.11.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/junit/junit/docs/junit-4.11-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/junit/junit/srcs/junit-4.11-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: log4j:log4j:1.2.16">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/log4j/log4j/jars/log4j-1.2.16.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/log4j/log4j/docs/log4j-1.2.16-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/log4j/log4j/srcs/log4j-1.2.16-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: net.java.dev.jets3t:jets3t:0.7.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/net.java.dev.jets3t/jets3t/jars/jets3t-0.7.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/net.java.dev.jets3t/jets3t/srcs/jets3t-0.7.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: net.jpountz.lz4:lz4:1.1.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/net.jpountz.lz4/lz4/jars/lz4-1.1.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/net.jpountz.lz4/lz4/docs/lz4-1.1.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/net.jpountz.lz4/lz4/srcs/lz4-1.1.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: net.sf.kosmosfs:kfs:0.3">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/net.sf.kosmosfs/kfs/jars/kfs-0.3.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.acplt:oncrpc:1.0.7">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.acplt/oncrpc/jars/oncrpc-1.0.7.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.acplt/oncrpc/docs/oncrpc-1.0.7-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.acplt/oncrpc/srcs/oncrpc-1.0.7-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.antlr:antlr:3.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.antlr/antlr/jars/antlr-3.2.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.antlr/antlr/srcs/antlr-3.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.antlr:antlr-runtime:3.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.antlr/antlr-runtime/jars/antlr-runtime-3.2.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.antlr/antlr-runtime/srcs/antlr-runtime-3.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.antlr:stringtemplate:3.2.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.antlr/stringtemplate/jars/stringtemplate-3.2.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.antlr/stringtemplate/srcs/stringtemplate-3.2.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.ant:ant:1.8.3">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.ant/ant/jars/ant-1.8.3.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.ant/ant/docs/ant-1.8.3-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.ant/ant/srcs/ant-1.8.3-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.ant:ant-launcher:1.8.3">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.ant/ant-launcher/jars/ant-launcher-1.8.3.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.ant/ant-launcher/srcs/ant-launcher-1.8.3-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.avro:avro-ipc:1.5.3">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.avro/avro-ipc/jars/avro-ipc-1.5.3.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.avro/avro-ipc/docs/avro-ipc-1.5.3-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.avro/avro-ipc/srcs/avro-ipc-1.5.3-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.cassandra:cassandra-all:1.2.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.cassandra/cassandra-all/jars/cassandra-all-1.2.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.cassandra/cassandra-all/docs/cassandra-all-1.2.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.cassandra/cassandra-all/srcs/cassandra-all-1.2.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.cassandra:cassandra-thrift:1.2.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.cassandra/cassandra-thrift/jars/cassandra-thrift-1.2.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.cassandra/cassandra-thrift/docs/cassandra-thrift-1.2.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.cassandra/cassandra-thrift/srcs/cassandra-thrift-1.2.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.cassandra.deps:avro:1.4.0-cassandra-1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.cassandra.deps/avro/jars/avro-1.4.0-cassandra-1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.cassandra.deps/avro/docs/avro-1.4.0-cassandra-1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.cassandra.deps/avro/srcs/avro-1.4.0-cassandra-1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.commons:commons-math:2.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.commons/commons-math/jars/commons-math-2.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.commons/commons-math/docs/commons-math-2.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.commons/commons-math/srcs/commons-math-2.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.hadoop:hadoop-core:1.0.4">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.hadoop/hadoop-core/jars/hadoop-core-1.0.4.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.hbase:hbase:0.94.12">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.hbase/hbase/jars/hbase-0.94.12.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.hbase/hbase/docs/hbase-0.94.12-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.hbase/hbase/srcs/hbase-0.94.12-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.httpcomponents:httpclient:4.0.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.httpcomponents/httpclient/jars/httpclient-4.0.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.httpcomponents/httpclient/srcs/httpclient-4.0.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.httpcomponents:httpcore:4.0.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.httpcomponents/httpcore/jars/httpcore-4.0.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.httpcomponents/httpcore/srcs/httpcore-4.0.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.ivy:ivy:2.3.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.ivy/ivy/jars/ivy-2.3.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.ivy/ivy/docs/ivy-2.3.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.ivy/ivy/srcs/ivy-2.3.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.lucene:lucene-analyzers-common:4.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-analyzers-common/jars/lucene-analyzers-common-4.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-analyzers-common/docs/lucene-analyzers-common-4.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-analyzers-common/srcs/lucene-analyzers-common-4.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.lucene:lucene-codecs:4.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-codecs/jars/lucene-codecs-4.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-codecs/docs/lucene-codecs-4.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-codecs/srcs/lucene-codecs-4.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.lucene:lucene-core:4.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-core/jars/lucene-core-4.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-core/docs/lucene-core-4.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-core/srcs/lucene-core-4.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.lucene:lucene-grouping:4.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-grouping/jars/lucene-grouping-4.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-grouping/docs/lucene-grouping-4.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-grouping/srcs/lucene-grouping-4.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.lucene:lucene-highlighter:4.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-highlighter/jars/lucene-highlighter-4.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-highlighter/docs/lucene-highlighter-4.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-highlighter/srcs/lucene-highlighter-4.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.lucene:lucene-join:4.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-join/jars/lucene-join-4.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-join/docs/lucene-join-4.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-join/srcs/lucene-join-4.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.lucene:lucene-memory:4.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-memory/jars/lucene-memory-4.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-memory/docs/lucene-memory-4.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-memory/srcs/lucene-memory-4.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.lucene:lucene-misc:4.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-misc/jars/lucene-misc-4.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-misc/docs/lucene-misc-4.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-misc/srcs/lucene-misc-4.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.lucene:lucene-queries:4.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-queries/jars/lucene-queries-4.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-queries/docs/lucene-queries-4.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-queries/srcs/lucene-queries-4.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.lucene:lucene-queryparser:4.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-queryparser/jars/lucene-queryparser-4.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-queryparser/docs/lucene-queryparser-4.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-queryparser/srcs/lucene-queryparser-4.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.lucene:lucene-sandbox:4.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-sandbox/jars/lucene-sandbox-4.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-sandbox/docs/lucene-sandbox-4.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-sandbox/srcs/lucene-sandbox-4.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.lucene:lucene-spatial:4.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-spatial/jars/lucene-spatial-4.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-spatial/docs/lucene-spatial-4.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-spatial/srcs/lucene-spatial-4.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.lucene:lucene-suggest:4.4.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-suggest/jars/lucene-suggest-4.4.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-suggest/docs/lucene-suggest-4.4.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.lucene/lucene-suggest/srcs/lucene-suggest-4.4.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.servicemix.bundles:org.apache.servicemix.bundles.commons-csv:1.0-r706900_3">
<CLASSES />
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.thrift:libthrift:0.7.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.thrift/libthrift/jars/libthrift-0.7.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.thrift/libthrift/docs/libthrift-0.7.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.velocity:velocity:1.7">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.velocity/velocity/jars/velocity-1.7.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.velocity/velocity/docs/velocity-1.7-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.velocity/velocity/srcs/velocity-1.7-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.apache.zookeeper:zookeeper:3.4.5">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.zookeeper/zookeeper/jars/zookeeper-3.4.5.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.zookeeper/zookeeper/docs/zookeeper-3.4.5-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.zookeeper/zookeeper/srcs/zookeeper-3.4.5-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.codehaus.groovy:groovy:1.8.9">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.groovy/groovy/jars/groovy-1.8.9.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.groovy/groovy/docs/groovy-1.8.9-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.groovy/groovy/srcs/groovy-1.8.9-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.codehaus.jackson:jackson-core-asl:1.9.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.jackson/jackson-core-asl/jars/jackson-core-asl-1.9.2.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.jackson/jackson-core-asl/srcs/jackson-core-asl-1.9.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.codehaus.jackson:jackson-jaxrs:1.9.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.jackson/jackson-jaxrs/jars/jackson-jaxrs-1.9.2.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.jackson/jackson-jaxrs/srcs/jackson-jaxrs-1.9.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.codehaus.jackson:jackson-mapper-asl:1.9.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.jackson/jackson-mapper-asl/jars/jackson-mapper-asl-1.9.2.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.jackson/jackson-mapper-asl/srcs/jackson-mapper-asl-1.9.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.codehaus.jackson:jackson-xc:1.9.2">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.jackson/jackson-xc/jars/jackson-xc-1.9.2.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.jackson/jackson-xc/srcs/jackson-xc-1.9.2-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.codehaus.jettison:jettison:1.3.3">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.jettison/jettison/jars/jettison-1.3.3.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.jettison/jettison/docs/jettison-1.3.3-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.codehaus.jettison/jettison/srcs/jettison-1.3.3-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.eclipse.jdt:core:3.1.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.eclipse.jdt/core/jars/core-3.1.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.elasticsearch:elasticsearch:0.90.5">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.elasticsearch/elasticsearch/jars/elasticsearch-0.90.5.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.elasticsearch/elasticsearch/srcs/elasticsearch-0.90.5-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.fusesource.jansi:jansi:1.5">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.fusesource.jansi/jansi/jars/jansi-1.5.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.fusesource.jansi/jansi/docs/jansi-1.5-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.fusesource.jansi/jansi/srcs/jansi-1.5-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.hamcrest:hamcrest-core:1.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.hamcrest/hamcrest-core/jars/hamcrest-core-1.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.hamcrest/hamcrest-core/srcs/hamcrest-core-1.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.jamon:jamon-runtime:2.3.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.jamon/jamon-runtime/jars/jamon-runtime-2.3.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.jamon/jamon-runtime/docs/jamon-runtime-2.3.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.jamon/jamon-runtime/srcs/jamon-runtime-2.3.1-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.javassist:javassist:3.18.0-GA">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.javassist/javassist/jars/javassist-3.18.0-GA.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.javassist/javassist/srcs/javassist-3.18.0-GA-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.jboss.netty:netty:3.2.7.Final">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.jboss.netty/netty/jars/netty-3.2.7.Final.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.jboss.netty/netty/srcs/netty-3.2.7.Final-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.json:json:20090211">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.json/json/jars/json-20090211.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.mindrot:jbcrypt:0.3m">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mindrot/jbcrypt/jars/jbcrypt-0.3m.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mindrot/jbcrypt/docs/jbcrypt-0.3m-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mindrot/jbcrypt/srcs/jbcrypt-0.3m-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.mockito:mockito-core:1.9.5">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mockito/mockito-core/jars/mockito-core-1.9.5.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mockito/mockito-core/docs/mockito-core-1.9.5-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mockito/mockito-core/srcs/mockito-core-1.9.5-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.mortbay.jetty:jetty:6.1.26">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/jetty/jars/jetty-6.1.26.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/jetty/docs/jetty-6.1.26-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/jetty/srcs/jetty-6.1.26-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.mortbay.jetty:jetty-util:6.1.26">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/jetty-util/jars/jetty-util-6.1.26.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/jetty-util/docs/jetty-util-6.1.26-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/jetty-util/srcs/jetty-util-6.1.26-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.mortbay.jetty:jsp-2.1:6.1.14">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/jsp-2.1/jars/jsp-2.1-6.1.14.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/jsp-2.1/srcs/jsp-2.1-6.1.14-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.mortbay.jetty:jsp-api-2.1:6.1.14">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/jsp-api-2.1/jars/jsp-api-2.1-6.1.14.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/jsp-api-2.1/srcs/jsp-api-2.1-6.1.14-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.mortbay.jetty:servlet-api:2.5-20081211">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/servlet-api/jars/servlet-api-2.5-20081211.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/servlet-api/docs/servlet-api-2.5-20081211-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/servlet-api/srcs/servlet-api-2.5-20081211-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.mortbay.jetty:servlet-api-2.5:6.1.14">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/servlet-api-2.5/jars/servlet-api-2.5-6.1.14.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.mortbay.jetty/servlet-api-2.5/srcs/servlet-api-2.5-6.1.14-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.objenesis:objenesis:1.0">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.objenesis/objenesis/jars/objenesis-1.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.objenesis/objenesis/docs/objenesis-1.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.objenesis/objenesis/srcs/objenesis-1.0-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.scala-lang:scala-library:2.10.3">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-lang/scala-library/jars/scala-library-2.10.3.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/lib/scala-library.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-lang/scala-library/docs/scala-library-2.10.3-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-lang/scala-library/srcs/scala-library-2.10.3-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.slf4j:slf4j-api:1.7.5">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.slf4j/slf4j-api/jars/slf4j-api-1.7.5.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.slf4j/slf4j-api/docs/slf4j-api-1.7.5-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.slf4j/slf4j-api/srcs/slf4j-api-1.7.5-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.slf4j:slf4j-log4j12:1.7.5">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.slf4j/slf4j-log4j12/jars/slf4j-log4j12-1.7.5.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.slf4j/slf4j-log4j12/docs/slf4j-log4j12-1.7.5-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.slf4j/slf4j-log4j12/srcs/slf4j-log4j12-1.7.5-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.xerial.snappy:snappy-java:1.0.5-M3">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.xerial.snappy/snappy-java/jars/snappy-java-1.0.5-M3.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.xerial.snappy/snappy-java/docs/snappy-java-1.0.5-M3-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.xerial.snappy/snappy-java/srcs/snappy-java-1.0.5-M3-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: org.yaml:snakeyaml:1.6">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.yaml/snakeyaml/jars/snakeyaml-1.6.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.ivy2/cache/org.yaml/snakeyaml/docs/snakeyaml-1.6-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.yaml/snakeyaml/srcs/snakeyaml-1.6-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: oro:oro:2.0.8">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/oro/oro/jars/oro-2.0.8.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/oro/oro/srcs/oro-2.0.8-sources.jar!/" />
</SOURCES>
</library>
</component>
<component name="libraryTable">
<library name="SBT: stax:stax-api:1.0.1">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/stax/stax-api/jars/stax-api-1.0.1.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: tomcat:jasper-compiler:5.5.23">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/tomcat/jasper-compiler/jars/jasper-compiler-5.5.23.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: tomcat:jasper-runtime:5.5.23">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/tomcat/jasper-runtime/jars/jasper-runtime-5.5.23.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<component name="libraryTable">
<library name="SBT: xmlenc:xmlenc:0.52">
<CLASSES>
<root url="jar://$USER_HOME$/.ivy2/cache/xmlenc/xmlenc/jars/xmlenc-0.52.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</component>
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="EntryPointsManager">
<entry_points version="2.0" />
</component>
<component name="ProjectRootManager" version="2" languageLevel="JDK_1_6" assert-keyword="true" jdk-15="true" project-jdk-name="1.6" project-jdk-type="JavaSDK">
<output url="file://$PROJECT_DIR$/out" />
</component>
</project>
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="ProjectModuleManager">
<modules>
<module fileurl="file://$PROJECT_DIR$/.idea_modules/atlas_poc.iml" filepath="$PROJECT_DIR$/.idea_modules/atlas_poc.iml" />
<module fileurl="file://$PROJECT_DIR$/.idea_modules/atlas_poc-build.iml" filepath="$PROJECT_DIR$/.idea_modules/atlas_poc-build.iml" />
</modules>
</component>
</project>
<?xml version="1.0" encoding="UTF-8"?>
<module external.linked.project.path="$MODULE_DIR$/../project" external.root.project.path="$MODULE_DIR$/.." external.system.id="SBT" sbt.imports="sbt._, Keys._, _root_.org.jetbrains.sbt.StructurePlugin._, _root_.org.sbtidea.SbtIdeaPlugin._" type="JAVA_MODULE" version="4">
<component name="NewModuleRootManager" inherit-compiler-output="false">
<output url="file://$MODULE_DIR$/../project/target/idea-classes" />
<output-test url="file://$MODULE_DIR$/../project/target/idea-test-classes" />
<exclude-output />
<content url="file://$MODULE_DIR$/../project">
<sourceFolder url="file://$MODULE_DIR$/../project" isTestSource="false" />
<excludeFolder url="file://$MODULE_DIR$/../project/project/target" />
<excludeFolder url="file://$MODULE_DIR$/../project/target" />
</content>
<orderEntry type="inheritedJdk" />
<orderEntry type="sourceFolder" forTests="false" />
<orderEntry type="module-library">
<library name="SBT: sbt-and-plugins">
<CLASSES>
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/command-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/ivy-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/api-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/jsch-0.1.46.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/run-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/scala-reflect-2.10.3.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/completion-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/launcher-interface-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/lib/scala-library.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/lib/scala-compiler.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/main-settings-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/scala_2.10/sbt_0.13/com.github.mpeltonen/sbt-idea/jars/sbt-idea-1.7.0-SNAPSHOT.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/task-system-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/test-agent-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/control-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/test-interface-1.0.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/scala_2.10/sbt_0.13/org.jetbrains/sbt-structure/jars/sbt-structure-2.4.0.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/compile-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/jline-2.11.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/xsbti/interface-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/sbinary_2.10-0.4.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/tasks-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/main-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/commons-io/commons-io/jars/commons-io-2.4.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/tracking-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/cross-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/lib/jansi.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/relation-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/classpath-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/apply-macro-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/cache-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/process-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/classfile-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/persist-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/collections-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/logging-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/compiler-integration-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/lib/scala-reflect.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/sbt-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/testing-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/ivy-2.3.0.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/lib/jline.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/compiler-ivy-integration-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/io-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/incremental-compiler-0.13.2.jar!/" />
<root url="jar://$USER_HOME$/Library/Caches/IdeaIC13/SBT/boot/scala-2.10.3/org.scala-sbt/sbt/0.13.2/actions-0.13.2.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES>
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/ivy/srcs/ivy-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/precompiled-2_9_2/srcs/precompiled-2_9_2-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/process/srcs/process-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/interface/srcs/interface-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/apply-macro/srcs/apply-macro-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/tracking/srcs/tracking-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/compile/srcs/compile-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/test-agent/srcs/test-agent-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-tools.sbinary/sbinary_2.10/srcs/sbinary_2.10-0.4.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-lang/scala-library/srcs/scala-library-2.10.3-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.apache.ivy/ivy/srcs/ivy-2.3.0-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/test-interface/srcs/test-interface-1.0-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-lang/scala-reflect/srcs/scala-reflect-2.10.3-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/incremental-compiler/srcs/incremental-compiler-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/actions/srcs/actions-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/launcher-interface/srcs/launcher-interface-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/compiler-integration/srcs/compiler-integration-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/classpath/srcs/classpath-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/collections/srcs/collections-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/tasks/srcs/tasks-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/compiler-ivy-integration/srcs/compiler-ivy-integration-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/task-system/srcs/task-system-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/cross/srcs/cross-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/commons-io/commons-io/srcs/commons-io-2.4-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/main/srcs/main-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/classfile/srcs/classfile-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/relation/srcs/relation-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/scala_2.10/sbt_0.13/com.github.mpeltonen/sbt-idea/srcs/sbt-idea-1.7.0-SNAPSHOT-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/command/srcs/command-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/scala_2.10/sbt_0.13/org.jetbrains/sbt-structure/srcs/sbt-structure-2.4.0-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/completion/srcs/completion-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/testing/srcs/testing-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/api/srcs/api-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/sbt/srcs/sbt-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/control/srcs/control-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/persist/srcs/persist-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/precompiled-2_8_2/srcs/precompiled-2_8_2-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/precompiled-2_9_3/srcs/precompiled-2_9_3-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/io/srcs/io-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/cache/srcs/cache-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/run/srcs/run-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/main-settings/srcs/main-settings-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/com.jcraft/jsch/srcs/jsch-0.1.46-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/jline/jline/srcs/jline-2.11-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-sbt/logging/srcs/logging-0.13.2-sources.jar!/" />
<root url="jar://$USER_HOME$/.ivy2/cache/org.scala-lang/scala-compiler/srcs/scala-compiler-2.10.3-sources.jar!/" />
</SOURCES>
</library>
</orderEntry>
</component>
</module>
<?xml version="1.0" encoding="UTF-8"?>
<module external.linked.project.path="$MODULE_DIR$/.." external.root.project.path="$MODULE_DIR$/.." external.system.id="SBT" type="JAVA_MODULE" version="4">
<component name="FacetManager">
<facet type="scala" name="Scala">
<configuration>
<option name="compilerLibraryLevel" value="Project" />
<option name="compilerLibraryName" value="SBT:: scala-compiler-bundle:2.10.3" />
<option name="fsc" value="true" />
</configuration>
</facet>
</component>
<component name="NewModuleRootManager" inherit-compiler-output="false">
<output url="file://$MODULE_DIR$/../target/scala-2.10/classes" />
<output-test url="file://$MODULE_DIR$/../target/scala-2.10/test-classes" />
<exclude-output />
<content url="file://$MODULE_DIR$/..">
<sourceFolder url="file://$MODULE_DIR$/../target/scala-2.10/src_managed/main" isTestSource="false" />
<sourceFolder url="file://$MODULE_DIR$/../src/main/scala" isTestSource="false" />
<sourceFolder url="file://$MODULE_DIR$/../src/main/java" isTestSource="false" />
<sourceFolder url="file://$MODULE_DIR$/../src/test/java" isTestSource="true" />
<sourceFolder url="file://$MODULE_DIR$/../target/scala-2.10/src_managed/test" isTestSource="true" />
<sourceFolder url="file://$MODULE_DIR$/../src/test/scala" isTestSource="true" />
<sourceFolder url="file://$MODULE_DIR$/../target/scala-2.10/resource_managed/main" type="java-resource" />
<sourceFolder url="file://$MODULE_DIR$/../src/main/resources" type="java-resource" />
<sourceFolder url="file://$MODULE_DIR$/../src/test/resources" type="java-test-resource" />
<sourceFolder url="file://$MODULE_DIR$/../target/scala-2.10/resource_managed/test" type="java-test-resource" />
</content>
<orderEntry type="inheritedJdk" />
<orderEntry type="sourceFolder" forTests="false" />
<orderEntry type="library" name="SBT: org.scala-lang:scala-library:2.10.3" level="project" />
<orderEntry type="library" name="SBT: com.thinkaurelius.titan:titan-all-jre6:0.4.4" level="project" />
<orderEntry type="library" name="SBT: com.thinkaurelius.titan:titan-core-jre6:0.4.4" level="project" />
<orderEntry type="library" name="SBT: com.tinkerpop.blueprints:blueprints-core:2.4.0" level="project" />
<orderEntry type="library" name="SBT: org.codehaus.jettison:jettison:1.3.3" level="project" />
<orderEntry type="library" name="SBT: stax:stax-api:1.0.1" level="project" />
<orderEntry type="library" name="SBT: com.fasterxml.jackson.datatype:jackson-datatype-json-org:2.1.2" level="project" />
<orderEntry type="library" name="SBT: com.fasterxml.jackson.core:jackson-core:2.1.2" level="project" />
<orderEntry type="library" name="SBT: com.fasterxml.jackson.core:jackson-databind:2.1.2" level="project" />
<orderEntry type="library" name="SBT: com.fasterxml.jackson.core:jackson-annotations:2.1.2" level="project" />
<orderEntry type="library" name="SBT: org.json:json:20090211" level="project" />
<orderEntry type="library" name="SBT: colt:colt:1.2.0" level="project" />
<orderEntry type="library" name="SBT: concurrent:concurrent:1.3.4" level="project" />
<orderEntry type="library" name="SBT: commons-configuration:commons-configuration:1.6" level="project" />
<orderEntry type="library" name="SBT: commons-collections:commons-collections:3.2.1" level="project" />
<orderEntry type="library" name="SBT: commons-lang:commons-lang:2.5" level="project" />
<orderEntry type="library" name="SBT: commons-logging:commons-logging:1.1.1" level="project" />
<orderEntry type="library" name="SBT: commons-digester:commons-digester:1.8" level="project" />
<orderEntry type="library" name="SBT: commons-beanutils:commons-beanutils:1.7.0" level="project" />
<orderEntry type="library" name="SBT: commons-beanutils:commons-beanutils-core:1.7.0" level="project" />
<orderEntry type="library" name="SBT: com.tinkerpop:frames:2.4.0" level="project" />
<orderEntry type="library" name="SBT: com.tinkerpop.gremlin:gremlin-groovy:2.4.0" level="project" />
<orderEntry type="library" name="SBT: com.tinkerpop.gremlin:gremlin-java:2.4.0" level="project" />
<orderEntry type="library" name="SBT: com.tinkerpop:pipes:2.4.0" level="project" />
<orderEntry type="library" name="SBT: org.apache.ivy:ivy:2.3.0" level="project" />
<orderEntry type="library" name="SBT: org.codehaus.groovy:groovy:1.8.9" level="project" />
<orderEntry type="library" name="SBT: antlr:antlr:2.7.7" level="project" />
<orderEntry type="library" name="SBT: asm:asm:3.1" level="project" />
<orderEntry type="library" name="SBT: asm:asm-commons:3.1" level="project" />
<orderEntry type="library" name="SBT: asm:asm-tree:3.1" level="project" />
<orderEntry type="library" name="SBT: asm:asm-util:3.1" level="project" />
<orderEntry type="library" name="SBT: asm:asm-analysis:3.1" level="project" />
<orderEntry type="library" name="SBT: org.apache.ant:ant:1.8.3" level="project" />
<orderEntry type="library" name="SBT: org.apache.ant:ant-launcher:1.8.3" level="project" />
<orderEntry type="library" name="SBT: org.fusesource.jansi:jansi:1.5" level="project" />
<orderEntry type="library" name="SBT: jline:jline:1.0" level="project" />
<orderEntry type="library" name="SBT: org.javassist:javassist:3.18.0-GA" level="project" />
<orderEntry type="library" name="SBT: com.google.guava:guava:14.0.1" level="project" />
<orderEntry type="library" name="SBT: com.codahale.metrics:metrics-core:3.0.1" level="project" />
<orderEntry type="library" name="SBT: org.slf4j:slf4j-api:1.7.5" level="project" />
<orderEntry type="library" name="SBT: com.codahale.metrics:metrics-ganglia:3.0.1" level="project" />
<orderEntry type="library" name="SBT: info.ganglia.gmetric4j:gmetric4j:1.0.3" level="project" />
<orderEntry type="library" name="SBT: org.acplt:oncrpc:1.0.7" level="project" />
<orderEntry type="library" name="SBT: com.codahale.metrics:metrics-graphite:3.0.1" level="project" />
<orderEntry type="library" name="SBT: com.spatial4j:spatial4j:0.3" level="project" />
<orderEntry type="library" name="SBT: commons-io:commons-io:2.1" level="project" />
<orderEntry type="library" name="SBT: commons-codec:commons-codec:1.7" level="project" />
<orderEntry type="library" name="SBT: com.google.code.findbugs:jsr305:1.3.9" level="project" />
<orderEntry type="library" name="SBT: com.carrotsearch:hppc:0.4.2" level="project" />
<orderEntry type="library" name="SBT: com.github.stephenc.high-scale-lib:high-scale-lib:1.1.2" level="project" />
<orderEntry type="library" name="SBT: com.esotericsoftware.kryo:kryo:2.21" level="project" />
<orderEntry type="library" name="SBT: com.thinkaurelius.titan:titan-cassandra-jre6:0.4.4" level="project" />
<orderEntry type="library" name="SBT: com.carrotsearch:junit-benchmarks:0.7.0" level="project" />
<orderEntry type="library" name="SBT: com.carrotsearch.randomizedtesting:randomizedtesting-runner:2.0.8" level="project" />
<orderEntry type="library" name="SBT: junit:junit:4.11" level="project" />
<orderEntry type="library" name="SBT: org.apache.cassandra:cassandra-all:1.2.2" level="project" />
<orderEntry type="library" name="SBT: org.xerial.snappy:snappy-java:1.0.5-M3" level="project" />
<orderEntry type="library" name="SBT: net.jpountz.lz4:lz4:1.1.0" level="project" />
<orderEntry type="library" name="SBT: com.ning:compress-lzf:0.8.4" level="project" />
<orderEntry type="library" name="SBT: commons-cli:commons-cli:1.2" level="project" />
<orderEntry type="library" name="SBT: com.googlecode.concurrentlinkedhashmap:concurrentlinkedhashmap-lru:1.3" level="project" />
<orderEntry type="library" name="SBT: org.antlr:antlr:3.2" level="project" />
<orderEntry type="library" name="SBT: org.antlr:antlr-runtime:3.2" level="project" />
<orderEntry type="library" name="SBT: org.antlr:stringtemplate:3.2.1" level="project" />
<orderEntry type="library" name="SBT: org.apache.cassandra.deps:avro:1.4.0-cassandra-1" level="project" />
<orderEntry type="library" name="SBT: org.codehaus.jackson:jackson-mapper-asl:1.9.2" level="project" />
<orderEntry type="library" name="SBT: org.codehaus.jackson:jackson-core-asl:1.9.2" level="project" />
<orderEntry type="library" name="SBT: org.mortbay.jetty:jetty:6.1.26" level="project" />
<orderEntry type="library" name="SBT: org.mortbay.jetty:jetty-util:6.1.26" level="project" />
<orderEntry type="library" name="SBT: org.mortbay.jetty:servlet-api:2.5-20081211" level="project" />
<orderEntry type="library" name="SBT: com.googlecode.json-simple:json-simple:1.1" level="project" />
<orderEntry type="library" name="SBT: org.yaml:snakeyaml:1.6" level="project" />
<orderEntry type="library" name="SBT: edu.stanford.ppl:snaptree:0.1" level="project" />
<orderEntry type="library" name="SBT: org.mindrot:jbcrypt:0.3m" level="project" />
<orderEntry type="library" name="SBT: com.yammer.metrics:metrics-core:2.1.2" level="project" />
<orderEntry type="library" name="SBT: log4j:log4j:1.2.16" level="project" />
<orderEntry type="library" name="SBT: org.apache.thrift:libthrift:0.7.0" level="project" />
<orderEntry type="library" name="SBT: javax.servlet:servlet-api:2.5" level="project" />
<orderEntry type="library" name="SBT: org.apache.httpcomponents:httpclient:4.0.1" level="project" />
<orderEntry type="library" name="SBT: org.apache.httpcomponents:httpcore:4.0.1" level="project" />
<orderEntry type="library" name="SBT: org.apache.cassandra:cassandra-thrift:1.2.2" level="project" />
<orderEntry type="library" name="SBT: com.github.stephenc:jamm:0.2.5" level="project" />
<orderEntry type="library" name="SBT: commons-pool:commons-pool:1.6" level="project" />
<orderEntry type="library" name="SBT: org.jboss.netty:netty:3.2.7.Final" level="project" />
<orderEntry type="library" name="SBT: com.netflix.astyanax:astyanax-core:1.56.37" level="project" />
<orderEntry type="library" name="SBT: com.eaio.uuid:uuid:3.2" level="project" />
<orderEntry type="library" name="SBT: joda-time:joda-time:1.6.2" level="project" />
<orderEntry type="library" name="SBT: com.netflix.astyanax:astyanax-thrift:1.56.37" level="project" />
<orderEntry type="library" name="SBT: com.netflix.astyanax:astyanax-cassandra:1.56.37" level="project" />
<orderEntry type="library" name="SBT: org.apache.servicemix.bundles:org.apache.servicemix.bundles.commons-csv:1.0-r706900_3" level="project" />
<orderEntry type="library" name="SBT: com.netflix.astyanax:astyanax-recipes:1.56.37" level="project" />
<orderEntry type="library" name="SBT: org.slf4j:slf4j-log4j12:1.7.5" level="project" />
<orderEntry type="library" name="SBT: com.thinkaurelius.titan:titan-berkeleyje-jre6:0.4.4" level="project" />
<orderEntry type="library" name="SBT: com.sleepycat:je:5.0.73" level="project" />
<orderEntry type="library" name="SBT: com.thinkaurelius.titan:titan-hbase-jre6:0.4.4" level="project" />
<orderEntry type="library" name="SBT: org.apache.hbase:hbase:0.94.12" level="project" />
<orderEntry type="library" name="SBT: commons-httpclient:commons-httpclient:3.1" level="project" />
<orderEntry type="library" name="SBT: org.apache.avro:avro-ipc:1.5.3" level="project" />
<orderEntry type="library" name="SBT: org.apache.velocity:velocity:1.7" level="project" />
<orderEntry type="library" name="SBT: org.apache.zookeeper:zookeeper:3.4.5" level="project" />
<orderEntry type="library" name="SBT: org.mortbay.jetty:jsp-2.1:6.1.14" level="project" />
<orderEntry type="library" name="SBT: org.eclipse.jdt:core:3.1.1" level="project" />
<orderEntry type="library" name="SBT: org.mortbay.jetty:jsp-api-2.1:6.1.14" level="project" />
<orderEntry type="library" name="SBT: org.mortbay.jetty:servlet-api-2.5:6.1.14" level="project" />
<orderEntry type="library" name="SBT: org.codehaus.jackson:jackson-jaxrs:1.9.2" level="project" />
<orderEntry type="library" name="SBT: org.codehaus.jackson:jackson-xc:1.9.2" level="project" />
<orderEntry type="library" name="SBT: org.jamon:jamon-runtime:2.3.1" level="project" />
<orderEntry type="library" name="SBT: com.google.protobuf:protobuf-java:2.5.0" level="project" />
<orderEntry type="library" name="SBT: com.sun.jersey:jersey-core:1.17" level="project" />
<orderEntry type="library" name="SBT: com.sun.jersey:jersey-json:1.17" level="project" />
<orderEntry type="library" name="SBT: com.sun.xml.bind:jaxb-impl:2.2.3-1" level="project" />
<orderEntry type="library" name="SBT: javax.xml.bind:jaxb-api:2.2.2" level="project" />
<orderEntry type="library" name="SBT: javax.xml.stream:stax-api:1.0-2" level="project" />
<orderEntry type="library" name="SBT: javax.activation:activation:1.1" level="project" />
<orderEntry type="library" name="SBT: com.sun.jersey:jersey-server:1.17" level="project" />
<orderEntry type="library" name="SBT: org.apache.hadoop:hadoop-core:1.0.4" level="project" />
<orderEntry type="library" name="SBT: xmlenc:xmlenc:0.52" level="project" />
<orderEntry type="library" name="SBT: org.apache.commons:commons-math:2.2" level="project" />
<orderEntry type="library" name="SBT: commons-net:commons-net:1.4.1" level="project" />
<orderEntry type="library" name="SBT: oro:oro:2.0.8" level="project" />
<orderEntry type="library" name="SBT: commons-el:commons-el:1.0" level="project" />
<orderEntry type="library" name="SBT: net.java.dev.jets3t:jets3t:0.7.1" level="project" />
<orderEntry type="library" name="SBT: net.sf.kosmosfs:kfs:0.3" level="project" />
<orderEntry type="library" name="SBT: hsqldb:hsqldb:1.8.0.10" level="project" />
<orderEntry type="library" name="SBT: ant:ant:1.6.5" level="project" />
<orderEntry type="library" name="SBT: tomcat:jasper-compiler:5.5.23" level="project" />
<orderEntry type="library" name="SBT: javax.servlet:jsp-api:2.0" level="project" />
<orderEntry type="library" name="SBT: tomcat:jasper-runtime:5.5.23" level="project" />
<orderEntry type="library" name="SBT: com.thinkaurelius.titan:titan-es-jre6:0.4.4" level="project" />
<orderEntry type="library" name="SBT: org.elasticsearch:elasticsearch:0.90.5" level="project" />
<orderEntry type="library" name="SBT: org.apache.lucene:lucene-core:4.4.0" level="project" />
<orderEntry type="library" name="SBT: org.apache.lucene:lucene-analyzers-common:4.4.0" level="project" />
<orderEntry type="library" name="SBT: org.apache.lucene:lucene-codecs:4.4.0" level="project" />
<orderEntry type="library" name="SBT: org.apache.lucene:lucene-queries:4.4.0" level="project" />
<orderEntry type="library" name="SBT: org.apache.lucene:lucene-memory:4.4.0" level="project" />
<orderEntry type="library" name="SBT: org.apache.lucene:lucene-highlighter:4.4.0" level="project" />
<orderEntry type="library" name="SBT: org.apache.lucene:lucene-queryparser:4.4.0" level="project" />
<orderEntry type="library" name="SBT: org.apache.lucene:lucene-sandbox:4.4.0" level="project" />
<orderEntry type="library" name="SBT: org.apache.lucene:lucene-suggest:4.4.0" level="project" />
<orderEntry type="library" name="SBT: org.apache.lucene:lucene-misc:4.4.0" level="project" />
<orderEntry type="library" name="SBT: org.apache.lucene:lucene-join:4.4.0" level="project" />
<orderEntry type="library" name="SBT: org.apache.lucene:lucene-grouping:4.4.0" level="project" />
<orderEntry type="library" name="SBT: org.apache.lucene:lucene-spatial:4.4.0" level="project" />
<orderEntry type="library" name="SBT: com.thinkaurelius.titan:titan-lucene-jre6:0.4.4" level="project" />
<orderEntry type="library" scope="TEST" name="SBT: org.hamcrest:hamcrest-core:1.1" level="project" />
<orderEntry type="library" scope="TEST" name="SBT: org.mockito:mockito-core:1.9.5" level="project" />
<orderEntry type="library" scope="TEST" name="SBT: org.objenesis:objenesis:1.0" level="project" />
</component>
</module>
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="CodeStyleSettingsManager">
<option name="PER_PROJECT_SETTINGS">
<value>
<option name="LINE_SEPARATOR" value="&#10;" />
</value>
</option>
<option name="USE_PER_PROJECT_SETTINGS" value="true" />
</component>
</project>
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="ScalaSbtSettings">
<option name="linkedExternalProjectsSettings">
<SbtProjectSettings>
<option name="createEmptyContentRootDirectories" value="true" />
<option name="externalProjectPath" value="$PROJECT_DIR$" />
<option name="modules">
<set>
<option value="$PROJECT_DIR$" />
<option value="$PROJECT_DIR$/project" />
</set>
</option>
<option name="useAutoImport" value="true" />
</SbtProjectSettings>
</option>
</component>
</project>
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="ScalacSettings">
<option name="COMPILER_LIBRARY_NAME" value="SBT: scala:2.10.3" />
<option name="COMPILER_LIBRARY_LEVEL" value="Project" />
</component>
</project>
<component name="DependencyValidationManager">
<state>
<option name="SKIP_IMPORT_STATEMENTS" value="false" />
</state>
</component>
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="Palette2">
<group name="Swing">
<item class="com.intellij.uiDesigner.HSpacer" tooltip-text="Horizontal Spacer" icon="/com/intellij/uiDesigner/icons/hspacer.png" removable="false" auto-create-binding="false" can-attach-label="false">
<default-constraints vsize-policy="1" hsize-policy="6" anchor="0" fill="1" />
</item>
<item class="com.intellij.uiDesigner.VSpacer" tooltip-text="Vertical Spacer" icon="/com/intellij/uiDesigner/icons/vspacer.png" removable="false" auto-create-binding="false" can-attach-label="false">
<default-constraints vsize-policy="6" hsize-policy="1" anchor="0" fill="2" />
</item>
<item class="javax.swing.JPanel" icon="/com/intellij/uiDesigner/icons/panel.png" removable="false" auto-create-binding="false" can-attach-label="false">
<default-constraints vsize-policy="3" hsize-policy="3" anchor="0" fill="3" />
</item>
<item class="javax.swing.JScrollPane" icon="/com/intellij/uiDesigner/icons/scrollPane.png" removable="false" auto-create-binding="false" can-attach-label="true">
<default-constraints vsize-policy="7" hsize-policy="7" anchor="0" fill="3" />
</item>
<item class="javax.swing.JButton" icon="/com/intellij/uiDesigner/icons/button.png" removable="false" auto-create-binding="true" can-attach-label="false">
<default-constraints vsize-policy="0" hsize-policy="3" anchor="0" fill="1" />
<initial-values>
<property name="text" value="Button" />
</initial-values>
</item>
<item class="javax.swing.JRadioButton" icon="/com/intellij/uiDesigner/icons/radioButton.png" removable="false" auto-create-binding="true" can-attach-label="false">
<default-constraints vsize-policy="0" hsize-policy="3" anchor="8" fill="0" />
<initial-values>
<property name="text" value="RadioButton" />
</initial-values>
</item>
<item class="javax.swing.JCheckBox" icon="/com/intellij/uiDesigner/icons/checkBox.png" removable="false" auto-create-binding="true" can-attach-label="false">
<default-constraints vsize-policy="0" hsize-policy="3" anchor="8" fill="0" />
<initial-values>
<property name="text" value="CheckBox" />
</initial-values>
</item>
<item class="javax.swing.JLabel" icon="/com/intellij/uiDesigner/icons/label.png" removable="false" auto-create-binding="false" can-attach-label="false">
<default-constraints vsize-policy="0" hsize-policy="0" anchor="8" fill="0" />
<initial-values>
<property name="text" value="Label" />
</initial-values>
</item>
<item class="javax.swing.JTextField" icon="/com/intellij/uiDesigner/icons/textField.png" removable="false" auto-create-binding="true" can-attach-label="true">
<default-constraints vsize-policy="0" hsize-policy="6" anchor="8" fill="1">
<preferred-size width="150" height="-1" />
</default-constraints>
</item>
<item class="javax.swing.JPasswordField" icon="/com/intellij/uiDesigner/icons/passwordField.png" removable="false" auto-create-binding="true" can-attach-label="true">
<default-constraints vsize-policy="0" hsize-policy="6" anchor="8" fill="1">
<preferred-size width="150" height="-1" />
</default-constraints>
</item>
<item class="javax.swing.JFormattedTextField" icon="/com/intellij/uiDesigner/icons/formattedTextField.png" removable="false" auto-create-binding="true" can-attach-label="true">
<default-constraints vsize-policy="0" hsize-policy="6" anchor="8" fill="1">
<preferred-size width="150" height="-1" />
</default-constraints>
</item>
<item class="javax.swing.JTextArea" icon="/com/intellij/uiDesigner/icons/textArea.png" removable="false" auto-create-binding="true" can-attach-label="true">
<default-constraints vsize-policy="6" hsize-policy="6" anchor="0" fill="3">
<preferred-size width="150" height="50" />
</default-constraints>
</item>
<item class="javax.swing.JTextPane" icon="/com/intellij/uiDesigner/icons/textPane.png" removable="false" auto-create-binding="true" can-attach-label="true">
<default-constraints vsize-policy="6" hsize-policy="6" anchor="0" fill="3">
<preferred-size width="150" height="50" />
</default-constraints>
</item>
<item class="javax.swing.JEditorPane" icon="/com/intellij/uiDesigner/icons/editorPane.png" removable="false" auto-create-binding="true" can-attach-label="true">
<default-constraints vsize-policy="6" hsize-policy="6" anchor="0" fill="3">
<preferred-size width="150" height="50" />
</default-constraints>
</item>
<item class="javax.swing.JComboBox" icon="/com/intellij/uiDesigner/icons/comboBox.png" removable="false" auto-create-binding="true" can-attach-label="true">
<default-constraints vsize-policy="0" hsize-policy="2" anchor="8" fill="1" />
</item>
<item class="javax.swing.JTable" icon="/com/intellij/uiDesigner/icons/table.png" removable="false" auto-create-binding="true" can-attach-label="false">
<default-constraints vsize-policy="6" hsize-policy="6" anchor="0" fill="3">
<preferred-size width="150" height="50" />
</default-constraints>
</item>
<item class="javax.swing.JList" icon="/com/intellij/uiDesigner/icons/list.png" removable="false" auto-create-binding="true" can-attach-label="false">
<default-constraints vsize-policy="6" hsize-policy="2" anchor="0" fill="3">
<preferred-size width="150" height="50" />
</default-constraints>
</item>
<item class="javax.swing.JTree" icon="/com/intellij/uiDesigner/icons/tree.png" removable="false" auto-create-binding="true" can-attach-label="false">
<default-constraints vsize-policy="6" hsize-policy="6" anchor="0" fill="3">
<preferred-size width="150" height="50" />
</default-constraints>
</item>
<item class="javax.swing.JTabbedPane" icon="/com/intellij/uiDesigner/icons/tabbedPane.png" removable="false" auto-create-binding="true" can-attach-label="false">
<default-constraints vsize-policy="3" hsize-policy="3" anchor="0" fill="3">
<preferred-size width="200" height="200" />
</default-constraints>
</item>
<item class="javax.swing.JSplitPane" icon="/com/intellij/uiDesigner/icons/splitPane.png" removable="false" auto-create-binding="false" can-attach-label="false">
<default-constraints vsize-policy="3" hsize-policy="3" anchor="0" fill="3">
<preferred-size width="200" height="200" />
</default-constraints>
</item>
<item class="javax.swing.JSpinner" icon="/com/intellij/uiDesigner/icons/spinner.png" removable="false" auto-create-binding="true" can-attach-label="true">
<default-constraints vsize-policy="0" hsize-policy="6" anchor="8" fill="1" />
</item>
<item class="javax.swing.JSlider" icon="/com/intellij/uiDesigner/icons/slider.png" removable="false" auto-create-binding="true" can-attach-label="false">
<default-constraints vsize-policy="0" hsize-policy="6" anchor="8" fill="1" />
</item>
<item class="javax.swing.JSeparator" icon="/com/intellij/uiDesigner/icons/separator.png" removable="false" auto-create-binding="false" can-attach-label="false">
<default-constraints vsize-policy="6" hsize-policy="6" anchor="0" fill="3" />
</item>
<item class="javax.swing.JProgressBar" icon="/com/intellij/uiDesigner/icons/progressbar.png" removable="false" auto-create-binding="true" can-attach-label="false">
<default-constraints vsize-policy="0" hsize-policy="6" anchor="0" fill="1" />
</item>
<item class="javax.swing.JToolBar" icon="/com/intellij/uiDesigner/icons/toolbar.png" removable="false" auto-create-binding="false" can-attach-label="false">
<default-constraints vsize-policy="0" hsize-policy="6" anchor="0" fill="1">
<preferred-size width="-1" height="20" />
</default-constraints>
</item>
<item class="javax.swing.JToolBar$Separator" icon="/com/intellij/uiDesigner/icons/toolbarSeparator.png" removable="false" auto-create-binding="false" can-attach-label="false">
<default-constraints vsize-policy="0" hsize-policy="0" anchor="0" fill="1" />
</item>
<item class="javax.swing.JScrollBar" icon="/com/intellij/uiDesigner/icons/scrollbar.png" removable="false" auto-create-binding="true" can-attach-label="false">
<default-constraints vsize-policy="6" hsize-policy="0" anchor="0" fill="2" />
</item>
</group>
</component>
</project>
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="VcsDirectoryMappings">
<mapping directory="" vcs="" />
</component>
</project>
name := "atlas_poc"
version := "1.0"
scalaVersion := "2.10.3"
resolvers += "Maven Central Repo" at "http://repo1.maven.org/maven2/"
// library dependencies. (orginization name) % (project name) % (version)
libraryDependencies ++= Seq(
//"com.thinkaurelius.titan" % "titan-core-jre6" % "0.4.4",
"com.thinkaurelius.titan" % "titan-all-jre6" % "0.4.4",
"org.mockito" % "mockito-core" % "1.9.5" % "test" // Test-only dependency
)
import com.thinkaurelius.titan.core.{TitanFactory, TitanGraph}
import com.tinkerpop.blueprints.Vertex
import org.apache.commons.configuration.BaseConfiguration
import scala.io.Source
import scala.collection.mutable.HashMap
val conf: BaseConfiguration = new BaseConfiguration()
conf.setProperty("storage.directory", "/tmp/graph")
conf.setProperty("storage.backend", "berkeleyje")
val g: TitanGraph = TitanFactory.open(conf)
val fileName = "TitanPoc1Extract.txt"
val source = Source.fromURL(getClass.getResource(fileName))
val data = source.getLines().toVector
def processVertex(line: String, graph: TitanGraph): (Map[String, (Vertex, String, String)]) = {
val record = line.mkString.split('|').toVector
val lid = record(2).split(':').toVector(1)
val dl = record(3).split(':').toVector(1)
val v_type = record(4).split(':').toVector(1)
val vertex = graph.addVertex(null)
val v_nodes = Map(lid -> (vertex, dl, v_type))
v_nodes
}
val vertices = data.filter(_.mkString.split('|').toVector(0) == "VERTEX")
val all_verts = vertices.map(processVertex(_,g)).reduceLeft(_++_) //create one map of all verts
//def addVertexNode(graph: TitanGraph, vertex_info: Map[String, (Vertex, String, String)]): Unit= {
// val v = g.addVertex(null)
// val v_id = vertex_info._1
// v.setProperty("enernoc_id", v_id) //set id
// v.setProperty("display_label", vertex_info._2._1)
// v.setProperty("v_type", vertex_info._2._2)
// // val v_edges = get_edge_labels(v_id, edges)
// // v_edges.foreach()
//}
//def addNode(g: TitanGraph, label: String, value: String): Unit = {
// // val gr = TitanFactory.open(conf)
// val v: Vertex = g.addVertex(null)
// v.setProperty(label, value)
//}
def processEdge(line: String): (String, String, String) = {
val record = line.mkString.split('|').toVector
val from = record(2).split(':').toVector(1)
val to = record(3).split(':').toVector(1)
val label = record(4).split(':').toVector(1)
val edg = (from, to, label)
edg
}
//val e1 = processEdge(data(83))
val edges = data.filter(_.mkString.split('|').toVector(0) == "EDGE")
processEdge(edges(1))
//val all_edges = edges.map(processEdge(_)).reduceLeft(_++_) //not unique- won't work
val all_edges = edges.map(processEdge(_)).groupBy(_._1)
// figure out function to get each edge to write
val test_edge = all_edges("4180890559")
test_edge(1)._2 //this is the node to write
def get_edge_labels(node: String,
edges: Map[String, Vector[(String, String, String)]]): Vector[(String, String, String)] = {
val edge = edges(node)
edge
}
val proc_edg_test = get_edge_labels("4180890559", all_edges)
val connect_to = proc_edg_test(1)._2
val edge_descrip = proc_edg_test(1)._3
def generate_edge_trans(vertex: Vertex, edge: (String, String, String)): Unit = {
val connect_to = edge._2
val edge_descrip = edge._3
// vertex.addEdge(null,)
}
resolvers += "Sonatype snapshots" at "https://oss.sonatype.org/content/repositories/snapshots/"
addSbtPlugin("com.github.mpeltonen" % "sbt-idea" % "1.7.0-SNAPSHOT")
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $06cd07c9809ac5caf90c extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $4569e59454f644fc09d5 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $99026ae23000d3dfd521$ implements scala.ScalaObject {
public static final $99026ae23000d3dfd521$ MODULE$;
public sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
private $99026ae23000d3dfd521$() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $99026ae23000d3dfd521 {
public static final sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $9ea4cd9ee8a4c16b081c extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $bb92e4eaa0e804d3d14e$ {
public static final $bb92e4eaa0e804d3d14e$ MODULE$;
public sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
private $bb92e4eaa0e804d3d14e$() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $bb92e4eaa0e804d3d14e {
public static sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $cdee3b5bc5f436805153 extends java.lang.Object with scala.ScalaObject {
def $sbtdef : sbt.Project.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $d264d009d70b83f78b32$ {
public static final $d264d009d70b83f78b32$ MODULE$;
public sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
private $d264d009d70b83f78b32$() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $d264d009d70b83f78b32 {
public static sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $e2cb546a4733e47004f7 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $005b17841ce5c31e13df extends java.lang.Object with scala.ScalaObject {
def $sbtdef : sbt.Project.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $077115742425e5a1a833 extends scala.AnyRef {
def $sbtdef : java.lang.String = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $093ac86fae930de61930 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $093ccd982d5e06ff6797$ {
public static final $093ccd982d5e06ff6797$ MODULE$;
public sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
private $093ccd982d5e06ff6797$() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $093ccd982d5e06ff6797 {
public static sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $095a146b688f593f6f27 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $150675c3ca23666a79c4 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $19c1de692b8746469683 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $1c6ec6756743d930b7e9 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $25d1b12deb7c4d22e0f6 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $296517e145bd0e2a704c extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $2a54dba9ed87fcb7d3a8 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $312bfd353e6a650c21d3 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $337439006b631beaa82c extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $369c6da68f7f3b8d1ea7 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $38e8c50dc1770e3dd3e8$ implements scala.ScalaObject {
public static final $38e8c50dc1770e3dd3e8$ MODULE$;
public sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
private $38e8c50dc1770e3dd3e8$() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $38e8c50dc1770e3dd3e8 {
public static final sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $392f956b6b4877c7986e extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $43d515d96d27eb1f8b8a$ {
public static final $43d515d96d27eb1f8b8a$ MODULE$;
public sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
private $43d515d96d27eb1f8b8a$() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $43d515d96d27eb1f8b8a {
public static sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $44547f1184db2b6283f2 extends scala.AnyRef {
def $sbtdef : java.lang.String = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $48c5c889dc0149008d63$ {
public static final $48c5c889dc0149008d63$ MODULE$;
public sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
private $48c5c889dc0149008d63$() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $48c5c889dc0149008d63 {
public static sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $4937e7ca46734430c721 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $4bdba1624fd7d1e0f3e5$ {
public static final $4bdba1624fd7d1e0f3e5$ MODULE$;
public sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
private $4bdba1624fd7d1e0f3e5$() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $4bdba1624fd7d1e0f3e5 {
public static sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $51c6cef717abc19b7ae1 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $556c2cdacc66b11ef21f extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $5c5c7e8781ad71515dce extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $5f95aeee0bb32d92c24a extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
object $623ab78c7e4d44a157b8 extends scala.AnyRef {
def $sbtdef : sbt.Def.SettingsDefinition = { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $68a9e2231ed94d7cec12$ {
public static final $68a9e2231ed94d7cec12$ MODULE$;
public sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
private $68a9e2231ed94d7cec12$() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
public final class $68a9e2231ed94d7cec12 {
public static sbt.Init<sbt.Scope>.SettingsDefinition $sbtdef() { /* compiled code */ }
}
// IntelliJ API Decompiler stub source generated from a class file
// Implementation of methods is not available
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment