Skip to content

Instantly share code, notes, and snippets.

@CyberT7
CyberT7 / FPGA_VGA.vhd
Created May 24, 2019 14:24 — forked from jgibbard/FPGA_VGA.vhd
VHDL VGA PONG
--VHDL VGA PONG demo
--An FPGA version of the classic pong game
--Score counts up to 9
--Right player uses buttons 0 and 1
--Left player uses Switch 0 (Much harder!)
--Button 2 resets the game and score
library ieee; use ieee.std_logic_1164.all; USE ieee.std_logic_arith.all;
entity FPGA_VGA is