Created
February 24, 2024 00:58
-
-
Save GrahamcOfBorg/6cc7c380ace2f9984885749765c6635e to your computer and use it in GitHub Desktop.
This file has been truncated, but you can view the full file.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
aarch64-darwin llvmPackages_16.libcxxClang | |
x86_64-linux kalibrate-hackrf | |
x86_64-linux emacsPackages.phi-search-dired | |
x86_64-darwin python311Packages.smhi-pkg | |
x86_64-linux python311Packages.wiffi | |
x86_64-linux python311Packages.pyprecice | |
aarch64-darwin nss_esr | |
x86_64-darwin hyperledger-fabric | |
x86_64-darwin python312Packages.aioweenect | |
x86_64-linux busybox-sandbox-shell | |
x86_64-linux emacsPackages.aurel | |
x86_64-linux haskellPackages.functor-apply | |
aarch64-darwin consul-alerts | |
aarch64-darwin emacsPackages.org-preview-html | |
x86_64-linux emacsPackages.dyalog-mode | |
aarch64-darwin plasma5Packages.kcompletion | |
x86_64-linux python311Packages.aiopylgtv | |
x86_64-linux python311Packages.google-cloud-tasks | |
x86_64-linux haskell.compiler.native-bignum.ghc948 | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.openrazer | |
x86_64-darwin perl538Packages.CatalystXRoleApplicator | |
aarch64-linux haskellPackages.text-icu | |
x86_64-linux plasma5Packages.grantleetheme | |
x86_64-linux haskellPackages.crypto-rng | |
x86_64-linux python312Packages.iwlib | |
x86_64-linux python311Packages.aiohttp-client-cache | |
aarch64-darwin python312Packages.manifestoo-core | |
x86_64-darwin midimonster | |
aarch64-linux python312Packages.catalogue | |
x86_64-darwin plasma5Packages.kconfigwidgets | |
aarch64-linux matrix-synapse-tools.rust-synapse-compress-state | |
aarch64-linux python312Packages.pyisbn | |
aarch64-darwin python312Packages.vincenty | |
x86_64-darwin mssql_jdbc | |
x86_64-linux python312Packages.pyisbn | |
x86_64-linux perl536Packages.NetAsyncWebSocket | |
x86_64-darwin celt_0_5_1 | |
x86_64-darwin python312Packages.colorful | |
aarch64-linux python311Packages.islpy | |
aarch64-darwin vimPlugins.nvchad-ui | |
aarch64-linux vimPlugins.palenightfall-nvim | |
x86_64-linux tests.writers.simple.fish | |
x86_64-darwin lua51Packages.lua-ffi-zlib | |
x86_64-darwin perl536Packages.TestRequires | |
x86_64-darwin postgresqlJitPackages.periods | |
aarch64-linux azeret-mono | |
aarch64-darwin acd-cli | |
x86_64-darwin emacsPackages.macro-math | |
aarch64-darwin python311Packages.aiosasl | |
x86_64-linux vimPlugins.defx-icons | |
x86_64-darwin python311Packages.geographiclib | |
aarch64-darwin python311Packages.google-cloud-kms | |
aarch64-darwin perl536Packages.GeographyCountries | |
aarch64-linux perl538Packages.MojoSQLite | |
x86_64-darwin python312Packages.qdldl | |
aarch64-darwin python311Packages.zerorpc | |
aarch64-linux python312Packages.pgsanity | |
x86_64-darwin jellyfin | |
x86_64-darwin cloudlist | |
aarch64-linux python311Packages.opcua-widgets | |
aarch64-linux python312Packages.oslo-db | |
x86_64-linux libffi | |
x86_64-linux sphinxHook | |
x86_64-linux xapian | |
aarch64-darwin python312Packages.cucumber-tag-expressions | |
aarch64-darwin emacsPackages.mpv | |
aarch64-darwin emacsPackages.fvwm-mode | |
x86_64-darwin perl538Packages.CompressRawBzip2 | |
x86_64-linux python312Packages.cma | |
x86_64-darwin python312Packages.pytest-emoji | |
aarch64-linux damon | |
aarch64-darwin haskellPackages.RoyalMonad | |
x86_64-linux python312Packages.django-statici18n | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.facility | |
x86_64-linux python311Packages.asynccmd | |
aarch64-darwin emacsPackages.flycheck-hledger | |
x86_64-linux haskellPackages.diffarray | |
aarch64-darwin libsieve | |
x86_64-darwin mprocs | |
aarch64-linux python311Packages.inform | |
aarch64-darwin haskellPackages.kind-generics | |
aarch64-linux linuxHeaders | |
aarch64-darwin python311Packages.arelle | |
x86_64-linux php83Extensions.tidy | |
aarch64-linux python311Packages.sqlalchemy_1_4 | |
aarch64-linux python311Packages.thrift | |
aarch64-linux emacsPackages.helm-sage | |
aarch64-linux yuzuPackages.quazip | |
aarch64-linux emacsPackages.golden-ratio-scroll-screen | |
x86_64-linux cargo-fund | |
aarch64-linux haskellPackages.hsemail-ns | |
aarch64-darwin emacsPackages.birds-of-paradise-plus-theme | |
aarch64-darwin nixel | |
aarch64-linux perl538Packages.TextRoman | |
aarch64-linux python311Packages.typepy | |
x86_64-linux rubyPackages.excon | |
x86_64-darwin postgresql15Packages.pg_safeupdate | |
x86_64-linux rocmPackages_5.llvm.lldb | |
aarch64-linux linuxPackages-libre.stdenv | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.facetimehd | |
x86_64-darwin vimPlugins.jq-vim | |
x86_64-linux postgresql14Packages.timescaledb-apache | |
x86_64-darwin haskellPackages.dhall-nix | |
aarch64-darwin rsonpath | |
aarch64-darwin haskellPackages.amazonka-appflow | |
aarch64-linux haskellPackages.PartialTypeSignatures | |
aarch64-darwin litecoind | |
x86_64-linux sexpp | |
aarch64-linux perl536Packages.CLASS | |
aarch64-linux python311Packages.pyqt5-stubs | |
aarch64-darwin vimPlugins.nfnl | |
x86_64-linux haskellPackages.amazonka-kafka | |
aarch64-linux gnome-online-accounts | |
x86_64-linux emacsPackages.handle | |
x86_64-linux python312Packages.klein | |
x86_64-darwin luaPackages.lmpfrlib | |
x86_64-linux linuxPackages_5_4_hardened.rtl8192eu | |
aarch64-darwin haskellPackages.protolude | |
aarch64-darwin vimPlugins.vimelette | |
aarch64-darwin lua52Packages.lua-iconv | |
aarch64-linux linuxPackages.shufflecake | |
aarch64-linux perl536Packages.LocaleMsgfmt | |
aarch64-linux buildcatrust | |
aarch64-darwin python311Packages.bimmer-connected | |
aarch64-linux luckybackup | |
aarch64-linux nushellPlugins.formats | |
x86_64-darwin haskellPackages.xmlbf-xeno | |
x86_64-linux python312Packages.httpsig | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.nvidia_x11_production_open | |
aarch64-linux pkcrack | |
aarch64-darwin python312Packages.types-aiobotocore-es | |
aarch64-linux emacsPackages.helm-chrome-control | |
x86_64-linux haskellPackages.dockerfile | |
aarch64-linux python312Packages.pandas | |
aarch64-darwin emacsPackages.flycheck-ats2 | |
x86_64-linux kodiPackages.vfs-sftp | |
x86_64-linux emacsPackages.elune-theme | |
aarch64-linux vimPlugins.vim-addon-mw-utils | |
x86_64-darwin python311Packages.coconut | |
x86_64-linux astromenace | |
x86_64-darwin plasma5Packages.qtquickcontrols | |
x86_64-linux perl538Packages.TermProgressBarQuiet | |
aarch64-darwin emacsPackages.tinkerer | |
x86_64-linux plasma5Packages.kpmcore | |
x86_64-linux python312Packages.dj-email-url | |
x86_64-darwin emacsPackages.eimp | |
aarch64-linux lld_11 | |
aarch64-linux temurin-jre-bin | |
x86_64-linux libsForQt5.qttools | |
x86_64-linux emacsPackages.mini-modeline | |
aarch64-linux python311Packages.bitsandbytes | |
x86_64-darwin pypy39 | |
aarch64-darwin python311Packages.pytweening | |
aarch64-linux hare | |
x86_64-linux luaPackages.bit32 | |
x86_64-darwin tzupdate | |
x86_64-darwin skjold | |
aarch64-darwin haskellPackages.cryptostore | |
aarch64-darwin ministat | |
aarch64-darwin python311Packages.pyexcel-xls | |
x86_64-linux mcap-cli | |
x86_64-darwin haskellPackages.pulse-simple | |
x86_64-darwin python312Packages.stripe | |
aarch64-linux python312Packages.simpy | |
x86_64-linux haskellPackages.amazonka-snowball | |
x86_64-linux mdk-sdk | |
aarch64-linux chickenPackages_5.chickenEggs.r6rs-bytevectors | |
aarch64-linux linuxPackages_lqx.mwprocapture | |
aarch64-linux haskellPackages.profunctors | |
x86_64-darwin orc | |
aarch64-linux agdaPackages.cubical | |
aarch64-linux electron_16-bin | |
x86_64-darwin egypt | |
aarch64-linux python312Packages.rope | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.rtl8821cu | |
x86_64-darwin lua53Packages.luautf8 | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.ncurses++ | |
x86_64-darwin python311Packages.fortiosapi | |
x86_64-linux python312Packages.txredisapi | |
aarch64-linux haskellPackages.tokenize | |
x86_64-linux haskellPackages.histogram-fill-cereal | |
x86_64-linux emacsPackages.mandoku-tls | |
x86_64-darwin haskellPackages.NoHoed | |
aarch64-linux python311Packages.zeitgeist | |
x86_64-linux emacsPackages.atl-markup | |
aarch64-darwin python311Packages.django-types | |
aarch64-darwin tlaplus | |
x86_64-darwin perl536Packages.BKeywords | |
x86_64-linux haskellPackages.allen | |
x86_64-linux haskellPackages.random-fu | |
x86_64-darwin gping | |
aarch64-darwin python311Packages.types-aiobotocore-m2 | |
aarch64-darwin python312Packages.litellm | |
aarch64-linux plasma5Packages.soqt | |
aarch64-linux linuxPackages_6_5_hardened.bcc | |
x86_64-darwin idevicerestore | |
x86_64-darwin perl536Packages.FutureQueue | |
x86_64-darwin perl536Packages.SnowballNorwegian | |
x86_64-linux python311Packages.pkginfo2 | |
x86_64-linux prism | |
aarch64-darwin luajitPackages.luarepl | |
aarch64-linux libzra | |
x86_64-darwin python312Packages.deploykit | |
aarch64-darwin libdivecomputer | |
aarch64-linux python311Packages.three-merge | |
aarch64-linux linuxPackages_6_5_hardened.tuxedo-keyboard | |
x86_64-linux winePackages.full | |
x86_64-linux linuxKernel.packages.linux_4_19.nvidia_x11 | |
aarch64-linux libsForQt5.kcmutils | |
aarch64-linux home-assistant-component-tests.mutesync | |
aarch64-darwin python312Packages.types-aiobotocore-timestream-query | |
x86_64-linux python312Packages.whatthepatch | |
x86_64-darwin tryton | |
x86_64-linux python312Packages.aiobafi6 | |
x86_64-darwin vimPlugins.vim-easygit | |
x86_64-linux python311Packages.pyarrow | |
x86_64-linux linuxPackages_xanmod_latest.nvidia_x11_production | |
x86_64-linux vimPlugins.todo-comments-nvim | |
x86_64-darwin haskellPackages.katip-logstash | |
x86_64-linux emacsPackages.spatial-navigate | |
x86_64-linux libsForQt5.quickflux | |
aarch64-darwin haskellPackages.time-units-types | |
x86_64-darwin python312Packages.sockjs | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.rtl8812au | |
x86_64-darwin python311Packages.weaviate-client | |
aarch64-darwin python312Packages.opencensus-context | |
x86_64-linux emacsPackages.pig-mode | |
aarch64-linux vimPlugins.zig-vim | |
x86_64-darwin perl538Packages.ImageInfo | |
aarch64-linux haskellPackages.hlibcpuid | |
aarch64-linux k3s_1_28 | |
x86_64-linux perl536Packages.JSONPPCompat5006 | |
aarch64-linux rubyPackages.minitest | |
x86_64-darwin wkhtmltopdf-bin | |
aarch64-darwin python311Packages.mercurial | |
aarch64-linux sequoia-sq | |
aarch64-linux python312Packages.mypy-boto3-route53domains | |
x86_64-linux perl536Packages.TestLeakTrace | |
x86_64-linux sloccount | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.chipsec | |
aarch64-linux emacsPackages.ycm | |
aarch64-linux emacsPackages.rufo | |
x86_64-darwin python312Packages.beancount | |
aarch64-linux emacsPackages.opensource | |
aarch64-linux perl538Packages.BC | |
aarch64-darwin itsx | |
aarch64-linux haskellPackages.data-partition | |
x86_64-darwin python312Packages.orderedset | |
x86_64-darwin libsForQt5.qtwebglplugin | |
aarch64-linux python312Packages.aspectlib | |
x86_64-darwin nixops_unstable | |
x86_64-darwin emacsPackages.drupal-spell | |
x86_64-linux pufferpanel | |
x86_64-darwin python311Packages.requests-file | |
x86_64-linux python312Packages.meater-python | |
aarch64-darwin haskellPackages.reexport-crypto-random | |
aarch64-linux rubyPackages_3_3.jekyll-gist | |
x86_64-linux lua52Packages.magick | |
aarch64-linux emacs | |
aarch64-linux python311Packages.types-aiobotocore-mediapackage-vod | |
aarch64-linux libsForQt5.konversation | |
x86_64-linux gnomeExtensions.vertical-overview | |
x86_64-darwin python311Packages.mergedb | |
aarch64-linux freeipa | |
aarch64-darwin pathvector | |
aarch64-darwin newt | |
x86_64-linux perl538Packages.NetAmazonMechanicalTurk | |
x86_64-linux rubyPackages_3_3.crass | |
aarch64-darwin emacsPackages.commentary-theme | |
aarch64-darwin kalibrate-rtl | |
x86_64-darwin gnat-bootstrap11 | |
aarch64-linux python311Packages.types-aiobotocore-gamelift | |
x86_64-darwin python312Packages.cattrs | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.tmon | |
x86_64-linux perl536Packages.GlibObjectIntrospection | |
aarch64-darwin python312Packages.jupyterlab-pygments | |
aarch64-linux lua54Packages.compat53 | |
x86_64-darwin python311Packages.wasserstein | |
aarch64-linux isrcsubmit | |
x86_64-darwin tests.cuda.cudaPackagesGoogle.saxpy | |
x86_64-darwin rubyPackages.cocoapods-update-if-you-dare | |
aarch64-linux haskellPackages.companion | |
aarch64-darwin python312Packages.types-aiobotocore-xray | |
aarch64-darwin haskellPackages.conformance-gen | |
x86_64-linux gitlab-elasticsearch-indexer | |
x86_64-linux haskellPackages.int-cast | |
aarch64-linux python312Packages.django-storages | |
aarch64-linux python311Packages.phx-class-registry | |
aarch64-linux python311Packages.sv-ttk | |
aarch64-darwin gnutar | |
aarch64-linux python312Packages.sphinxcontrib-tikz | |
x86_64-linux rubyPackages.jekyll-theme-hacker | |
aarch64-linux haskellPackages.diffdump | |
x86_64-darwin alejandra | |
aarch64-darwin rizin | |
aarch64-darwin haskellPackages.docusign-base-minimal | |
x86_64-darwin vimPlugins.vim-crates | |
x86_64-linux python311Packages.mypy-boto3-pricing | |
x86_64-darwin python311Packages.gntp | |
aarch64-darwin adlplug | |
aarch64-darwin haskellPackages.leancheck | |
aarch64-linux fq | |
x86_64-darwin haskellPackages.network-multicast | |
x86_64-darwin rubyPackages_3_3.em-websocket | |
aarch64-darwin libtool_1_5 | |
x86_64-linux haskellPackages.mssql-simple | |
aarch64-linux fluxbox | |
aarch64-linux libsForQt5.qt3d | |
aarch64-darwin vimPlugins.vim-visual-star-search | |
x86_64-linux rubyPackages_3_3.http-accept | |
x86_64-linux plasma5Packages.akonadiconsole | |
x86_64-linux python311Packages.pyglm | |
aarch64-linux emacsPackages.filetags | |
x86_64-darwin emacsPackages.google-maps | |
aarch64-darwin python312Packages.aigpy | |
x86_64-darwin emacsPackages.git-io | |
aarch64-linux glasgow | |
aarch64-linux gobuster | |
aarch64-darwin reposurgeon | |
aarch64-linux python311Packages.pydaikin | |
aarch64-linux haskellPackages.wraxml | |
aarch64-darwin emacsPackages.django-mode | |
aarch64-linux libxmlxx | |
aarch64-linux linuxKernel.packages.linux_6_7_hardened.gcadapter-oc-kmod | |
aarch64-darwin perl538Packages.TextAutoformat | |
aarch64-darwin haskellPackages.tabl | |
aarch64-linux wireless-regdb | |
aarch64-linux python312Packages.ete3 | |
x86_64-darwin vimPlugins.nvim-nu | |
x86_64-linux python312Packages.webtest | |
aarch64-linux linuxKernel.packages.linux_zen.gasket | |
x86_64-darwin emacsPackages.flymake-shell | |
aarch64-darwin python312Packages.samplerate | |
x86_64-darwin llvmPackages_17.stdenv | |
x86_64-darwin gplates | |
aarch64-darwin emacsPackages.portage-navi | |
x86_64-linux node-glob | |
aarch64-linux linuxKernel.packages.linux_libre.netatop | |
x86_64-darwin lua54Packages.lua-protobuf | |
aarch64-linux python312Packages.pyowm | |
aarch64-darwin python312Packages.xstatic-jquery | |
aarch64-linux python311Packages.pycollada | |
x86_64-linux go-musicfox | |
aarch64-darwin haskellPackages.monad-abort-fd | |
x86_64-linux python311Packages.model-bakery | |
x86_64-darwin elastix | |
x86_64-darwin python312Packages.consonance | |
aarch64-darwin appvm | |
x86_64-darwin haskellPackages.portray-diff-quickcheck | |
x86_64-darwin python311Packages.stone | |
x86_64-darwin postgresql12JitPackages.pg_bigm | |
x86_64-linux python312Packages.pydeps | |
x86_64-darwin lua53Packages.vusted | |
x86_64-darwin emacsPackages.vimscript-ts-mode | |
x86_64-linux lld_16 | |
x86_64-linux python312Packages.pynamodb | |
x86_64-darwin vimPlugins.auto-session | |
aarch64-linux opensmtpd | |
aarch64-linux vimPlugins.clang_complete | |
aarch64-linux emacsPackages.e2wm-sww | |
x86_64-linux pt2-clone | |
aarch64-linux perl536Packages.NetTelnet | |
aarch64-linux intercal | |
aarch64-linux python311Packages.python-u2flib-host | |
x86_64-darwin python312Packages.versiontag | |
aarch64-linux cargo-run-bin | |
x86_64-darwin perl538Packages.TestTime | |
aarch64-linux weston | |
aarch64-linux yarn-berry | |
x86_64-linux linuxPackages_4_19_hardened.system76-power | |
aarch64-linux vimPlugins.vim-floaterm | |
x86_64-darwin python312Packages.mypy-boto3-resiliencehub | |
aarch64-darwin coconut | |
aarch64-linux python311Packages.pgvector | |
aarch64-linux linuxPackages_4_19_hardened.akvcam | |
x86_64-darwin emacsPackages.graphql-ts-mode | |
aarch64-darwin haskellPackages.hpc-threshold | |
aarch64-linux killerbee | |
x86_64-linux python311Packages.stopit | |
x86_64-darwin python312Packages.orderedmultidict | |
x86_64-linux haskellPackages.free-er | |
x86_64-linux emacsPackages.io-mode | |
aarch64-darwin python312Packages.types-aiobotocore-codebuild | |
x86_64-linux facter | |
x86_64-linux haskellPackages.hspec_2_11_7 | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.libpcre2-16 | |
x86_64-linux perl536Packages.DataPrinter | |
x86_64-linux python311Packages.binwalk-full | |
aarch64-linux gtkdialog | |
aarch64-darwin perl538Packages.ParamsClassify | |
x86_64-darwin authz0 | |
aarch64-linux emacsPackages.pack | |
x86_64-linux parse-cli-bin | |
aarch64-darwin evilwm | |
aarch64-linux perl536Packages.CloneChoose | |
x86_64-linux haskellPackages.hashable-time | |
aarch64-linux esshader | |
x86_64-darwin python311Packages.aioopenssl | |
aarch64-linux python311Packages.names | |
x86_64-darwin emacsPackages.lsp-metals | |
x86_64-linux linuxPackages_6_6_hardened.rtl8188eus-aircrack | |
x86_64-linux python311Packages.pygreat | |
aarch64-darwin python311Packages.yoyo-migrations | |
aarch64-darwin python312Packages.sagemaker | |
aarch64-darwin python312Packages.youtube-dl | |
aarch64-darwin git-test | |
aarch64-darwin haskellPackages.prettyprinter-lucid | |
aarch64-linux python311Packages.pycm | |
x86_64-darwin python311Packages.life360 | |
aarch64-darwin haskellPackages.xpathdsv | |
aarch64-darwin perl538Packages.capitalization | |
aarch64-darwin python312Packages.numericalunits | |
x86_64-linux fflinuxprint | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.sxhkdrc | |
aarch64-darwin topicctl | |
aarch64-darwin perl538Packages.TestRunCmdLine | |
x86_64-linux python312Packages.aiorpcx | |
aarch64-darwin mmv-go | |
aarch64-darwin _6tunnel | |
x86_64-darwin python311Packages.python-whois | |
x86_64-linux python312Packages.sanic-auth | |
x86_64-linux rubyPackages.mercenary | |
x86_64-linux python312Packages.types-aiobotocore-pinpoint-email | |
x86_64-darwin python311Packages.mypy-boto3-secretsmanager | |
x86_64-darwin emacsPackages.drepl | |
x86_64-linux gdcm | |
x86_64-darwin cppunit | |
x86_64-darwin swarm | |
x86_64-linux vimPlugins.lsp-rooter-nvim | |
x86_64-linux emacsPackages.fuo | |
x86_64-darwin python311Packages.poyo | |
x86_64-darwin python312Packages.mypy-boto3-finspace | |
x86_64-linux haskellPackages.hjsmin | |
x86_64-linux python311Packages.patool | |
aarch64-linux python311Packages.py-canary | |
aarch64-darwin sfm | |
aarch64-linux subtitleedit | |
x86_64-darwin pinfo | |
aarch64-darwin python311Packages.calver | |
aarch64-linux emacsPackages.moom | |
x86_64-darwin simpleTpmPk11 | |
aarch64-darwin libdnf | |
aarch64-linux python311Packages.mypy-boto3-workspaces-web | |
aarch64-linux python312Packages.azure-mgmt-common | |
aarch64-darwin python312Packages.cli-helpers | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.rtl8812au | |
x86_64-linux python312Packages.connexion | |
aarch64-linux libsForQt5.kcolorchooser | |
x86_64-linux hspell | |
x86_64-darwin python312Packages.worldengine | |
aarch64-darwin libpeas2 | |
x86_64-darwin vimPlugins.coc-vimlsp | |
x86_64-darwin emacsPackages.keymap-utils | |
x86_64-darwin tio | |
x86_64-linux emacsPackages.hindent | |
aarch64-linux linuxPackages_4_19_hardened.nvidia_x11_production | |
x86_64-darwin haskellPackages.magma | |
aarch64-darwin rrsync | |
aarch64-darwin v2ray | |
aarch64-linux rcshist | |
x86_64-linux linuxPackages_hardened.bbswitch | |
x86_64-darwin vimPlugins.neotest-plenary | |
x86_64-darwin python311Packages.click-completion | |
x86_64-linux python312Packages.command_runner | |
x86_64-darwin postgresql12Packages.pg_repack | |
x86_64-darwin python312Packages.mcuuid | |
aarch64-linux linuxPackages_4_19_hardened.nvidia_x11_production_open | |
aarch64-linux python311Packages.sanic-auth | |
x86_64-linux hunspellDicts.es_CR | |
x86_64-linux python311Packages.asgi-csrf | |
x86_64-linux llvmPackages_12.libllvm | |
aarch64-darwin swiftPackages.swift | |
x86_64-linux haskellPackages.hs-zstd | |
aarch64-darwin vimPlugins.vim-rails | |
aarch64-darwin cirrusgo | |
aarch64-darwin givaro | |
x86_64-linux php83Extensions.memprof | |
aarch64-linux python311Packages.deploykit | |
x86_64-darwin perl538Packages.LocaleUtilsPlaceholderNamed | |
x86_64-darwin python311Packages.sphinxcontrib-openapi | |
x86_64-linux rubyPackages.thrift | |
x86_64-linux luaPackages.compat53 | |
x86_64-darwin moe | |
x86_64-darwin python312Packages.pypemicro | |
x86_64-linux haskellPackages.change-monger | |
x86_64-darwin haskellPackages.attoparsec | |
x86_64-darwin python311Packages.gviz-api | |
x86_64-linux haskellPackages.blacktip | |
x86_64-darwin emacsPackages.framesize | |
x86_64-darwin maple-mono-autohint | |
aarch64-linux lua54Packages.luaossl | |
aarch64-darwin python311Packages.mypy-boto3-forecastquery | |
aarch64-linux perl538Packages.UUIDTiny | |
aarch64-linux rubyPackages_3_2.gdk3 | |
aarch64-darwin emacsPackages.shen-mode | |
x86_64-darwin idrisPackages.semidirect | |
aarch64-darwin usbmuxd | |
aarch64-darwin haskellPackages.amazonka-iottwinmaker | |
aarch64-linux linuxPackages_5_15_hardened.hid-ite8291r3 | |
aarch64-linux python312Packages.mkdocs-jupyter | |
x86_64-darwin wolfebin | |
aarch64-linux rubyPackages_3_1.colored2 | |
aarch64-darwin haskellPackages.keep-alive | |
aarch64-linux paperlike-go | |
aarch64-darwin python312Packages.robotstatuschecker | |
x86_64-darwin haskellPackages.network-fancy | |
x86_64-linux mpd-mpris | |
x86_64-linux python311Packages.hatch-fancy-pypi-readme | |
x86_64-linux libusb-compat-0_1 | |
x86_64-linux haskellPackages.conversion-bytestring | |
x86_64-darwin python311Packages.aiohttp-socks | |
x86_64-linux cf-vault | |
aarch64-darwin charasay | |
aarch64-linux putty | |
x86_64-darwin haskellPackages.th-printf | |
aarch64-darwin emacsPackages.cpu-sos | |
x86_64-linux linuxKernel.packages.linux_hardened.can-isotp | |
x86_64-darwin emacsPackages.helm-robe | |
x86_64-linux haskellPackages.graphql | |
aarch64-linux perl536Packages.IO | |
x86_64-darwin open-music-kontrollers.orbit | |
aarch64-linux python311Packages.django-taggit | |
aarch64-darwin python311Packages.humanize | |
x86_64-darwin python311Packages.readmdict | |
x86_64-darwin python312Packages.mmcif-pdbx | |
x86_64-darwin haskellPackages.stb-image | |
aarch64-linux vimPlugins.telescope_hoogle | |
aarch64-darwin emacsPackages.apparmor-mode | |
aarch64-linux aspellDicts.lt | |
aarch64-darwin duo-unix | |
aarch64-darwin postgresqlPackages.rum | |
x86_64-linux libsForQt5.qt5.qtsvg | |
aarch64-darwin haskellPackages.slick | |
aarch64-linux docker-slim | |
x86_64-darwin godu | |
x86_64-linux openvpn3 | |
x86_64-darwin cargo-temp | |
x86_64-linux home-assistant-component-tests.lametric | |
x86_64-linux python312Packages.torchWithoutRocm | |
aarch64-linux python312Packages.xboxapi | |
x86_64-linux lua52Packages.cjson | |
aarch64-darwin xorg.libXv | |
aarch64-linux email | |
aarch64-darwin python312Packages.oauth | |
aarch64-darwin emacsPackages.let-alist | |
aarch64-darwin emacsPackages.markdown-soma | |
x86_64-linux emacsPackages.paper-theme | |
x86_64-linux rubyPackages_3_1.rubocop | |
aarch64-linux selene | |
aarch64-darwin w3m-batch | |
aarch64-darwin python311Packages.authlib | |
x86_64-linux qt6Packages.kdsoap | |
x86_64-linux webssh | |
x86_64-darwin emacsPackages.ouroboros | |
x86_64-darwin python311Packages.patch-ng | |
x86_64-linux wally-cli | |
aarch64-darwin epstool | |
x86_64-linux python311Packages.twitch-python | |
x86_64-linux emacsPackages.evil-opener | |
x86_64-linux emacsPackages.pkg-overview | |
x86_64-linux gnome.gnome-boxes | |
x86_64-darwin terraform-providers.jetstream | |
aarch64-linux python311Packages.mypy-boto3-serverlessrepo | |
x86_64-linux haskellPackages.no-value | |
x86_64-linux haskellPackages.zio | |
aarch64-darwin python312Packages.mypy-boto3-codeartifact | |
aarch64-darwin emacsPackages.keyswap | |
x86_64-darwin emacsPackages.nezburn-theme | |
x86_64-linux python312Packages.pgcli | |
x86_64-linux python311Packages.types-aiobotocore-iot-data | |
x86_64-linux llvmPackages_16.libcxxStdenv | |
aarch64-darwin libsForQt5.qwt6_1 | |
x86_64-darwin jq | |
aarch64-linux rocmPackages.llvm.pstl | |
x86_64-darwin perl536Packages.PerlCriticPolicyVariablesProhibitLoopOnHash | |
aarch64-linux rubyPackages_3_2.gpgme | |
aarch64-linux yabar-unstable | |
aarch64-darwin postgresql13JitPackages.temporal_tables | |
x86_64-darwin dogecoin | |
aarch64-darwin haskellPackages.echo | |
aarch64-linux haskellPackages.primitive | |
aarch64-linux postgresql14Packages.pg_rational | |
aarch64-darwin rubyPackages_3_3.gemoji | |
aarch64-linux pidginPackages.purple-matrix | |
aarch64-linux perl538Packages.Switch | |
x86_64-linux perl538Packages.XSParseKeyword | |
aarch64-darwin python311Packages.pwntools | |
aarch64-darwin haskellPackages.network-transport-zeromq | |
x86_64-linux perl536Packages.NetServerSSPrefork | |
x86_64-darwin k6 | |
x86_64-linux python312Packages.pymorphy3-dicts-uk | |
aarch64-darwin python312Packages.types-aiobotocore-databrew | |
x86_64-linux emacsPackages.molokai-theme | |
aarch64-linux python312Packages.eternalegypt | |
x86_64-linux pgmanage | |
aarch64-linux gobetween | |
aarch64-linux python311Packages.bashlex | |
x86_64-darwin vimPlugins.instant-nvim | |
aarch64-darwin wafHook | |
x86_64-darwin python312Packages.gibberish-detector | |
aarch64-darwin perl536Packages.MojoUserAgentCached | |
aarch64-darwin emacsPackages.grey-paper-theme | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.xscrnsaver | |
aarch64-darwin daemonize | |
x86_64-darwin emacsPackages.org-treescope | |
aarch64-darwin font-v | |
x86_64-linux buildkite-cli | |
x86_64-darwin construct | |
aarch64-linux emacsPackages.cowsay | |
x86_64-linux haskellPackages.more-extensible-effects | |
aarch64-darwin httpdirfs | |
x86_64-linux python312Packages.python-gvm | |
x86_64-darwin chickenPackages_5.chickenEggs.henrietta-cache-git | |
aarch64-darwin haskellPackages.regex-rure | |
aarch64-linux imlib2Full | |
aarch64-linux gqrx-portaudio | |
aarch64-darwin libsForQt5.kdbusaddons | |
x86_64-linux python312Packages.slither-analyzer | |
x86_64-linux haskellPackages.mptcp | |
x86_64-linux emacsPackages.pelican-mode | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.unison | |
aarch64-darwin haskellPackages.stackage-install | |
x86_64-linux authoscope | |
aarch64-linux emacsPackages.flycheck-flow | |
x86_64-linux emacsPackages.consult-ag | |
x86_64-darwin haskellPackages.possible | |
aarch64-linux python311Packages.jsonschema-specifications | |
x86_64-linux python312Packages.aiocoap | |
x86_64-linux python312Packages.torrequest | |
aarch64-linux haskellPackages.acme-colosson | |
aarch64-linux emacsPackages.expand-line | |
aarch64-darwin perl536Packages.PerconaToolkit | |
x86_64-linux python311Packages.portpicker | |
aarch64-darwin haskellPackages.comonad | |
aarch64-darwin emacsPackages.nav | |
x86_64-darwin chickenPackages_5.chickenEggs.levenshtein | |
x86_64-linux tigerbeetle | |
aarch64-darwin perl538Packages.ApacheDB | |
aarch64-linux python311Packages.aiomultiprocess | |
x86_64-darwin tell-me-your-secrets | |
aarch64-darwin haskellPackages.wai-middleware-hmac | |
x86_64-linux nvi | |
x86_64-linux python311Packages.aiocron | |
x86_64-linux linuxPackages_xanmod_stable.zenpower | |
aarch64-linux emacsPackages.mysql-to-org | |
x86_64-linux wayland | |
aarch64-linux perl538Packages.FutureIO | |
aarch64-linux rainbowstream | |
x86_64-darwin lua53Packages.cyan | |
x86_64-linux linuxKernel.packages.linux_4_19.rr-zen_workaround | |
x86_64-darwin tomcat-native | |
aarch64-darwin haskellPackages.gh-pocket-knife | |
aarch64-darwin darwin.Libm | |
aarch64-darwin teos-watchtower-plugin | |
x86_64-linux jgmenu | |
aarch64-linux llvmPackages_17.lldb-manpages | |
aarch64-darwin python311Packages.tls-parser | |
aarch64-linux plasma5Packages.signond | |
aarch64-linux python312Packages.notus-scanner | |
x86_64-linux php83Extensions.sockets | |
x86_64-darwin flexget | |
x86_64-linux foonathan-memory | |
x86_64-linux djlint | |
aarch64-darwin haskellPackages.either-result | |
aarch64-linux multitran.libbtree | |
x86_64-darwin python311Packages.types-aiobotocore-dynamodbstreams | |
x86_64-darwin yuzuPackages.qtquick3dphysics | |
aarch64-linux haskellPackages.zip-archive | |
aarch64-linux flashrom-stable | |
x86_64-linux rkflashtool | |
aarch64-linux emacsPackages.fasd | |
x86_64-linux python311Packages.aioinflux | |
x86_64-darwin python312Packages.myfitnesspal | |
aarch64-darwin theLoungePlugins.themes.zenburn-monospace | |
x86_64-darwin python312Packages.twitter-common-options | |
x86_64-linux vimPlugins.compe-conjure | |
aarch64-darwin haskellPackages.streaming | |
aarch64-darwin vips | |
aarch64-darwin postgresql16JitPackages.pg_auto_failover | |
aarch64-linux python312Packages.pick | |
x86_64-linux gnomeExtensions.cryptowatch | |
aarch64-linux gnome.four-in-a-row | |
aarch64-darwin mr | |
aarch64-darwin perl538Packages.CSSMinifier | |
aarch64-darwin python311Packages.flet-core | |
x86_64-darwin emacsPackages.morganey-mode | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.libpq | |
x86_64-linux python311Packages.case | |
x86_64-linux python312Packages.plyvel | |
x86_64-linux haskellPackages.opentheory-bits | |
x86_64-darwin python311Packages.deploykit | |
x86_64-linux haskellPackages.euler | |
x86_64-darwin prqlc | |
x86_64-linux python311Packages.mypy-boto3-proton | |
x86_64-linux qastools | |
x86_64-darwin haskellPackages.text-display | |
aarch64-darwin vimPlugins.sort-nvim | |
x86_64-linux linuxPackages_zen.openafs_1_8 | |
aarch64-linux plasma5Packages.merkuro | |
aarch64-darwin ncnn | |
x86_64-linux python311Packages.ultraheat-api | |
x86_64-darwin emacsPackages.academic-phrases | |
x86_64-linux vscode-extensions.jock.svg | |
aarch64-darwin haskellPackages.aern2-mfun | |
aarch64-linux emacsPackages.company-pollen | |
aarch64-darwin perl538Packages.EmailAbstract | |
aarch64-darwin haskell.compiler.native-bignum.ghc947 | |
x86_64-darwin postgresqlPackages.pg_relusage | |
aarch64-darwin haskellPackages.functor-apply | |
aarch64-linux haskellPackages.zippers | |
x86_64-darwin perl538Packages.NumberCompare | |
aarch64-linux python311Packages.turnt | |
x86_64-linux python311Packages.pysol-cards | |
x86_64-linux emacsPackages.sqlformat | |
x86_64-darwin tests.cuda.cudaPackages_10_0.saxpy | |
aarch64-linux python312Packages.prance | |
aarch64-linux chickenPackages_5.chickenEggs.json-rpc | |
aarch64-linux home-assistant-component-tests.asuswrt | |
aarch64-linux python312Packages.libsixel | |
aarch64-darwin rubyPackages_3_1.bacon | |
x86_64-darwin db5 | |
x86_64-linux python312Packages.qgrid | |
x86_64-darwin haskellPackages.scat | |
x86_64-linux mailman | |
aarch64-darwin python311Packages.mung | |
aarch64-darwin uq | |
x86_64-linux haskellPackages.bindings-libzip | |
aarch64-linux hareThirdParty.hare-json | |
aarch64-linux vimPlugins.vim-speeddating | |
x86_64-linux teensy-cmake-macros | |
aarch64-darwin emacsPackages.jabber | |
x86_64-darwin perl536Packages.HTTPDate | |
x86_64-linux sbomnix | |
x86_64-darwin haskellPackages.MusicBrainz | |
aarch64-linux weechatScripts.buffer_autoset | |
x86_64-darwin emacsPackages.buffer-buttons | |
x86_64-linux terraform-providers.local | |
x86_64-linux perl538Packages.PerlCriticPulp | |
aarch64-darwin chickenPackages_5.chickenEggs.sequences-utils | |
aarch64-linux wownero | |
aarch64-linux python312Packages.doit-py | |
aarch64-linux apcupsd | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.xcompose | |
x86_64-darwin xar | |
aarch64-linux yarn | |
x86_64-darwin perl538Packages.UUIDURandom | |
aarch64-linux python311Packages.cssutils | |
aarch64-darwin rubyPackages_3_3.erubi | |
aarch64-darwin emacsPackages.guix | |
x86_64-darwin python311Packages.jupyter-console | |
x86_64-linux perl536Packages.URIdb | |
x86_64-darwin apacheKafka_3_3 | |
x86_64-darwin python311Packages.pycflow2dot | |
aarch64-linux haskellPackages.amazonka-glacier | |
aarch64-linux libtelnet | |
aarch64-linux tfsec | |
aarch64-linux python312Packages.tensorflow-metadata | |
x86_64-linux haskellPackages.hedgehog-fakedata | |
aarch64-darwin wemux | |
aarch64-darwin haskellPackages.gssapi | |
x86_64-linux bb | |
aarch64-darwin chickenPackages_5.chickenEggs.breadcrumbs | |
x86_64-darwin haskellPackages.multipart | |
x86_64-darwin emacsPackages.scad-mode | |
aarch64-linux perl536Packages.WWWCurl | |
aarch64-darwin python311Packages.pyproj | |
aarch64-linux emacsPackages.magit-gerrit | |
x86_64-linux llvmPackages_17.compiler-rt-no-libc | |
x86_64-darwin postgresql12Packages.pg_safeupdate | |
aarch64-darwin emacsPackages.temp-buffer-browse | |
x86_64-linux python312Packages.pylaunches | |
x86_64-linux soi | |
aarch64-linux guile-hall | |
aarch64-linux perl536Packages.ModuleUtil | |
aarch64-linux haskellPackages.codeworld-api | |
x86_64-linux kodiPackages.kodi-platform | |
x86_64-darwin emacsPackages.web-search | |
x86_64-linux libff | |
x86_64-linux python312Packages.types-aiobotocore-acm | |
aarch64-darwin rubyPackages.jekyll-theme-slate | |
x86_64-darwin python311Packages.hledger-utils | |
x86_64-linux fdr | |
x86_64-linux python312Packages.mediafire-dl | |
aarch64-darwin perl536Packages.LatexIndent | |
aarch64-linux rubyPackages_3_3.rb-fsevent | |
aarch64-linux hmcl | |
x86_64-darwin haskellPackages.hackage-plot | |
x86_64-linux perl536Packages.CiscoIPPhone | |
x86_64-darwin emacsPackages.fix-input | |
aarch64-linux python312Packages.vtjp | |
aarch64-linux haskellPackages.cases | |
x86_64-linux translatelocally-models.es-en-tiny | |
aarch64-darwin vimPlugins.windows-nvim | |
x86_64-darwin emacsPackages.sixcolors-mode | |
x86_64-darwin perl538Packages.OpenOfficeOODoc | |
x86_64-linux yaru-theme | |
x86_64-darwin emacsPackages.jack-connect | |
aarch64-linux emacsPackages.projectile-speedbar | |
x86_64-darwin perl536Packages.DataHierarchy | |
x86_64-linux qt6Packages.qzxing | |
x86_64-linux haskellPackages.hashmap-throw | |
x86_64-darwin rtaudio | |
x86_64-darwin python312Packages.linear-garage-door | |
aarch64-linux linuxPackages.gasket | |
aarch64-darwin fantomas | |
x86_64-darwin haskellPackages.servant-rawm | |
aarch64-linux emacsPackages.subatomic-theme | |
aarch64-linux python312Packages.sentry-sdk | |
aarch64-darwin perl538Packages.DataClone | |
aarch64-linux emacsPackages.flymake-css | |
x86_64-linux mypaint | |
aarch64-darwin txr | |
aarch64-linux fio | |
x86_64-darwin emacsPackages.org-sync-snippets | |
aarch64-darwin emacsPackages.py-gnitset | |
aarch64-linux emacsPackages.comment-or-uncomment-sexp | |
x86_64-linux perl536Packages.ProtocolHTTP2 | |
aarch64-linux chickenPackages_5.chickenEggs.latch | |
aarch64-darwin haskellPackages.semirings | |
aarch64-linux emacsPackages.zprint-mode | |
x86_64-darwin python311Packages.systembridge | |
x86_64-linux python312Packages.wasmerPackages.wasmer | |
aarch64-linux perl538Packages.LinuxInotify2 | |
aarch64-darwin terrascan | |
aarch64-darwin lua54Packages.lua | |
x86_64-darwin python312Packages.hypothesis | |
x86_64-darwin haskellPackages.redland | |
aarch64-linux autocutsel | |
x86_64-linux haskellPackages.amazonka-discovery | |
aarch64-darwin python311Packages.fuzzyfinder | |
x86_64-darwin python311Packages.pytomorrowio | |
aarch64-darwin emacsPackages.http | |
x86_64-linux perl538Packages.MIMETypes | |
aarch64-darwin python312Packages.dugong | |
aarch64-linux python312Packages.spiderpy | |
aarch64-linux haskellPackages.win-hp-path | |
aarch64-darwin terser | |
aarch64-linux haskellPackages.integer-types | |
x86_64-linux emacsPackages.korean-holidays | |
aarch64-darwin perl538Packages.PodPlainer | |
aarch64-darwin python311Packages.ipywidgets | |
x86_64-darwin haskellPackages.bytestring-lexing | |
x86_64-linux dippi | |
aarch64-darwin python312Packages.pypemicro | |
x86_64-linux python312Packages.django-countries | |
x86_64-darwin python312Packages.types-aiobotocore-guardduty | |
x86_64-linux haskellPackages.LParse | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.sndfile | |
x86_64-linux stochas | |
x86_64-darwin eartag | |
aarch64-linux emacsPackages.evil-visual-replace | |
x86_64-darwin python311Packages.plyplus | |
x86_64-linux libxslt | |
aarch64-linux xq | |
x86_64-darwin python312Packages.justbackoff | |
aarch64-linux haskellPackages.autodocodec-yaml | |
aarch64-darwin python312Packages.meater-python | |
aarch64-darwin haskellPackages.game-of-life | |
x86_64-darwin perl536Packages.CommandRunner | |
x86_64-darwin emacsPackages.ivy-mpdel | |
aarch64-darwin haskellPackages.smtLib | |
aarch64-linux haskellPackages.PastePipe | |
aarch64-linux haskellPackages.board-games | |
x86_64-linux perl538Packages.TestNumberDelta | |
aarch64-linux tlaplus | |
x86_64-linux haskellPackages.pipes-fastx | |
x86_64-darwin carapace | |
x86_64-darwin perl536Packages.StatisticsCaseResampling | |
aarch64-linux python311Packages.plaid-python | |
x86_64-linux prr | |
aarch64-darwin python312Packages.messagebird | |
x86_64-linux torrent7z | |
x86_64-linux python312Packages.tritonclient | |
aarch64-linux go-musicfox | |
aarch64-linux python311Packages.pamela | |
x86_64-linux vimPlugins.wrapping-nvim | |
x86_64-linux python311Packages.httpretty | |
x86_64-linux tasksh | |
aarch64-darwin python311Packages.rxv | |
x86_64-darwin rubyPackages_3_3.activerecord | |
aarch64-darwin vimPlugins.spellsitter-nvim | |
aarch64-darwin perl538Packages.GraphicsToolkitColor | |
x86_64-linux haskellPackages.flight-kml | |
x86_64-darwin tvnamer | |
x86_64-linux zsh-powerlevel9k | |
x86_64-linux perl538Packages.TestInter | |
aarch64-darwin python312Packages.mypy-boto3-qldb | |
aarch64-darwin python311Packages.phe | |
x86_64-darwin emacsPackages.elfeed-org | |
aarch64-linux python311Packages.zope-schema | |
aarch64-linux python311Packages.pandoc-xnos | |
x86_64-darwin tivodecode | |
aarch64-linux python312Packages.parver | |
x86_64-linux fteqw | |
x86_64-linux linuxPackages_xanmod.nct6687d | |
aarch64-linux python312Packages.types-aiobotocore-pinpoint-email | |
aarch64-linux python311Packages.pyqtgraph | |
aarch64-darwin dconf | |
aarch64-darwin vimPlugins.vim-lean | |
aarch64-linux prismlauncher-qt5-unwrapped | |
x86_64-linux guacamole-server | |
x86_64-darwin python312Packages.flask-talisman | |
x86_64-linux deepin.deepin-pdfium | |
x86_64-darwin emacsPackages.goggles | |
x86_64-linux gnomeExtensions.sermon | |
aarch64-linux conspy | |
x86_64-linux haskellPackages.hjson | |
aarch64-darwin python311Packages.jaraco-text | |
aarch64-darwin haskellPackages.amazonka-codepipeline | |
aarch64-linux linuxKernel.packages.linux_6_1.dpdk-kmods | |
x86_64-linux ebook_tools | |
aarch64-darwin haskellPackages.wai-logger | |
x86_64-linux chayang | |
x86_64-linux python311Packages.ruamel-yaml | |
aarch64-linux rubyPackages_3_3.ruby-keychain | |
x86_64-linux lychee | |
aarch64-darwin pspp | |
aarch64-linux expidus.file-manager | |
x86_64-darwin xq | |
aarch64-linux emacsPackages.kconfig-mode | |
aarch64-darwin perl538Packages.MailPOP3Client | |
aarch64-darwin python311Packages.gviz-api | |
aarch64-linux cppi | |
aarch64-darwin haskellPackages.hspec-expectations-pretty-diff | |
x86_64-darwin perl538Packages.ActionRetry | |
x86_64-darwin emacsPackages.js3-mode | |
aarch64-darwin postgresql12JitPackages.plpgsql_check | |
aarch64-linux python311Packages.expiring-dict | |
x86_64-linux perl538Packages.Sereal | |
x86_64-darwin aerc | |
x86_64-darwin lighttpd | |
aarch64-linux languageMachines.timblserver | |
x86_64-darwin goattracker | |
x86_64-darwin libdicom | |
aarch64-darwin emacsPackages.vi-tilde-fringe | |
x86_64-darwin inspircd | |
aarch64-darwin perl538Packages.AudioCuefileParser | |
aarch64-darwin haskellPackages.keylayouts | |
aarch64-linux gpxsee | |
aarch64-linux slippy | |
aarch64-darwin perl536Packages.TestOutput | |
x86_64-darwin python311Packages.tidylib | |
aarch64-darwin clarity-city | |
aarch64-linux python311Packages.glean-parser | |
aarch64-darwin rubyPackages_3_1.tty-command | |
x86_64-linux linuxPackages_4_19_hardened.system76-scheduler | |
aarch64-linux python312Packages.python-gvm | |
aarch64-darwin python311Packages.rstcheck-core | |
aarch64-darwin gnome.nautilus-python | |
aarch64-darwin perl538Packages.PodCoverage | |
aarch64-darwin python311Packages.pytest-repeat | |
aarch64-linux fnm | |
x86_64-linux microdnf | |
x86_64-linux plasma5Packages.kdelibs4support | |
x86_64-linux linuxPackages_6_7_hardened.nct6687d | |
aarch64-linux opensmt | |
x86_64-linux your-editor | |
aarch64-linux haskellPackages.ema | |
aarch64-darwin perl536Packages.constantboolean | |
x86_64-darwin haskellPackages.lens-regex | |
aarch64-darwin python312Packages.pdfminer-six | |
x86_64-darwin bwidget | |
x86_64-darwin python311Packages.primer3 | |
x86_64-linux qt5.full | |
aarch64-linux python312Packages.dissect-thumbcache | |
x86_64-linux rubyPackages_3_1.fog-core | |
aarch64-darwin emacsPackages.orgit-forge | |
aarch64-linux haskellPackages.qr | |
x86_64-linux haskellPackages.MorseCode | |
x86_64-darwin perl536Packages.MooseXSemiAffordanceAccessor | |
aarch64-linux emacsPackages.neato-graph-bar | |
aarch64-linux xsv | |
x86_64-darwin perl538Packages.SpreadsheetParseExcel | |
aarch64-darwin emacsPackages.brazilian-holidays | |
aarch64-darwin python311Packages.fastcore | |
aarch64-darwin python311Packages.cytoolz | |
aarch64-darwin python311Packages.types-aiobotocore-health | |
x86_64-darwin python312Packages.py-sonic | |
x86_64-linux haskellPackages.mcaeson | |
aarch64-linux perl538Packages.WWWTwilioAPI | |
x86_64-linux unbook | |
x86_64-linux emacsPackages.flycheck-dogma | |
x86_64-darwin jackett | |
aarch64-darwin haskellPackages.xturtle | |
aarch64-linux python311Packages.notion-client | |
x86_64-linux python312Packages.simple-websocket | |
aarch64-darwin python311Packages.findpython | |
x86_64-linux python312Packages.types-aiobotocore-ses | |
aarch64-darwin perl536Packages.PerlMinimumVersion | |
aarch64-darwin op-geth | |
aarch64-linux python311Packages.tinydb | |
aarch64-linux python312Packages.pyws66i | |
aarch64-darwin guile-git | |
x86_64-darwin python312Packages.diffusers | |
x86_64-darwin perl538Packages.TermReadPassword | |
aarch64-darwin python312Packages.dsnap | |
x86_64-linux linuxPackages.turbostat | |
aarch64-linux rubyPackages_3_1.io-console | |
aarch64-linux haskellPackages.hedgehog-classes | |
aarch64-linux python312Packages.pytest-trio | |
x86_64-darwin trompeloeil | |
x86_64-darwin haskellPackages.splitmix | |
x86_64-darwin emacsPackages.helm-atoms | |
aarch64-linux emacsPackages.ox-minutes | |
aarch64-linux sil-padauk | |
x86_64-darwin emacsPackages.mqtt-mode | |
x86_64-linux python311Packages.mypy-boto3-license-manager-user-subscriptions | |
x86_64-linux haskellPackages.mod | |
aarch64-linux chickenPackages_5.chickenEggs.thread-utils | |
x86_64-darwin rubyPackages_3_1.jekyll-commonmark | |
x86_64-darwin emacsPackages.ruby-end | |
aarch64-darwin python311Packages.spacy-lookups-data | |
aarch64-darwin perl538Packages.ExtUtilsInstall | |
aarch64-linux python311Packages.youtube-dl-light | |
x86_64-linux python312Packages.pykoplenti | |
x86_64-darwin python312Packages.dufte | |
aarch64-darwin optifinePackages.optifine_1_16_2 | |
x86_64-darwin llvmPackages_12.clang-unwrapped | |
aarch64-darwin dwarf2json | |
x86_64-linux freeoffice | |
aarch64-darwin haskellPackages.functor-classes-compat | |
aarch64-linux haskellPackages.json-to-haskell | |
x86_64-linux guile-gnutls | |
aarch64-linux python312Packages.mxnet | |
aarch64-linux markdownlint-cli | |
aarch64-darwin perl536Packages.MetaBuilder | |
x86_64-linux emacsPackages.which-key | |
x86_64-darwin emacsPackages.frog-jump-buffer | |
x86_64-darwin ameba | |
aarch64-linux lemminx | |
x86_64-linux perl538Packages.CompilerLexer | |
aarch64-darwin perl538Packages.TestJSON | |
x86_64-darwin python312Packages.serpent | |
aarch64-linux geoipjava | |
aarch64-linux tests.hardeningFlags.fortify3ExplicitDisabled | |
aarch64-darwin quicktemplate | |
x86_64-darwin lensfun | |
x86_64-darwin emacsPackages.helm-bibtexkey | |
x86_64-linux python312Packages.aliyun-python-sdk-sts | |
aarch64-linux python312Packages.textual-dev | |
aarch64-darwin vimPlugins.blueballs-neovim | |
x86_64-linux haskellPackages.ratelimiter | |
x86_64-darwin haskellPackages.posix-escape | |
aarch64-darwin haskellPackages.hls-ormolu-plugin | |
x86_64-linux linuxPackages.openafs | |
x86_64-darwin vimPlugins.other-nvim | |
aarch64-linux python312Packages.aioruuvigateway | |
aarch64-linux haskellPackages.peano-inf | |
x86_64-linux haskellPackages.logger-thread | |
aarch64-linux python312Packages.simple-salesforce | |
x86_64-linux emacsPackages.git-walktree | |
aarch64-linux postgresql16Packages.wal2json | |
aarch64-linux emacsPackages.captain | |
x86_64-linux linuxPackages_latest.dddvb | |
aarch64-linux kexec-tools | |
aarch64-linux icecream | |
x86_64-darwin emacsPackages.ample-regexps | |
aarch64-linux vimPlugins.vim-tmux-navigator | |
aarch64-linux gtklock-userinfo-module | |
aarch64-linux rubyPackages_3_3.cocoapods-clean_build_phases_scripts | |
aarch64-linux linuxKernel.packages.linux_zen.mba6x_bl | |
x86_64-linux python311Packages.cupy | |
x86_64-linux emacsPackages.tab-bar-notch | |
x86_64-linux python312Packages.mypy-boto3-route53-recovery-control-config | |
aarch64-darwin shades-of-gray-theme | |
aarch64-linux jitsi-excalidraw | |
x86_64-linux vimPlugins.quarto-nvim | |
aarch64-darwin python312Packages.types-aiobotocore-amplifyuibuilder | |
aarch64-darwin emacsPackages.treemacs-projectile | |
x86_64-linux matlab-language-server | |
aarch64-darwin emacsPackages.pinboard-popular | |
aarch64-linux noto-fonts | |
x86_64-darwin haskellPackages.network-transport-tcp | |
x86_64-linux libsForQt5.kcalutils | |
x86_64-linux vimPlugins.intero-neovim | |
x86_64-darwin haskellPackages.regex-with-pcre | |
x86_64-darwin emacsPackages.company-bibtex | |
x86_64-darwin suitesparse_4_2 | |
x86_64-linux emacsPackages.flymake-eldev | |
aarch64-linux postgresql12JitPackages.h3-pg | |
aarch64-darwin haskellPackages.nowdoc | |
x86_64-darwin haskellPackages.lists | |
x86_64-linux ufolint | |
aarch64-linux python311Packages.p1monitor | |
x86_64-linux vim-vint | |
x86_64-darwin lua54Packages.serpent | |
aarch64-linux python312Packages.libtmux | |
x86_64-darwin emacsPackages.fetch | |
x86_64-darwin python311Packages.pyclipper | |
x86_64-linux perl536Packages.StringInterpolate | |
aarch64-darwin python312Packages.cbor | |
aarch64-darwin python312Packages.jsonref | |
x86_64-darwin smartmontools | |
aarch64-linux flamegraph | |
x86_64-darwin clini | |
aarch64-linux notmuch-mutt | |
x86_64-linux emacsPackages.evil-numbers | |
x86_64-darwin emacsPackages.ox-mediawiki | |
x86_64-darwin python312Packages.clarifai-grpc | |
aarch64-linux git-up | |
x86_64-linux openxray | |
x86_64-darwin haskellPackages.amazonka-translate | |
aarch64-linux apt | |
aarch64-darwin python311Packages.installer | |
x86_64-darwin perl538Packages.ModuleCPANTSAnalyse | |
aarch64-linux quasselClient | |
x86_64-linux python312Packages.types-aiobotocore-iotanalytics | |
aarch64-linux perl538Packages.CryptRC4 | |
aarch64-linux rubyPackages_3_1.snappy | |
x86_64-linux python312Packages.basemap-data | |
aarch64-linux python312Packages.watchdog | |
x86_64-linux python312Packages.opentelemetry-exporter-otlp | |
x86_64-darwin perl538Packages.NetDNSResolverMock | |
x86_64-darwin emacsPackages.geben-helm-projectile | |
x86_64-darwin emacsPackages.orgnote | |
x86_64-linux python311Packages.mypy-boto3-elastictranscoder | |
aarch64-linux python311Packages.libais | |
x86_64-linux haskellPackages.huckleberry | |
aarch64-linux yutto | |
x86_64-linux openra | |
aarch64-darwin emacsPackages.reformatter | |
aarch64-darwin linja-pi-pu-lukin | |
aarch64-darwin opencolorio_1 | |
aarch64-linux perl536Packages.ClassStd | |
aarch64-darwin shocco | |
aarch64-darwin emacsPackages.lemon-mode | |
x86_64-darwin python312Packages.django-compression-middleware | |
aarch64-linux python311Packages.deemix | |
aarch64-linux python312Packages.tubeup | |
aarch64-linux tecnoballz | |
x86_64-darwin haskellPackages.amazonka-account | |
x86_64-linux s-tui | |
x86_64-linux conduktor | |
aarch64-darwin emacsPackages.diffed | |
aarch64-darwin haskellPackages.gl-capture | |
aarch64-linux perl536Packages.CatalystPluginFormValidatorSimple | |
aarch64-linux python311Packages.kmsxx | |
aarch64-linux python311Packages.async-interrupt | |
aarch64-darwin primecount | |
aarch64-linux haskellPackages.partial | |
x86_64-linux emacsPackages.flycheck-nimsuggest | |
x86_64-darwin emacsPackages.mu4e-conversation | |
x86_64-darwin lua53Packages.lua-term | |
aarch64-linux ant-theme | |
x86_64-linux perl538Packages.ExceptionClass | |
aarch64-darwin python312Packages.parameterized | |
aarch64-linux emacsPackages.ancient-one-dark-theme | |
aarch64-linux python311Packages.pyfakewebcam | |
x86_64-darwin python312Packages.aiovodafone | |
x86_64-linux emacsPackages.flycheck-tip | |
x86_64-darwin emacsPackages.gcmh | |
aarch64-darwin perl538Packages.MathRound | |
aarch64-darwin python312Packages.venusian | |
x86_64-linux rubyPackages_3_1.erubi | |
x86_64-darwin httplab | |
x86_64-linux sdlpop | |
aarch64-linux lua54Packages.luarepl | |
x86_64-linux python311Packages.publicsuffix | |
aarch64-linux rubyPackages_3_3.puma | |
aarch64-linux emacsPackages.python-x | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.rtl8821au | |
x86_64-darwin emacsPackages.symbol-navigation-hydra | |
x86_64-darwin haskellPackages.dense-linear-algebra | |
x86_64-darwin aspellDicts.fr | |
x86_64-linux haskellPackages.xmlhtml | |
aarch64-darwin haskellPackages.hips | |
x86_64-darwin most | |
x86_64-linux emacsPackages.flycheck-dialyzer | |
x86_64-darwin perl538Packages.BoostGeometryUtils | |
x86_64-linux emacsPackages.pollen-mode | |
aarch64-linux ip2location-c | |
x86_64-linux babeld | |
x86_64-linux kapow | |
aarch64-darwin libretro.parallel-n64 | |
x86_64-darwin python312Packages.opentelemetry-instrumentation-aiohttp-client | |
x86_64-linux linuxKernel.packages.linux_6_5.prl-tools | |
x86_64-linux mmsd-tng | |
aarch64-darwin catch2 | |
x86_64-darwin emacsPackages.smart-shift | |
x86_64-darwin kyotocabinet | |
x86_64-linux emacsPackages.org-context | |
aarch64-linux readability-cli | |
x86_64-linux rubyPackages_3_3.drb | |
x86_64-linux kdiskmark | |
x86_64-darwin emacsPackages.timerfunctions | |
x86_64-linux emacsPackages.project-persist | |
x86_64-linux mate.mate-applets | |
aarch64-linux linuxPackages_latest-libre.pktgen | |
x86_64-linux python311Packages.dissect-evidence | |
aarch64-linux mkvtoolnix-cli | |
x86_64-linux python312Packages.aiohttp-jinja2 | |
x86_64-darwin opensmtpd-filter-rspamd | |
aarch64-linux python312Packages.fleep | |
x86_64-linux mkchromecast | |
x86_64-linux gnomeExtensions.custom-hot-corners-extended | |
x86_64-darwin python312Packages.timm | |
aarch64-linux linuxPackages_5_10_hardened.trelay | |
aarch64-darwin python311Packages.urwid-readline | |
x86_64-linux haskellPackages.dataflower | |
x86_64-linux postgresql15JitPackages.pg_safeupdate | |
x86_64-darwin python312Packages.feedparser | |
x86_64-linux sbclPackages.jpl-util | |
aarch64-linux adrgen | |
x86_64-linux spotify-player | |
aarch64-darwin python312Packages.ratelim | |
aarch64-darwin haskellPackages.snap-core | |
aarch64-linux python311Packages.pyrfxtrx | |
aarch64-darwin promtail | |
x86_64-linux rubyPackages.rubocop | |
aarch64-linux haskellPackages.prettyprinter-compat-ansi-wl-pprint | |
aarch64-darwin iosevka-comfy.comfy-motion-duo | |
aarch64-darwin haskellPackages.SimpleTableGenerator | |
x86_64-darwin python312Packages.temperusb | |
x86_64-linux python311Packages.wsproto | |
aarch64-darwin python312Packages.mdurl | |
x86_64-darwin rojo | |
x86_64-darwin python311Packages.ciso8601 | |
x86_64-darwin python312Packages.emoji | |
x86_64-darwin kubernetes-helmPlugins.helm-diff | |
aarch64-linux haskellPackages.libravatar | |
aarch64-darwin emacsPackages.theme-buffet | |
aarch64-darwin operator-sdk | |
x86_64-darwin ruby | |
x86_64-darwin python312Packages.dissect-target | |
x86_64-darwin taizen | |
x86_64-darwin emacsPackages.silkworm-theme | |
aarch64-darwin python312Packages.asyncua | |
aarch64-linux vimPlugins.vim-racket | |
x86_64-darwin python311Packages.rich-argparse-plus | |
aarch64-linux python312Packages.yargy | |
aarch64-linux dijo | |
aarch64-linux python312Packages.azure-mgmt-datalake-nspkg | |
aarch64-darwin haskellPackages.semantic | |
aarch64-linux tangram | |
aarch64-linux vimPlugins.nvim-web-devicons | |
aarch64-linux gebaar-libinput | |
x86_64-linux home-assistant-component-tests.switchbee | |
x86_64-linux python311Packages.types-psutil | |
x86_64-linux python312Packages.referencing | |
aarch64-linux rubyPackages_3_1.rdoc | |
x86_64-linux python311Packages.py-deprecate | |
aarch64-darwin emacsPackages.dired-quick-sort | |
x86_64-linux emacsPackages.shell-here | |
aarch64-darwin blockattack | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.vmm_clock | |
x86_64-linux linuxKernel.packages.linux_6_7.oci-seccomp-bpf-hook | |
aarch64-darwin emacsPackages.erc-social-graph | |
aarch64-darwin libsForQt5.qqc2-desktop-style | |
x86_64-darwin python312Packages.rich | |
x86_64-linux python311Packages.py-pdf-parser | |
aarch64-linux atop | |
x86_64-linux python311Packages.mailmanclient | |
aarch64-darwin python311Packages.pyrate-limiter | |
x86_64-darwin haskellPackages.uniform-http | |
aarch64-linux auto-cpufreq | |
x86_64-linux linuxKernel.packages.linux_latest_libre.dddvb | |
x86_64-darwin python311Packages.starlette-wtf | |
x86_64-linux haskellPackages.twitter-types | |
x86_64-linux jdk_headless | |
x86_64-darwin perl536Packages.Gnome2Canvas | |
x86_64-darwin haskellPackages.union-angle | |
x86_64-darwin emacsPackages.brightscript-mode | |
x86_64-darwin aucatctl | |
x86_64-linux lua52Packages.luxio | |
x86_64-linux python312Packages.oelint-parser | |
aarch64-darwin haskellPackages.fmlist | |
aarch64-linux gromacsCudaMpi | |
x86_64-linux python312Packages.flynt | |
aarch64-linux haskellPackages.MissingK | |
x86_64-darwin emacsPackages.mw-thesaurus | |
aarch64-darwin python311Packages.mypy-boto3-sso | |
x86_64-darwin emacsPackages.cern-ldap | |
aarch64-linux meson | |
aarch64-linux audacious | |
x86_64-darwin emacsPackages.cedille | |
x86_64-darwin perl538Packages.LinkEmbedder | |
x86_64-darwin python311Packages.nilearn | |
x86_64-linux python312Packages.poolsense | |
x86_64-darwin kubeseal | |
x86_64-linux treesheets | |
aarch64-linux klick | |
aarch64-linux perl538Packages.Po4a | |
x86_64-darwin emacsPackages.smart-tabs-mode | |
x86_64-linux emacsPackages.phi-search-mc | |
x86_64-linux linuxKernel.packages.linux_5_10.nct6687d | |
aarch64-darwin haskellPackages.amazonka-iotevents | |
x86_64-darwin mariadb-embedded | |
x86_64-linux python312Packages.certipy-ad | |
aarch64-darwin haskellPackages.ascii | |
x86_64-darwin fltk13-minimal | |
aarch64-darwin haskellPackages.zot | |
x86_64-darwin chickenPackages_5.chickenEggs.uri-generic | |
x86_64-linux python311Packages.hickle | |
x86_64-linux linuxKernel.packages.linux_xanmod.hyperv-daemons | |
aarch64-linux perl536Packages.UriGoogleChart | |
aarch64-linux perl538Packages.DateTimeEventRecurrence | |
x86_64-linux php81Extensions.fileinfo | |
x86_64-linux home-assistant-component-tests.vodafone_station | |
x86_64-linux poppler | |
aarch64-darwin emacsPackages.dogears | |
aarch64-darwin libsForQt5.mlt | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.nvidia_x11_beta | |
x86_64-linux linuxPackages_latest.rtw88 | |
x86_64-linux emacsPackages.flycheck-flawfinder | |
aarch64-darwin emacsPackages.hyde | |
aarch64-linux emacsPackages.repology | |
aarch64-darwin transmission_4 | |
aarch64-darwin python311Packages.asciimatics | |
x86_64-linux libwmf | |
x86_64-linux cgl | |
x86_64-linux python311Packages.sabyenc3 | |
aarch64-darwin emacsPackages.charmap | |
x86_64-linux python311Packages.bluecurrent-api | |
aarch64-linux emacsPackages.virtualenv | |
x86_64-linux python312Packages.headerparser | |
x86_64-linux kgraphviewer | |
aarch64-darwin perl536Packages.ModuleVersions | |
aarch64-linux python311Packages.fluent-logger | |
x86_64-linux python311Packages.numexpr | |
aarch64-linux python312Packages.pytesseract | |
x86_64-darwin python311Packages.pysrt | |
x86_64-darwin vimPlugins.statix | |
aarch64-darwin emacsPackages.openwith | |
x86_64-linux git-stree | |
aarch64-linux gurk-rs | |
aarch64-linux python311Packages.volkszaehler | |
aarch64-linux emacsPackages.diff-hl | |
x86_64-linux linuxKernel.packages.linux_6_5.rtl88xxau-aircrack | |
aarch64-darwin haskellPackages.shake | |
x86_64-linux fitsverify | |
aarch64-linux python311Packages.mypy-boto3-proton | |
aarch64-linux emacsPackages.virtual-auto-fill | |
x86_64-linux linuxKernel.packages.linux_latest_libre.phc-intel | |
x86_64-darwin python311Packages.aiorecollect | |
x86_64-darwin vimPlugins.ghcid | |
aarch64-darwin python312Packages.python-bring-api | |
x86_64-linux python312 | |
x86_64-linux nuked-md | |
aarch64-linux emacsPackages.bufshow | |
x86_64-linux lua52Packages.mediator_lua | |
x86_64-linux python311Packages.mdformat-footnote | |
aarch64-linux python312Packages.httpauth | |
x86_64-linux python311Packages.azure-mgmt-subscription | |
aarch64-darwin haskellPackages.diagrams-contrib | |
x86_64-linux gn1924 | |
aarch64-linux python311Packages.luhn | |
aarch64-darwin haskellPackages.path-like | |
x86_64-linux python311Packages.nose-randomly | |
x86_64-linux linuxPackages_5_15_hardened.odp-dpdk | |
aarch64-linux cdogs-sdl | |
x86_64-darwin python311Packages.safe | |
x86_64-linux python312Packages.mypy-boto3-eks | |
x86_64-darwin python312Packages.pygltflib | |
aarch64-linux perl538Packages.EmailStuffer | |
aarch64-linux toilet | |
x86_64-linux libgpiod_1 | |
x86_64-linux xorg.xmag | |
aarch64-darwin perl538Packages.DebugShowStuff | |
aarch64-linux vimPlugins.vim-auto-save | |
aarch64-linux perl536Packages.RegexpCommon | |
aarch64-darwin haskellPackages.comfort-graph | |
x86_64-darwin emacsPackages.smooth-scrolling | |
x86_64-darwin haskellPackages.afis | |
aarch64-darwin perl536Packages.X11Protocol | |
aarch64-linux havoc | |
aarch64-linux python311Packages.mediafire-dl | |
x86_64-linux haskellPackages.optional-args | |
x86_64-linux python312Packages.mnemonic | |
aarch64-darwin haskellPackages.Encode | |
x86_64-darwin oauth2ms | |
aarch64-linux linuxPackages_5_15_hardened.rtl8812au | |
aarch64-darwin python312Packages.xrootd | |
aarch64-darwin kibi | |
x86_64-linux rc-9front | |
x86_64-linux tree-sitter-grammars.tree-sitter-java | |
x86_64-darwin vimPlugins.vim-sexp | |
x86_64-linux haskellPackages.cond | |
aarch64-darwin python311Packages.f5-sdk | |
x86_64-linux perl536Packages.TextFormat | |
aarch64-darwin python312Packages.types-aiobotocore-medical-imaging | |
aarch64-linux libsForQt5.krunner | |
aarch64-darwin postgresqlJitPackages.age | |
aarch64-linux python311Packages.fastnumbers | |
aarch64-linux python311Packages.spiderpy | |
x86_64-linux python311Packages.karton-config-extractor | |
aarch64-linux linuxKernel.packages.linux_4_19.mba6x_bl | |
x86_64-linux home-assistant-component-tests.luftdaten | |
x86_64-linux perl536Packages.ClassISA | |
x86_64-darwin emacsPackages.noir-ts-mode | |
x86_64-linux mpvScripts.mpris | |
aarch64-linux python312Packages.azure-mgmt-reservations | |
x86_64-linux python312Packages.clickhouse-connect | |
aarch64-linux python311Packages.altair | |
aarch64-linux python312Packages.siosocks | |
x86_64-linux synergyWithoutGUI | |
x86_64-darwin haskellPackages.testing-feat | |
aarch64-linux rubyPackages_3_3.docile | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.openafs_1_8 | |
aarch64-linux gut | |
aarch64-linux haskellPackages.cndict | |
x86_64-darwin emacsPackages.flymake-puppet | |
x86_64-darwin penna | |
x86_64-linux python312Packages.pipenv-poetry-migrate | |
aarch64-darwin haskellPackages.kqueue | |
aarch64-darwin vimPlugins.vim-operator-user | |
aarch64-linux emacsPackages.pinot | |
x86_64-darwin haskellPackages.amazonka-docdb-elastic | |
x86_64-darwin haskellPackages.conduit-combinators | |
x86_64-darwin fstrcmp | |
x86_64-linux lua53Packages.fifo | |
aarch64-darwin python312Packages.rpy2 | |
x86_64-linux emacsPackages.haskell-mode | |
x86_64-darwin postgresql13Packages.pg_bigm | |
aarch64-darwin reddsaver | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.htmldjango | |
aarch64-darwin haskellPackages.jira-wiki-markup | |
aarch64-darwin emacsPackages.ctl-mode | |
aarch64-darwin postgresql13Packages.pg_partman | |
aarch64-linux python312Packages.syncedlyrics | |
aarch64-darwin rubyPackages_3_2.cocoapods-try | |
aarch64-darwin perl538Packages.TieCacheLRU | |
aarch64-darwin bitcoind-knots | |
x86_64-linux docker-buildx | |
aarch64-darwin emacsPackages.taxy | |
x86_64-linux degate | |
aarch64-linux python311Packages.python-pidfile | |
aarch64-linux git-repo | |
aarch64-linux perl536Packages.ClassInspector | |
aarch64-linux millet | |
aarch64-linux haskellPackages.redis-job-queue | |
aarch64-linux perl538Packages.HTMLFormatExternal | |
aarch64-darwin python312Packages.pymacaroons | |
x86_64-linux python311Packages.google-cloud-runtimeconfig | |
x86_64-linux linuxKernel.packages.linux_6_7_hardened.gasket | |
aarch64-darwin haskellPackages.network-types-icmp | |
aarch64-linux linuxPackages_zen.acpi_call | |
x86_64-darwin python311Packages.mypy-boto3-translate | |
aarch64-darwin python312Packages.pypsrp | |
x86_64-linux vscode-extensions.tsandall.opa | |
aarch64-darwin python311Packages.expandvars | |
aarch64-darwin plasma5Packages.qt5.qtcharts | |
aarch64-linux nvme-cli | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.openrazer | |
x86_64-linux python311Packages.dataclass-wizard | |
aarch64-darwin perl538Packages.AlienLibGumbo | |
x86_64-linux bdep | |
x86_64-darwin vimPlugins.live-command-nvim | |
aarch64-darwin emacs28-gtk3 | |
x86_64-darwin perl538Packages.ExceptionDied | |
aarch64-darwin sahel-fonts | |
x86_64-darwin python312Packages.teslajsonpy | |
aarch64-linux python312Packages.pyprusalink | |
aarch64-darwin haskellPackages.fuzzcheck | |
aarch64-linux python312Packages.debtcollector | |
x86_64-linux perl538Packages.HTMLTagset | |
x86_64-linux lua51Packages.cqueues | |
x86_64-linux python312Packages.types-aiobotocore-codestar-connections | |
x86_64-darwin python312Packages.ilua | |
x86_64-linux udpx | |
x86_64-linux python311Packages.pysonos | |
aarch64-darwin emacsPackages.keg-mode | |
aarch64-darwin surge-cli | |
aarch64-darwin chickenPackages_5.chickenEggs.gnuplot-pipe | |
x86_64-linux emacsPackages.org-recent-headings | |
aarch64-darwin python311Packages.btsocket | |
aarch64-darwin python312Packages.tmb | |
aarch64-darwin qhull | |
aarch64-darwin python311Packages.pynamecheap | |
x86_64-linux haskellPackages.gi-xlib | |
x86_64-darwin python311Packages.google-resumable-media | |
x86_64-linux gnome-menus | |
aarch64-linux terraform-providers.pagerduty | |
x86_64-linux zulu8 | |
aarch64-linux python311Packages.pathable | |
aarch64-linux python312Packages.types-aiobotocore-globalaccelerator | |
x86_64-linux haskellPackages.amazonka-ssm-sap | |
x86_64-linux python311Packages.patiencediff | |
aarch64-darwin pwndbg | |
aarch64-linux yamlfix | |
aarch64-linux emacsPackages.bitbake | |
aarch64-darwin haskellPackages.glue-common | |
aarch64-linux lastpass-cli | |
x86_64-linux php82Extensions.openssl | |
aarch64-linux python311Packages.types-aiobotocore-signer | |
aarch64-linux haskellPackages.simple-cairo | |
x86_64-linux udptunnel | |
aarch64-linux postgresql12Packages.plr | |
aarch64-linux vgmtools | |
x86_64-darwin perl538Packages.libintl-perl | |
x86_64-linux haskellPackages.primitive | |
x86_64-darwin python312Packages.ruamel-yaml | |
aarch64-darwin wiki-tui | |
x86_64-linux haskellPackages.natural-transformation | |
x86_64-darwin libgnome-games-support_2_0 | |
x86_64-linux pdm | |
x86_64-linux carla | |
aarch64-darwin python312Packages.pykwb | |
aarch64-linux python311Packages.xhtml2pdf | |
x86_64-darwin python312Packages.spacy-pkuseg | |
x86_64-linux ibus-engines.anthy | |
aarch64-linux luajitPackages.middleclass | |
aarch64-linux linuxKernel.packages.linux_lqx.can-isotp | |
x86_64-darwin perl538Packages.ArchiveTar | |
aarch64-darwin evmdis | |
x86_64-linux haskellPackages.seal-module | |
x86_64-linux intltool | |
aarch64-linux topydo | |
aarch64-linux civo | |
aarch64-darwin haskellPackages.control-monad-exception-monadstf | |
x86_64-darwin tanka | |
x86_64-darwin python311Packages.rpmfluff | |
x86_64-linux pt | |
x86_64-darwin python312Packages.quantities | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.system76-scheduler | |
x86_64-linux cbmbasic | |
x86_64-darwin postgresql14Packages.plpgsql_check | |
aarch64-darwin python311Packages.ultraheat-api | |
x86_64-linux python312Packages.misaka | |
x86_64-darwin python312Packages.pyprof2calltree | |
aarch64-linux vimPlugins.no-clown-fiesta-nvim | |
x86_64-darwin haskellPackages.simple-affine-space | |
aarch64-darwin btcpayserver-altcoins | |
aarch64-linux git-repo-updater | |
aarch64-linux home-assistant-component-tests.nfandroidtv | |
x86_64-darwin haskellPackages.amazonka-keyspaces | |
x86_64-linux emacsPackages.no-spam | |
x86_64-darwin labwc-tweaks | |
aarch64-linux perl536Packages.ConfigOnion | |
aarch64-darwin postgresqlJitPackages.pg_auto_failover | |
aarch64-linux python312Packages.unicode-rbnf | |
x86_64-linux git-get | |
aarch64-darwin emacsPackages.popwin | |
aarch64-linux rubyPackages_3_3.highline | |
aarch64-linux dnsenum | |
aarch64-linux haskellPackages.FAI | |
x86_64-linux python311Packages.mockito | |
x86_64-linux haskellPackages.fftwRaw | |
x86_64-darwin haskellPackages.hsx-jmacro | |
aarch64-linux python311Packages.types-aiobotocore-autoscaling | |
aarch64-darwin python312Packages.types-aiobotocore-outposts | |
aarch64-linux linuxPackages_latest.netatop | |
x86_64-darwin haskellPackages.senza | |
x86_64-darwin vtm | |
x86_64-darwin cfn-nag | |
aarch64-darwin perl538Packages.MojoliciousPluginMail | |
aarch64-linux haskellPackages.conduit-algorithms | |
x86_64-linux emacsPackages.rich-minority | |
aarch64-linux haskellPackages.netwire-input-glfw | |
x86_64-linux perl536Packages.BSON | |
x86_64-linux linuxKernel.packages.linux_zen.rtl8723ds | |
aarch64-linux mlvwm | |
x86_64-darwin vimPlugins.vader-vim | |
x86_64-darwin python312Packages.whois | |
aarch64-linux xorg.xclock | |
x86_64-linux tcl-8_6 | |
x86_64-linux linuxPackages_5_15_hardened.cryptodev | |
aarch64-linux python312Packages.pyngrok | |
x86_64-darwin perl536Packages.ClassContainer | |
x86_64-darwin xorg.libWindowsWM | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.cairo-svg | |
x86_64-linux imdshift | |
aarch64-darwin cilium-cli | |
aarch64-linux haskellPackages.crypton-x509 | |
x86_64-darwin emacsPackages.lsp-haskell | |
x86_64-darwin perl538Packages.FileFnMatch | |
x86_64-linux php83Extensions.sqlsrv | |
x86_64-linux emacsPackages.ido-vertical-mode | |
x86_64-linux python312Packages.blockchain | |
aarch64-darwin python312Packages.flowlogs-reader | |
x86_64-darwin python312Packages.mediapy | |
x86_64-linux formula | |
x86_64-darwin libmusicbrainz | |
aarch64-linux python311Packages.oslo-context | |
aarch64-linux urjtag | |
x86_64-darwin emacsPackages.god-mode | |
aarch64-linux python312Packages.sphinx-codeautolink | |
aarch64-linux vimPlugins.fennel-vim | |
x86_64-darwin lua51Packages.fzy | |
x86_64-linux haskellPackages.hack-middleware-gzip | |
aarch64-darwin python312Packages.fairscale | |
x86_64-linux haskellPackages.compactmap | |
x86_64-linux plasma5Packages.qt5.qtremoteobjects | |
aarch64-darwin vimPlugins.cmp-git | |
aarch64-linux repgrep | |
aarch64-linux python311Packages.rfc3986-validator | |
x86_64-linux dos2unix | |
x86_64-linux python311Packages.napari | |
aarch64-darwin python312Packages.mypy-boto3-worklink | |
aarch64-linux python311Packages.keras-preprocessing | |
x86_64-darwin python311Packages.statmake | |
x86_64-darwin vorbis-tools | |
x86_64-linux libnetfilter_cthelper | |
aarch64-linux perl536Packages.RESTUtils | |
x86_64-linux perl536Packages.NetIDNEncode | |
aarch64-darwin qogir-theme | |
aarch64-linux vimPlugins.ale | |
x86_64-darwin emacsPackages.totp-auth | |
aarch64-linux zram-generator | |
x86_64-linux haskellPackages.apecs-stm | |
aarch64-darwin python312Packages.types-aiobotocore-translate | |
aarch64-linux vimPlugins.vim-addon-async | |
aarch64-linux libindicator | |
x86_64-linux python311Packages.google-generativeai | |
aarch64-linux certsync | |
x86_64-linux sbclPackages.cl-css | |
x86_64-darwin python312Packages.aioopenssl | |
aarch64-linux linuxPackages-libre.rtl8821au | |
x86_64-darwin python312Packages.imgtool | |
x86_64-darwin python312Packages.qcs-api-client | |
aarch64-darwin mloader | |
aarch64-darwin emacsPackages.cobol-mode | |
x86_64-darwin emacsPackages.smart-delete | |
aarch64-linux linuxKernel.packages.linux_lqx.rtl88xxau-aircrack | |
aarch64-darwin python311Packages.pycron | |
x86_64-linux dynamips | |
x86_64-darwin python311Packages.types-aiobotocore-emr-serverless | |
aarch64-darwin emacsPackages.ph | |
aarch64-darwin python312Packages.hexdump | |
aarch64-darwin haskellPackages.prefix-expression | |
x86_64-darwin perl538Packages.FileSlurper | |
aarch64-darwin yuzuPackages.qtbase | |
x86_64-darwin python311Packages.django-autocomplete-light | |
x86_64-linux emacsPackages.geben-helm-projectile | |
aarch64-darwin fastlane | |
x86_64-linux plasma5Packages.breeze-gtk | |
x86_64-linux python312Packages.certauth | |
aarch64-linux linuxPackages_6_1_hardened.rtl88xxau-aircrack | |
x86_64-linux python312Packages.python-sat | |
x86_64-linux lizardfs | |
aarch64-linux vimPlugins.conform-nvim | |
aarch64-linux linuxPackages_lqx.rtl8812au | |
aarch64-linux python311Packages.airthings-ble | |
x86_64-darwin daemontools | |
x86_64-darwin openpgp-card-tools | |
x86_64-linux linuxPackages.openafs_1_8 | |
aarch64-linux emacsPackages.take-off | |
x86_64-darwin python312Packages.pytraccar | |
aarch64-darwin python311Packages.azure-keyvault-nspkg | |
aarch64-darwin emacsPackages.cats | |
x86_64-linux linuxPackages_5_15_hardened.framework-laptop-kmod | |
x86_64-darwin emacsPackages.wonderland | |
x86_64-linux linuxKernel.packages.linux_xanmod.xone | |
aarch64-linux rocmPackages.hiprand | |
x86_64-linux tldr-hs | |
x86_64-linux unixODBCDrivers.sqlite | |
aarch64-darwin haskellPackages.data-fix-cse | |
aarch64-linux lxqt.lxqt-menu-data | |
aarch64-darwin python311Packages.parts | |
aarch64-linux emacsPackages.company-native-complete | |
aarch64-darwin emacsPackages.python-test | |
x86_64-linux plank | |
x86_64-linux lua53Packages.telescope-manix | |
aarch64-darwin emacsPackages.js-format | |
aarch64-darwin emacsPackages.quelpa-use-package | |
x86_64-linux cosmic-term | |
aarch64-darwin haskellPackages.mock-time | |
aarch64-linux python311Packages.julius | |
aarch64-linux chickenPackages_5.chickenEggs.integer-map | |
x86_64-darwin python311Packages.geocachingapi | |
aarch64-linux emacsPackages.spacious-padding | |
x86_64-darwin hasura-cli | |
aarch64-darwin python312Packages.mypy-boto3-workmail | |
x86_64-linux python312Packages.safe-pysha3 | |
x86_64-linux compactor | |
x86_64-darwin bklk | |
x86_64-darwin python311Packages.types-docopt | |
aarch64-darwin emacsPackages.helm-file-preview | |
x86_64-darwin postgresql14Packages.rum | |
x86_64-linux unityhub | |
aarch64-darwin haskellPackages.brick-skylighting | |
aarch64-linux python311Packages.binho-host-adapter | |
x86_64-darwin vimPlugins.modus-themes-nvim | |
x86_64-linux vimPlugins.vim-slime | |
aarch64-darwin hub | |
x86_64-darwin libyuv | |
aarch64-darwin python311Packages.deepdiff | |
aarch64-darwin seqkit | |
x86_64-linux vimPlugins.vim-CtrlXA | |
x86_64-linux emacsPackages.org-outlook | |
aarch64-linux python311Packages.fastnlo-toolkit | |
aarch64-linux vimPlugins.eyeliner-nvim | |
x86_64-darwin python312Packages.django-i18nfield | |
x86_64-darwin wireshark-qt | |
aarch64-darwin haskellPackages.integer-types | |
aarch64-linux python311Packages.pydeps | |
aarch64-darwin haskellPackages.amazonka-pipes | |
aarch64-linux temurin-jre-bin-8 | |
aarch64-linux postgresql13JitPackages.citus | |
aarch64-linux haskellPackages.termbox-banana | |
x86_64-linux lua53Packages.lpeglabel | |
x86_64-linux python311Packages.recoll | |
x86_64-darwin topgrade | |
aarch64-linux mupdf | |
x86_64-linux emacsPackages.flycheck-gradle | |
x86_64-darwin python312Packages.pymfy | |
x86_64-darwin zitadel | |
x86_64-linux ezstream | |
x86_64-darwin emacsPackages.aes | |
x86_64-linux python312Packages.karton-autoit-ripper | |
aarch64-linux vimPlugins.vim-substrata | |
x86_64-darwin emacsPackages.markdown-preview-eww | |
x86_64-linux sysprof | |
x86_64-darwin haskellPackages.conferer-hedis | |
aarch64-linux linuxPackages_xanmod_stable.pktgen | |
aarch64-linux frei0r | |
x86_64-linux perl536Packages.ModuleImplementation | |
aarch64-darwin emacsPackages.counsel-ffdata | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.x11 | |
x86_64-darwin pocketbase | |
x86_64-darwin labplot | |
aarch64-darwin plasma5Packages.qt5.qtgamepad | |
aarch64-darwin iosevka-comfy.comfy-wide-motion | |
x86_64-linux perl536Packages.DistZillaPluginMojibakeTests | |
x86_64-linux haskellPackages.aeson-qq | |
x86_64-linux emacsPackages.vagrant-tramp | |
aarch64-darwin postgresql15JitPackages.pg_squeeze | |
x86_64-darwin emacsPackages.list-environment | |
x86_64-darwin haskellPackages.genvalidity-mergeful | |
aarch64-linux plasma5Packages.klettres | |
x86_64-darwin emacsPackages.cherry-blossom-theme | |
x86_64-darwin haskellPackages.amazonka-drs | |
aarch64-darwin goffice | |
x86_64-linux emacsPackages.rcirc-notify | |
x86_64-linux linuxKernel.packages.linux_6_5_hardened.bcc | |
aarch64-darwin emacsPackages.zenscript-mode | |
x86_64-linux llvmPackages_11.compiler-rt | |
aarch64-linux postgresql12JitPackages.pg_embedding | |
aarch64-darwin xconq | |
aarch64-darwin python312Packages.exrex | |
aarch64-linux cargo-llvm-lines | |
aarch64-darwin emacsPackages.quick-preview | |
x86_64-linux haskellPackages.timeline | |
x86_64-darwin hunspellDicts.es-any | |
x86_64-linux python311Packages.rocket-errbot | |
aarch64-darwin cue | |
aarch64-linux bbin | |
aarch64-linux python311Packages.apptools | |
aarch64-linux python311Packages.linkify-it-py | |
x86_64-linux lumina.lumina-pdf | |
x86_64-linux lld_15 | |
x86_64-linux emacsPackages.qtcreator-theme | |
x86_64-linux vimPlugins.haskell-tools-nvim | |
x86_64-darwin python311Packages.mdformat-toc | |
x86_64-linux python311Packages.pycddl | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.r8125 | |
x86_64-darwin rubyPackages_3_3.markaby | |
aarch64-darwin emacsPackages.nntwitter | |
aarch64-linux python311Packages.pyixapi | |
aarch64-darwin matrix-commander-rs | |
x86_64-linux python312Packages.socialscan | |
aarch64-linux pkg-configUpstream | |
x86_64-darwin python311Packages.w1thermsensor | |
x86_64-darwin dodgy | |
aarch64-linux rubyPackages_3_2.coffee-script | |
x86_64-darwin python312Packages.checksumdir | |
x86_64-linux plasma5Packages.kdev-python | |
x86_64-linux yeshup | |
aarch64-linux edwin | |
x86_64-linux mupdf-headless | |
aarch64-linux docker-compose | |
aarch64-darwin python312Packages.colout | |
aarch64-darwin python311Packages.myjwt | |
x86_64-darwin python312Packages.pulsectl | |
x86_64-darwin haskellPackages.servant-swagger-ui-core | |
x86_64-darwin lua54Packages.nui-nvim | |
x86_64-linux linuxPackages.zfsUnstable | |
x86_64-linux haskellPackages.jl | |
x86_64-linux sbclPackages.serapeum | |
x86_64-linux haskellPackages.amazonka-opensearch | |
x86_64-linux darwin.binutilsDualAs | |
x86_64-linux haskellPackages.numeric-limits | |
aarch64-darwin python311Packages.stanza | |
aarch64-linux tmsu | |
x86_64-darwin haskellPackages.hackage-repo-tool | |
aarch64-darwin emacsPackages.opam-switch-mode | |
aarch64-darwin qt6Packages.qgpgme | |
x86_64-linux xorg.xf86videochips | |
x86_64-linux chickenPackages_5.chickenEggs.pastiche | |
aarch64-darwin emacsPackages.baidu-translate | |
aarch64-linux python311Packages.mkdocs-jupyter | |
aarch64-linux emacsPackages.global-tags | |
x86_64-linux python311Packages.ibm-cloud-sdk-core | |
aarch64-linux haskellPackages.par-traverse | |
aarch64-linux cbmem | |
x86_64-linux git-town | |
aarch64-linux perl538Packages.CryptRandom | |
aarch64-linux python312Packages.limitlessled | |
x86_64-linux lua5_3_compat | |
x86_64-darwin python312Packages.sphinx-autodoc-typehints | |
x86_64-linux source-serif | |
aarch64-darwin python312Packages.pep8 | |
x86_64-linux python312Packages.open-garage | |
x86_64-linux perl538Packages.HashMultiValue | |
aarch64-linux haskellPackages.space | |
x86_64-linux python312Packages.pywaterkotte | |
x86_64-darwin mspds-bin | |
aarch64-darwin chickenPackages_5.chickenEggs.condition-utils | |
aarch64-darwin python312Packages.ortools | |
x86_64-linux python311Packages.insteon-frontend-home-assistant | |
aarch64-darwin emacsPackages.transient-posframe | |
aarch64-darwin shards | |
aarch64-darwin python311Packages.pweave | |
x86_64-darwin perl538Packages.DateTimeFormatPg | |
aarch64-linux python312Packages.onvif-zeep | |
x86_64-linux libsForQt5.kdepim-addons | |
aarch64-linux quartoMinimal | |
aarch64-darwin haskellPackages.amazonka-marketplace-metering | |
x86_64-linux python311Packages.nats-python | |
aarch64-darwin python311Packages.termplotlib | |
aarch64-linux perl538Packages.ClassObservable | |
aarch64-darwin python312Packages.ecs-logging | |
aarch64-darwin postgresql13Packages.age | |
aarch64-linux haskellPackages.data-files-gen | |
x86_64-linux linuxPackages_5_10_hardened.linux-gpib | |
x86_64-darwin python312Packages.skytemple-icons | |
x86_64-darwin rubyPackages_3_2.colored | |
x86_64-darwin mdk | |
x86_64-darwin python311Packages.eve | |
x86_64-linux haskellPackages.moffy-samples-events | |
aarch64-darwin python312Packages.libasyncns | |
aarch64-darwin bsnes-hd | |
x86_64-linux linuxKernel.packages.linux_5_4.mba6x_bl | |
aarch64-darwin python312Packages.btrfsutil | |
aarch64-darwin emacsPackages.metal-archives | |
x86_64-linux obs-cli | |
aarch64-darwin tun2socks | |
x86_64-linux perl538Packages.DateTimeCalendarJulian | |
aarch64-darwin perl538Packages.ModuleBuildXSUtil | |
aarch64-darwin perl536Packages.MojoliciousPluginSyslog | |
aarch64-linux ostree | |
x86_64-linux linuxPackages_latest.it87 | |
x86_64-linux perl536Packages.TextPDF | |
x86_64-linux python312Packages.blessings | |
x86_64-linux emacsPackages.no-emoji | |
aarch64-linux haskellPackages.libvirt-hs | |
aarch64-darwin python312Packages.uproot | |
x86_64-darwin llvmPackages.llvm | |
aarch64-linux haskellPackages.gemini-router | |
aarch64-darwin emacsPackages.org-generate | |
x86_64-linux liquid-dsp | |
aarch64-darwin rxvt-unicode-unwrapped-emoji | |
x86_64-linux pywal | |
x86_64-linux tree-sitter-grammars.tree-sitter-turtle | |
x86_64-linux rubyPackages_3_1.rails-html-sanitizer | |
x86_64-linux github-changelog-generator | |
aarch64-darwin vimPlugins.colorizer | |
x86_64-linux linuxPackages_4_19_hardened.bbswitch | |
x86_64-linux oh | |
aarch64-linux xcompmgr | |
x86_64-linux haskellPackages.fpipe | |
aarch64-linux python312Packages.cmsis-pack-manager | |
aarch64-linux gitui | |
aarch64-darwin openhantek6022 | |
aarch64-darwin haskellPackages.hylogen | |
x86_64-darwin perl536Packages.GnuPGInterface | |
aarch64-linux python312Packages.python-matter-server | |
aarch64-linux fire | |
aarch64-darwin perl538Packages.PackageStashXS | |
aarch64-linux emacsPackages.huecycle | |
aarch64-darwin python312Packages.feedgen | |
aarch64-linux python311Packages.arnparse | |
x86_64-darwin python311Packages.mypy-boto3-keyspaces | |
x86_64-linux haskellPackages.base64-bytes | |
aarch64-darwin python311Packages.coconut | |
x86_64-darwin cuetools | |
x86_64-linux python311Packages.hiyapyco | |
aarch64-linux haskellPackages.unique | |
x86_64-linux odp-dpdk | |
aarch64-darwin python311Packages.moonraker-api | |
x86_64-linux python312Packages.setuptools_dso | |
aarch64-linux haskellPackages.saturn | |
x86_64-darwin python312Packages.ipyvue | |
x86_64-linux haskellPackages.yaml-light | |
aarch64-linux python312Packages.sphinx-autoapi | |
aarch64-darwin strongswanTNC | |
x86_64-linux perl538Packages.SysSyslog | |
aarch64-darwin maple-mono-woff2 | |
x86_64-darwin chickenPackages_5.chickenEggs.char-set-literals | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.batman_adv | |
x86_64-linux emacsPackages.flymake-golangci | |
x86_64-linux python312Packages.inkex | |
x86_64-linux getdns | |
x86_64-linux yuview | |
aarch64-linux emacsPackages.ob-ess-julia | |
aarch64-linux perl536Packages.PDFAPI2 | |
aarch64-linux emacsPackages.selectrum | |
aarch64-linux itd | |
x86_64-linux python312Packages.pysatochip | |
aarch64-darwin lapack-ilp64 | |
x86_64-darwin haskellPackages.di-polysemy | |
x86_64-darwin mojave-gtk-theme | |
aarch64-darwin vimPlugins.asyncomplete-vim | |
aarch64-linux catgirl | |
x86_64-linux supercolliderPlugins.sc3-plugins | |
aarch64-linux chickenPackages_5.chickenEggs.image-dimensions | |
aarch64-darwin prometheus-php-fpm-exporter | |
x86_64-linux linuxPackages_hardened.liquidtux | |
aarch64-darwin python311Packages.raincloudy | |
x86_64-linux linuxPackages_5_4_hardened.bcc | |
x86_64-linux libretro.vba-m | |
aarch64-linux haskellPackages.NumLazyByteString | |
x86_64-linux python312Packages.insteon-frontend-home-assistant | |
x86_64-darwin haskellPackages.LibZip | |
aarch64-linux linuxPackages_6_6_hardened.rtl8821ce | |
x86_64-linux perl538Packages.XMLMini | |
aarch64-linux emacsPackages.snazzy-theme | |
x86_64-darwin haskellPackages.line | |
x86_64-linux unnethack | |
aarch64-linux perl536Packages.BDB | |
x86_64-linux python311Packages.certbot-dns-google | |
x86_64-linux vimPlugins.vim-exchange | |
aarch64-linux python311Packages.pyprecice | |
x86_64-linux haskellPackages.HaTeX | |
x86_64-darwin emacsPackages.latex-table-wizard | |
aarch64-darwin mapscii | |
x86_64-linux python311Packages.fenics | |
x86_64-linux emacsPackages.evil-nl-break-undo | |
x86_64-darwin python312Packages.mypy-boto3-glue | |
x86_64-linux tlf | |
aarch64-darwin diffsitter | |
aarch64-linux python311Packages.eccodes | |
aarch64-linux azpainter | |
x86_64-linux linuxPackages_xanmod_stable.nvidiabl | |
aarch64-darwin python312Packages.allpairspy | |
x86_64-darwin picocom | |
aarch64-darwin emacsPackages.nndiscourse | |
aarch64-darwin nzbget | |
x86_64-darwin emacsPackages.tornado-template-mode | |
aarch64-darwin perl538Packages.IOCompress | |
x86_64-linux python312Packages.beautiful-date | |
aarch64-linux haskellPackages.multiplate | |
x86_64-linux haskellPackages.csound-expression | |
x86_64-linux pptpd | |
x86_64-darwin emacsPackages.zk-luhmann | |
aarch64-linux lua54Packages.luadbi-mysql | |
x86_64-linux python312Packages.pkg-about | |
x86_64-darwin haskellPackages.cointracking-imports | |
x86_64-darwin rubyPackages_3_1.rb-inotify | |
aarch64-linux perl536Packages.CatalystControllerPOD | |
x86_64-darwin cocogitto | |
aarch64-linux linuxKernel.packages.linux_zen.batman_adv | |
aarch64-darwin postgresqlPackages.tsearch_extras | |
x86_64-linux emacsPackages.helm-org-rifle | |
x86_64-darwin coqPackages.addition-chains | |
x86_64-linux python312Packages.tempest | |
x86_64-darwin postgresql16JitPackages.pg_uuidv7 | |
aarch64-linux tk-8_5 | |
x86_64-darwin xorg.ico | |
x86_64-linux bristol | |
x86_64-darwin python312Packages.securesystemslib | |
x86_64-linux emacsPackages.baff | |
aarch64-darwin emacsPackages.dummyparens | |
x86_64-darwin python311Packages.flask-themes2 | |
aarch64-linux oidentd | |
x86_64-darwin python311Packages.elevate | |
x86_64-linux linuxPackages_6_5_hardened.bpftrace | |
x86_64-darwin haskellPackages.microlens-aeson | |
x86_64-linux llvmPackages_14.libcxxClang | |
aarch64-darwin emacsPackages.ob-browser | |
aarch64-darwin util-linux | |
x86_64-darwin vimPlugins.coc-texlab | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.prl-tools | |
x86_64-darwin vimPlugins.coc-java | |
aarch64-darwin haskellPackages.ktx-codec | |
x86_64-darwin python311Packages.tesla-wall-connector | |
x86_64-linux emacsPackages.helm-lxc | |
x86_64-linux python311Packages.inifile | |
x86_64-linux python311Packages.consonance | |
x86_64-linux python312Packages.types-aiobotocore-mgh | |
aarch64-darwin sieveshell | |
aarch64-linux python312Packages.types-psycopg2 | |
x86_64-darwin haskellPackages.cabal-sign | |
aarch64-darwin emacsPackages.phi-search-dired | |
aarch64-darwin emacsPackages.org-ml | |
aarch64-darwin libsForQt5.qt5.qtremoteobjects | |
x86_64-darwin emacsPackages.treesit-auto | |
aarch64-darwin emacsPackages.company-ycm | |
aarch64-linux gnome.gucharmap | |
aarch64-linux perl536Packages.X11Protocol | |
aarch64-linux python312Packages.types-aiobotocore-pinpoint | |
aarch64-linux wtype | |
x86_64-darwin emacsPackages.kanji-mode | |
x86_64-linux tests.testers.runNixOSTest-example | |
x86_64-darwin aspellDicts.pa | |
aarch64-linux entt | |
x86_64-linux linuxPackages_latest.mbp2018-bridge-drv | |
x86_64-darwin python311Packages.more-itertools | |
aarch64-darwin haskellPackages.git-brunch | |
x86_64-darwin haskellPackages.colock | |
aarch64-linux curlMinimal | |
x86_64-linux python311Packages.volkszaehler | |
x86_64-linux python312Packages.chirpstack-api | |
x86_64-linux atasm | |
aarch64-darwin vimPlugins.renamer-nvim | |
x86_64-linux ttyper | |
x86_64-darwin haskellPackages.parseargs | |
aarch64-linux python311Packages.pyhomepilot | |
aarch64-linux spglib | |
aarch64-linux ncurses | |
aarch64-linux jacktrip | |
aarch64-darwin python311Packages.laspy | |
aarch64-linux python311Packages.pyaehw4a1 | |
x86_64-linux python311Packages.gemfileparser | |
x86_64-linux visualvm | |
x86_64-linux python311Packages.dvc-ssh | |
x86_64-darwin python311Packages.mypy-boto3-personalize | |
x86_64-darwin rubyPackages_3_1.kdl | |
x86_64-linux python312Packages.aiotankerkoenig | |
aarch64-linux haskellPackages.appendmap | |
x86_64-darwin dutree | |
x86_64-darwin python311Packages.zope-location | |
x86_64-linux acr | |
aarch64-linux perl538Packages.LocaleUtilsPlaceholderBabelFish | |
x86_64-linux emacsPackages.recursive-narrow | |
aarch64-linux perl536Packages.TestNoWarnings | |
x86_64-darwin python311Packages.patator | |
x86_64-linux obfs4 | |
aarch64-darwin python311Packages.ftfy | |
aarch64-linux perl538Packages.FunctionParameters | |
x86_64-darwin python311Packages.dash-renderer | |
x86_64-linux rubyPackages.gemoji | |
x86_64-linux python312Packages.nanomsg-python | |
x86_64-darwin haskellPackages.witch | |
x86_64-linux python312Packages.pyvisa-sim | |
x86_64-linux haskellPackages.genvalidity-hspec-persistent | |
x86_64-linux haskellPackages.amazonka-omics | |
x86_64-linux luajitPackages.lua-resty-jwt | |
x86_64-linux osv-detector | |
x86_64-linux psstop | |
aarch64-darwin emacsPackages.coverage | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.rtl8189es | |
x86_64-linux perl538Packages.AuthenKrb5 | |
aarch64-darwin haskellPackages.th-strict-compat | |
aarch64-darwin perl538Packages.autovivification | |
x86_64-linux rubyPackages_3_2.cocoapods-update-if-you-dare | |
aarch64-darwin perl536Packages.IOCaptureOutput | |
aarch64-darwin vimPlugins.vim-kitty-navigator | |
aarch64-linux python312Packages.pyglet | |
x86_64-linux electron_12-bin | |
aarch64-linux lua51Packages.std-normalize | |
x86_64-darwin haskellPackages.bini | |
x86_64-linux emacsPackages.eshell-fixed-prompt | |
aarch64-darwin emacsPackages.deadgrep | |
aarch64-darwin rubyPackages_3_3.rspec-support | |
x86_64-linux avro-cpp | |
x86_64-linux arcanist | |
aarch64-darwin python311Packages.pmdarima | |
aarch64-darwin emacsPackages.rake | |
x86_64-linux autoconf271 | |
x86_64-linux python311Packages.passlib | |
x86_64-linux linuxPackages_4_19_hardened.kvdo | |
x86_64-linux memtier-benchmark | |
aarch64-darwin apacheHttpdPackages.mod_csr | |
aarch64-linux pinentry-emacs | |
x86_64-darwin djview | |
aarch64-linux emacsPackages.theme-changer | |
aarch64-linux flatbuffers | |
x86_64-darwin python312Packages.azure-mgmt-iotcentral | |
x86_64-darwin python311Packages.openai-triton | |
x86_64-darwin emacsPackages.baidu-translate | |
aarch64-darwin python312Packages.aiohttp-basicauth | |
aarch64-linux rubyPackages.jbuilder | |
aarch64-darwin emacsPackages.skewer-reload-stylesheets | |
x86_64-darwin vimPlugins.scss-syntax-vim | |
x86_64-linux python311Packages.libcst | |
aarch64-linux python312Packages.icnsutil | |
aarch64-darwin august | |
aarch64-darwin haskellPackages.lambdabot-misc-plugins | |
aarch64-linux emacsPackages.plsense | |
x86_64-linux perl538Packages.ClassUnload | |
aarch64-linux perl536Packages.LogfileRotate | |
aarch64-darwin emacsPackages.vertica | |
x86_64-darwin chickenPackages_5.chickenEggs.coops | |
x86_64-linux bluewalker | |
aarch64-linux idrisPackages.xhr | |
x86_64-linux haskellPackages.fast-math | |
x86_64-linux linuxKernel.packages.linux_5_4.exfat-nofuse | |
x86_64-linux perl538Packages.FontTTF | |
x86_64-linux perl536Packages.JSONPP | |
x86_64-linux python312Packages.async-upnp-client | |
x86_64-darwin plasma5Packages.qtwebengine | |
x86_64-linux emacsPackages.lastpass | |
x86_64-darwin python311Packages.mypy-boto3-kinesisvideo | |
x86_64-linux linuxPackages_6_5_hardened.tmon | |
aarch64-darwin haskellPackages.dual-tree | |
x86_64-linux osm2pgsql | |
aarch64-darwin turbo | |
x86_64-linux flintlock | |
aarch64-darwin perl538Packages.TestMockClass | |
x86_64-darwin python311Packages.hypothesmith | |
x86_64-linux libsForQt5.kpat | |
aarch64-linux haskellPackages.arithmatic | |
x86_64-darwin perl536Packages.PerlMagick | |
aarch64-darwin emacsPackages.realgud-lldb | |
x86_64-linux gnomeExtensions.input-source-d-bus-interface | |
x86_64-linux linuxKernel.packages.linux_5_10.lenovo-legion-module | |
x86_64-darwin haskellPackages.cql | |
x86_64-linux python311Packages.example-robot-data | |
aarch64-linux haskellPackages.pandoc-crossref | |
x86_64-darwin python311Packages.speaklater3 | |
x86_64-darwin python312Packages.objsize | |
x86_64-linux python312Packages.survey | |
aarch64-darwin haskellPackages.safe-gen | |
aarch64-linux haskellPackages.open-browser | |
x86_64-linux php83Extensions.dba | |
aarch64-linux home-assistant-component-tests.mjpeg | |
aarch64-darwin emacsPackages.shell-here | |
aarch64-linux stone-kingdoms | |
x86_64-darwin terraform-providers.ns1 | |
x86_64-linux ndstool | |
x86_64-linux lua51Packages.rustaceanvim | |
x86_64-linux python311Packages.xstatic-jquery-file-upload | |
x86_64-linux sbclPackages.trivia_dot_balland2006 | |
x86_64-darwin emacsPackages.all-ext | |
aarch64-linux linuxKernel.packages.linux_6_1.rtl88xxau-aircrack | |
x86_64-darwin keepass | |
x86_64-darwin diceware | |
aarch64-linux lua52Packages.stdlib | |
aarch64-darwin python312Packages.pyupdate | |
aarch64-linux emacsPackages.github-browse-file | |
x86_64-darwin haskellPackages.haskell-exp-parser | |
x86_64-darwin python312Packages.modelcif | |
x86_64-linux gnomeExtensions.vpn-toggler | |
aarch64-linux gotools | |
aarch64-linux aspellDicts.et | |
aarch64-linux python312Packages.reorder-python-imports | |
aarch64-linux bacula | |
aarch64-linux slang | |
aarch64-darwin vimPlugins.neoformat | |
x86_64-linux haskellPackages.text-conversions | |
x86_64-darwin regsync | |
aarch64-linux rubyPackages_3_2.slather | |
x86_64-darwin signal-cli | |
aarch64-linux deepin.image-editor | |
aarch64-linux tests.hardeningFlags-gcc.fortify3EnabledEnvEnablesFortify | |
x86_64-linux cloak | |
aarch64-darwin python312Packages.awkward-cpp | |
x86_64-linux linuxPackages_6_5_hardened.evdi | |
aarch64-linux python312Packages.nampa | |
aarch64-darwin mermaid-cli | |
aarch64-linux vimPlugins.vim-hybrid-material | |
x86_64-linux emacsPackages.qt-pro-mode | |
aarch64-linux haskellPackages.hgettext | |
x86_64-linux rime-cli | |
aarch64-darwin mpvScripts.reload | |
aarch64-darwin rubyPackages_3_2.jaro_winkler | |
x86_64-darwin cgreen | |
x86_64-linux threatest | |
x86_64-linux nrfutil | |
aarch64-linux emacsPackages.async-status | |
aarch64-darwin perl536Packages.AlienSDL | |
x86_64-linux vscode-extensions.ms-ceintl.vscode-language-pack-tr | |
x86_64-linux xorg.xlsfonts | |
x86_64-linux aeacus | |
aarch64-linux rubyPackages_3_1.scrypt | |
aarch64-darwin xdot | |
aarch64-linux linuxKernel.packages.linux_6_1.zfsUnstable | |
x86_64-linux emacsPackages.global-tags | |
aarch64-linux haskellPackages.amazonka-pinpoint-email | |
aarch64-linux haskellPackages.hspec-webdriver | |
aarch64-linux rubyPackages_3_2.redcarpet | |
aarch64-darwin plasma5Packages.breeze-icons | |
x86_64-linux python311Packages.proton-keyring-linux | |
x86_64-linux scdl | |
x86_64-darwin terraform-providers.lxd | |
aarch64-darwin go-critic | |
aarch64-linux python311Packages.pyannotate | |
aarch64-darwin python312Packages.jupyter-server-fileid | |
x86_64-linux kak-lsp | |
aarch64-linux python312Packages.flake8-polyfill | |
x86_64-linux libmusicbrainz | |
x86_64-linux dwarf-fortress-packages.dwarf-fortress_0_44_05 | |
aarch64-linux python311Packages.lion-pytorch | |
x86_64-darwin haskellPackages.hint | |
aarch64-darwin python311Packages.wxpython | |
aarch64-darwin hof | |
x86_64-darwin pifpaf | |
x86_64-darwin haskellPackages.flatparse | |
x86_64-linux tree-sitter-grammars.tree-sitter-gdscript | |
x86_64-linux python312Packages.boiboite-opener-framework | |
x86_64-darwin emacsPackages.number-lock | |
aarch64-darwin perl536Packages.DevelFindPerl | |
x86_64-linux mailman-web | |
aarch64-darwin tmux-xpanes | |
aarch64-linux git-series | |
aarch64-darwin libxkbcommon | |
x86_64-linux vimPlugins.lsp_signature-nvim | |
x86_64-linux linuxKernel.packages.linux_5_4.evdi | |
x86_64-darwin aspellDicts.uk | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.hjson | |
x86_64-darwin lua51Packages.compat53 | |
x86_64-darwin matomo_5 | |
x86_64-darwin emacsPackages.narumi | |
x86_64-darwin mpvScripts.mpv-playlistmanager | |
x86_64-linux python312Packages.pythonNamespacesHook | |
aarch64-linux python311Packages.pulumi-aws-native | |
x86_64-linux vscode-extensions.iliazeus.vscode-ansi | |
aarch64-darwin nuraft | |
aarch64-linux vifm-full | |
x86_64-darwin darwin.basic_cmds | |
aarch64-darwin python311Packages.orange-canvas-core | |
aarch64-linux python312Packages.pymitv | |
x86_64-linux haskellPackages.boomerang | |
aarch64-darwin drawpile | |
x86_64-linux python312Packages.jsonstreams | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.xpadneo | |
aarch64-darwin python311Packages.kicad | |
aarch64-darwin bront_fonts | |
aarch64-linux python311Packages.crownstone-sse | |
aarch64-linux jetty_12 | |
aarch64-linux libhandy | |
x86_64-darwin python312Packages.mike | |
x86_64-darwin perl538Packages.ModuleRuntime | |
aarch64-darwin python311Packages.hg-git | |
x86_64-linux xorg.xf86inputvmmouse | |
aarch64-darwin perl536Packages.SerealEncoder | |
x86_64-linux haskellPackages.github-release | |
aarch64-darwin perl538Packages.FileNext | |
aarch64-darwin libatomic_ops | |
x86_64-linux carbon-now-cli | |
aarch64-darwin scraper | |
aarch64-darwin haskellPackages.cold-widow | |
aarch64-darwin haskellPackages.hoauth2 | |
aarch64-linux perl536Packages.MHonArc | |
x86_64-linux bitscope.meter | |
x86_64-linux primesieve | |
x86_64-darwin python311Packages.gpytorch | |
x86_64-linux beauty-line-icon-theme | |
x86_64-darwin emacsPackages.darkokai-theme | |
x86_64-darwin emacsPackages.auto-overlays | |
aarch64-darwin tigervnc | |
x86_64-linux terraform-providers.acme | |
x86_64-linux python311Packages.naturalsort | |
aarch64-linux python312Packages.patch | |
x86_64-linux emacsPackages.org-roam | |
x86_64-darwin haskellPackages.unicode-data-security | |
x86_64-linux pgmodeler | |
aarch64-linux rocmPackages_5.mivisionx | |
x86_64-darwin f1_8 | |
x86_64-linux python311Packages.haversine | |
x86_64-linux luajitPackages.lua-lsp | |
x86_64-darwin perl538Packages.MooXTypesMooseLikeNumeric | |
aarch64-darwin python312Packages.pyfronius | |
x86_64-darwin rnnoise | |
x86_64-darwin rubyPackages_3_1.pango | |
aarch64-linux minecraftServers.vanilla | |
aarch64-darwin python312Packages.executor | |
aarch64-darwin haskellPackages.typst-symbols | |
aarch64-darwin csvlens | |
aarch64-linux python312Packages.django-prometheus | |
aarch64-linux certmgr | |
aarch64-darwin python311Packages.linode | |
x86_64-linux kops | |
aarch64-linux home-assistant-component-tests.vera | |
aarch64-linux hunspellDicts.es_EC | |
aarch64-linux python311Packages.zerorpc | |
aarch64-darwin emacsPackages.helm-unicode | |
aarch64-linux python311Packages.propka | |
x86_64-darwin python312Packages.gssapi | |
x86_64-darwin haskellPackages.hxt-xpath | |
x86_64-darwin sentencepiece | |
x86_64-linux linuxPackages_5_4_hardened.nvidia_x11_beta_open | |
x86_64-linux emacsPackages.diff-ansi | |
x86_64-linux infisical | |
x86_64-linux autocutsel | |
aarch64-linux emacsPackages.gnus-recent | |
x86_64-darwin python312Packages.certbot-dns-google | |
aarch64-darwin mate.mate-menus | |
x86_64-linux libresample | |
x86_64-linux python311Packages.python-frontmatter | |
aarch64-linux lua52Packages.lua-iconv | |
x86_64-darwin chickenPackages_5.chickenEggs.alist-lib | |
aarch64-linux python312Packages.jsonpointer | |
x86_64-linux python312Packages.temperusb | |
x86_64-linux python312Packages.jaraco-net | |
x86_64-darwin emacsPackages.django-snippets | |
aarch64-linux emacsPackages.x-path-walker | |
x86_64-linux hid-listen | |
x86_64-linux python312Packages.pycm | |
aarch64-darwin python312Packages.types-aiobotocore-chime-sdk-media-pipelines | |
aarch64-linux rustfmt | |
x86_64-linux python312Packages.pytest-jupyter | |
aarch64-linux mktorrent | |
x86_64-linux xrgears | |
aarch64-darwin python311Packages.mkdocs-jupyter | |
aarch64-darwin haskellPackages.logging-effect-colors | |
aarch64-darwin xorg.xf86videochips | |
x86_64-linux haskellPackages.data-default-instances-bytestring | |
aarch64-linux vimPlugins.command-t | |
aarch64-darwin vimPlugins.vim-concourse | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.asus-ec-sensors | |
aarch64-darwin libaudec | |
aarch64-darwin emacsPackages.commenter | |
aarch64-darwin arcanist | |
aarch64-linux haskellPackages.uuid-le | |
aarch64-linux ikiwiki | |
aarch64-darwin perl536Packages.TestValgrind | |
aarch64-linux python312Packages.syncer | |
aarch64-darwin perl536Packages.IMAPClient | |
aarch64-linux vimPlugins.neotest-vitest | |
x86_64-darwin emacsPackages.unidecode | |
x86_64-darwin hyprspace | |
x86_64-darwin rubyPackages_3_3.temple | |
x86_64-linux emacsPackages.ox-latex-subfigure | |
x86_64-darwin lesspass-cli | |
aarch64-linux libgtkflow4 | |
x86_64-darwin python311Packages.app-model | |
x86_64-darwin haskellPackages.physics | |
x86_64-darwin emacsPackages.nclip | |
aarch64-darwin python312Packages.krfzf-py | |
aarch64-darwin emacsPackages.mode-line-idle | |
aarch64-linux haskellPackages.trek-lens | |
x86_64-linux python312Packages.logbook | |
x86_64-linux python312Packages.sv-ttk | |
aarch64-darwin plasma-pass | |
aarch64-darwin vimPlugins.styler-nvim | |
x86_64-darwin nomad | |
aarch64-linux python312Packages.pyprecice | |
x86_64-linux gnomeExtensions.simple-workspaces-bar | |
aarch64-linux emacsPackages.ess-r-insert-obj | |
x86_64-darwin idrisPackages.js | |
x86_64-darwin cereal_1_3_2 | |
aarch64-darwin haskellPackages.yampa-test | |
aarch64-linux perl536Packages.NetOpenIDCommon | |
aarch64-linux python311Packages.django-configurations | |
aarch64-linux python311Packages.mypy-boto3-macie | |
x86_64-darwin python312Packages.mkdocs-simple-hooks | |
x86_64-linux python312Packages.pycups | |
x86_64-linux gnome-photos | |
aarch64-linux python311Packages.pox | |
aarch64-linux python311Packages.virtkey | |
x86_64-darwin python312Packages.openerz-api | |
aarch64-darwin rubyPackages_3_2.rspec-expectations | |
aarch64-darwin rubyPackages.glib2 | |
aarch64-linux emacsPackages.beans | |
x86_64-linux haskellPackages.aivika-experiment | |
aarch64-linux unimap | |
aarch64-darwin python312Packages.pydicom-seg | |
x86_64-linux haskellPackages.ABList | |
x86_64-linux home-assistant-component-tests.event | |
x86_64-linux gnomeExtensions.iso8601-ish-clock | |
aarch64-linux haskellPackages.hslua-module-version | |
aarch64-linux idrisPackages.html | |
aarch64-linux python311Packages.types-aiobotocore-memorydb | |
aarch64-linux python312Packages.whoisdomain | |
x86_64-linux qt5.qtmultimedia | |
x86_64-darwin tpm-tools | |
aarch64-linux cudaPackagesGoogle.cutensor_1_4 | |
x86_64-linux adementary-theme | |
x86_64-linux emacsPackages.nerd-icons-ivy-rich | |
x86_64-darwin emacsPackages.curl-to-elisp | |
aarch64-linux haskellPackages.monadic-bang | |
x86_64-darwin python312Packages.sgp4 | |
aarch64-darwin audacity | |
x86_64-linux hunspellDicts.es-ve | |
aarch64-linux home-assistant-component-tests.mqtt_room | |
aarch64-linux linuxPackages_xanmod_stable.tmon | |
x86_64-linux rofi-unwrapped | |
aarch64-linux python312Packages.mypy-boto3-dlm | |
x86_64-linux zig_0_10 | |
x86_64-linux python311Packages.cccolutils | |
aarch64-linux emacsPackages.stickyfunc-enhance | |
x86_64-darwin rubyPackages_3_2.keystone-engine | |
aarch64-linux fmt_10 | |
x86_64-linux tests.cc-wrapper.llvmTests.llvmPackages_12.clang | |
x86_64-darwin emacsPackages.geiser-gambit | |
aarch64-linux vala_0_48 | |
x86_64-linux lua53Packages.dkjson | |
x86_64-linux libint | |
aarch64-linux perl538Packages.FileFindRule | |
x86_64-darwin perl538Packages.MathBaseConvert | |
aarch64-darwin emacsPackages.git-backup-ivy | |
aarch64-linux python311Packages.mdp | |
x86_64-linux emacsPackages.projectile-ripgrep | |
aarch64-darwin python312Packages.django-prometheus | |
aarch64-darwin twitch-cli | |
x86_64-linux aefs | |
aarch64-darwin vimPlugins.embark-vim | |
x86_64-linux hstsparser | |
x86_64-linux perl536Packages.LWPAuthenOAuth | |
x86_64-darwin abcmidi | |
x86_64-darwin haskellPackages.Digit | |
x86_64-linux deepin.dpa-ext-gnomekeyring | |
aarch64-linux python311Packages.onnxconverter-common | |
x86_64-linux python311Packages.pycparser | |
x86_64-linux llpp | |
x86_64-linux emacsPackages.osx-lib | |
aarch64-darwin postgresql16JitPackages.pg_hll | |
x86_64-darwin python311Packages.google-cloud-testutils | |
x86_64-darwin python312Packages.ring-doorbell | |
aarch64-darwin mdbook-open-on-gh | |
x86_64-darwin haskellPackages.serversession-frontend-yesod | |
aarch64-linux perl536Packages.NetOpenIDConsumer | |
x86_64-linux nncp | |
aarch64-darwin python311Packages.pymilvus | |
x86_64-darwin comfortaa | |
aarch64-linux dehydrated | |
aarch64-linux emacsPackages.jedi-direx | |
aarch64-linux quattrocento-sans | |
x86_64-linux pflask | |
x86_64-linux emacsPackages.aas | |
aarch64-linux perl536Packages.EmailAbstract | |
x86_64-linux linuxPackages_4_19_hardened.turbostat | |
aarch64-linux n2 | |
aarch64-linux perl538Packages.GitRepository | |
aarch64-darwin league-of-moveable-type | |
aarch64-linux haskellPackages.range | |
aarch64-darwin vimPlugins.tmux-complete-vim | |
aarch64-linux home-assistant-component-tests.ruuvitag_ble | |
x86_64-linux ffmpeg_5-full | |
aarch64-linux python311Packages.pygnmi | |
aarch64-darwin python312Packages.argon2-cffi | |
x86_64-linux haskellPackages.mail-pool | |
aarch64-darwin rubyPackages_3_3.libxml-ruby | |
x86_64-linux openshift | |
aarch64-darwin python311Packages.pydash | |
aarch64-linux python312Packages.pweave | |
x86_64-darwin perl536Packages.TestVersion | |
x86_64-linux emacsPackages.clues-theme | |
x86_64-linux python312Packages.pyzabbix | |
aarch64-darwin nodejs-slim_18 | |
aarch64-darwin perl536Packages.MooseXTypesPathTiny | |
x86_64-linux rubyPackages_3_3.connection_pool | |
aarch64-linux emacsPackages.web-mode-edit-element | |
x86_64-linux pythonDocs.html.python310 | |
aarch64-darwin python312Packages.pykdl | |
aarch64-darwin emacsPackages.ox-latex-subfigure | |
x86_64-linux perl536Packages.UriGoogleChart | |
x86_64-darwin emacsPackages.epic | |
x86_64-linux check-nwc-health | |
aarch64-linux cantata | |
aarch64-darwin haskellPackages.unipatterns | |
aarch64-darwin matrix-commander | |
aarch64-darwin llvmPackages_9.clangNoCompilerRtWithLibc | |
aarch64-linux python311Packages.cloup | |
x86_64-darwin perl538Packages.ClassC3Componentised | |
aarch64-linux rebazel | |
x86_64-darwin python311Packages.aiolookin | |
aarch64-darwin python312Packages.python-pipedrive | |
aarch64-darwin emacsPackages.ace-jump-zap | |
aarch64-linux python312Packages.flask-limiter | |
aarch64-linux perl538Packages.SignalMask | |
x86_64-darwin openvpn_learnaddress | |
x86_64-linux libindicator-gtk2 | |
aarch64-linux python312Packages.axisregistry | |
aarch64-darwin python312Packages.rsa | |
x86_64-linux python312Packages.py-synologydsm-api | |
aarch64-darwin ammonite_2_13 | |
x86_64-linux haskellPackages.monomer-hagrid | |
aarch64-darwin haskellPackages.fasta | |
aarch64-linux ibus-engines.m17n | |
aarch64-linux cargo-toml-lint | |
aarch64-linux emacsPackages.railscasts-theme | |
x86_64-linux llvmPackages_11.llvm-manpages | |
x86_64-linux links2 | |
x86_64-linux perl536Packages.LocaleTextDomainOOUtil | |
x86_64-linux python312Packages.pydrawise | |
aarch64-darwin postgresql16JitPackages.smlar | |
x86_64-darwin pass-secret-service | |
aarch64-darwin qt6.qtlanguageserver | |
aarch64-darwin luajitPackages.inspect | |
aarch64-darwin plasma5Packages.qt5.qtpim | |
aarch64-linux home-assistant-component-tests.rmvtransport | |
aarch64-darwin python312Packages.mypy-boto3-forecastquery | |
aarch64-linux magma_2_7_2 | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.xkbcommon | |
x86_64-darwin perl536Packages.MooXSingleton | |
x86_64-darwin onlykey-agent | |
aarch64-darwin haskellPackages.tokenizer-monad | |
aarch64-darwin haskellPackages.HDBC-session | |
aarch64-linux emacsPackages.mykie | |
x86_64-linux mailnag | |
aarch64-darwin mdbtools | |
x86_64-darwin idrisPackages.tp | |
x86_64-darwin emacsPackages.recursive-narrow | |
aarch64-darwin python311Packages.python-fontconfig | |
x86_64-linux anup | |
aarch64-darwin python312Packages.types-aiobotocore-docdb-elastic | |
aarch64-linux libsForQt5.qttranslations | |
x86_64-darwin tlrc | |
x86_64-linux home-assistant-component-tests.octoprint | |
aarch64-darwin lua52Packages.gitsigns-nvim | |
aarch64-linux psmisc | |
aarch64-linux rocmPackages_5.llvm.lld | |
x86_64-darwin perl536Packages.ImportInto | |
x86_64-darwin rubyPackages_3_2.formatador | |
x86_64-linux iconpack-jade | |
aarch64-linux emacsPackages.flymake-collection | |
x86_64-linux python311Packages.oslo-config | |
aarch64-darwin perl538Packages.BHooksOPAnnotation | |
x86_64-darwin haskellPackages.GenericPretty | |
x86_64-linux peertube | |
x86_64-linux python312Packages.javaobj-py3 | |
x86_64-linux python311Packages.pytest-sugar | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.Qt5Qml | |
x86_64-darwin rep | |
x86_64-linux coqPackages.mathcomp | |
x86_64-linux perl538Packages.ScalarType | |
aarch64-linux haskellPackages.amazonka-cloudhsmv2 | |
x86_64-linux cryptomator | |
x86_64-darwin python311Packages.ddt | |
aarch64-linux wshowkeys | |
aarch64-linux python312Packages.opensensemap-api | |
x86_64-linux python311Packages.imagecodecs-lite | |
aarch64-linux rubyPackages_3_1.tty-command | |
x86_64-darwin haskellPackages.hslua-objectorientation | |
x86_64-darwin git-cliff | |
x86_64-linux gnome.gnome-screenshot | |
aarch64-linux haskellPackages.logging-facade-syslog | |
aarch64-darwin python311Packages.psygnal | |
x86_64-linux gnomeExtensions.hide-clock | |
x86_64-linux python312Packages.aioazuredevops | |
x86_64-linux lua53Packages.luv | |
aarch64-linux armagetronad-dedicated | |
aarch64-darwin guile-goblins | |
aarch64-darwin python311Packages.setuptools-git | |
aarch64-darwin chafa | |
x86_64-linux as31 | |
aarch64-linux perl536Packages.TestFileShareDir | |
x86_64-darwin haskellPackages.servant-jsonrpc | |
aarch64-linux vimPlugins.neoterm | |
aarch64-linux connman_dmenu | |
x86_64-linux perl538Packages.URIws | |
x86_64-darwin haskellPackages.PyF | |
aarch64-linux gnome.zenity | |
aarch64-linux imapnotify | |
x86_64-darwin emacsPackages.enotify | |
x86_64-darwin python312Packages.pyannote-database | |
x86_64-linux lib2geom | |
x86_64-darwin perl536Packages.EnvSanctify | |
aarch64-linux haskellPackages.pseudo-boolean | |
x86_64-linux drbd | |
x86_64-darwin python312Packages.ed25519-blake2b | |
x86_64-darwin perl536Packages.ListUtilsBy | |
aarch64-linux rubyPackages_3_1.haml | |
aarch64-darwin haskellPackages.dlist-instances | |
aarch64-darwin perl536Packages.BoostGeometryUtils | |
x86_64-linux librealsenseWithCuda | |
aarch64-darwin haskellPackages.netwire | |
aarch64-darwin netdata-go-plugins | |
x86_64-darwin emacsPackages.synonymous | |
x86_64-linux sbclPackages.hu_dot_dwim_dot_stefil | |
aarch64-linux perl536Packages.NetIP | |
aarch64-darwin haskellPackages.example-haskell-project | |
aarch64-darwin yle-dl | |
x86_64-linux vimPlugins.cheatsheet-nvim | |
x86_64-darwin xmlto | |
x86_64-darwin raspa | |
x86_64-linux haskellPackages.tf-random | |
aarch64-darwin haskellPackages.gitlib-sample | |
aarch64-darwin nbtscanner | |
x86_64-linux emacsPackages.pyimport | |
aarch64-linux psi-plus | |
x86_64-darwin avalanchego | |
aarch64-linux python311Packages.types-aiobotocore-secretsmanager | |
aarch64-darwin yaml2json | |
x86_64-linux libxls | |
x86_64-darwin git-backup | |
aarch64-linux perl538Packages.ModuleImplementation | |
aarch64-linux python311Packages.watchgod | |
aarch64-linux shikane | |
aarch64-darwin python311Packages.anitopy | |
aarch64-linux haskellPackages.gemini-exports | |
aarch64-linux foxotron | |
aarch64-darwin python311Packages.types-aiobotocore-marketplace-catalog | |
x86_64-linux stormlib | |
x86_64-darwin haskellPackages.versions | |
aarch64-linux python312Packages.pytest-socket | |
x86_64-linux perl538Packages.WWWYoutubeViewer | |
x86_64-darwin python312Packages.imap-tools | |
aarch64-linux python312Packages.mypy-boto3-meteringmarketplace | |
x86_64-darwin google-cursor | |
aarch64-linux perl538Packages.MP3Info | |
aarch64-linux perl538Packages.TclpTk | |
x86_64-linux autokey | |
aarch64-linux haskellPackages.possibly | |
aarch64-linux prism | |
x86_64-linux idrisPackages.sdl | |
x86_64-linux perl536Packages.LinguaENWords2Nums | |
aarch64-linux python312Packages.azure-mgmt-powerbiembedded | |
x86_64-linux teamspeak_server | |
x86_64-darwin vimPlugins.vim-addon-sql | |
x86_64-linux haskellPackages.amazonka-rolesanywhere | |
aarch64-linux perl538Packages.RESTUtils | |
x86_64-linux linuxPackages_lqx.vhba | |
x86_64-darwin crawl | |
x86_64-linux emacsPackages.ob-napkin | |
aarch64-darwin perl538Packages.IOTieCombine | |
x86_64-linux rshijack | |
aarch64-linux lua53Packages.lrexlib-pcre | |
x86_64-darwin perl536Packages.TryTinyByClass | |
x86_64-linux _7kaa | |
x86_64-linux linuxKernel.packages.linux_lqx.openafs_1_8 | |
x86_64-darwin python311Packages.mypy-boto3-controltower | |
x86_64-darwin m17-cxx-demod | |
aarch64-darwin yuzuPackages.qtwebchannel | |
aarch64-linux python312Packages.osc | |
x86_64-linux python311Packages.speaklater | |
aarch64-linux python312Packages.xmltodict | |
aarch64-linux lua53Packages.rest-nvim | |
x86_64-linux qadwaitadecorations | |
aarch64-linux haskellPackages.universum | |
x86_64-darwin lemon-graph | |
aarch64-linux hans | |
x86_64-darwin haskellPackages.rerebase | |
aarch64-darwin python311Packages.dnfile | |
aarch64-darwin perl538Packages.Cairo | |
aarch64-darwin terminal-parrot | |
x86_64-darwin faust2 | |
aarch64-darwin q-text-as-data | |
x86_64-darwin perl536Packages.ParamsClassify | |
aarch64-linux emacsPackages.nix-mode | |
x86_64-linux haskellPackages.users | |
aarch64-linux haskellPackages.hash-tree | |
aarch64-linux python311Packages.drivelib | |
aarch64-darwin haskellPackages.genvalidity-persistent | |
aarch64-darwin emacsPackages.boxquote | |
x86_64-darwin libspecbleach | |
aarch64-linux eunomia | |
x86_64-darwin gotools | |
aarch64-darwin python311Packages.mecab-python3 | |
aarch64-darwin perl538Packages.CryptPKCS10 | |
x86_64-linux rubyPackages_3_1.uri | |
x86_64-darwin haskellPackages.boardgame | |
x86_64-linux rubyPackages_3_1.cocoapods-deploy | |
x86_64-darwin haskellPackages.OpenAL | |
aarch64-darwin haskellPackages.wai-rate-limit | |
x86_64-darwin haskellPackages.system-error | |
x86_64-linux python312Packages.binary | |
x86_64-linux linuxPackages_xanmod.systemtap | |
aarch64-darwin rdedup | |
x86_64-linux rubyPackages_3_3.forwardable-extended | |
x86_64-linux luajitPackages.fluent | |
aarch64-linux python311Packages.fasteners | |
x86_64-darwin python312Packages.labelbox | |
aarch64-linux qt6Packages.qtcharts | |
x86_64-linux home-assistant-component-tests.logger | |
aarch64-darwin xmlroff | |
aarch64-linux perl538Packages.MustacheSimple | |
aarch64-darwin haskellPackages.ghc-typelits-extra | |
x86_64-linux haskellPackages.opentheory-byte | |
aarch64-darwin nix-search-cli | |
x86_64-darwin python312Packages.keyrings-google-artifactregistry-auth | |
x86_64-linux linuxPackages_6_6_hardened.kvdo | |
x86_64-darwin perl538Packages.RegexpTrie | |
x86_64-darwin haskellPackages.amazonka-mediatailor | |
x86_64-darwin python311Packages.execnb | |
x86_64-linux python312Packages.mypy-boto3-rekognition | |
x86_64-linux emacsPackages.sotlisp | |
x86_64-darwin xsecurelock | |
x86_64-darwin chickenPackages_5.chickenEggs.begin-syntax | |
aarch64-linux apacheHttpdPackages.mod_ca | |
aarch64-darwin python312Packages.django-taggit | |
aarch64-darwin haskellPackages.amazonka-sagemaker | |
x86_64-linux ifm | |
aarch64-linux piper | |
x86_64-darwin aws-c-io | |
x86_64-darwin chickenPackages_5.chickenEggs.rss | |
x86_64-linux python312Packages.apispec | |
aarch64-darwin emacsPackages.keycast | |
x86_64-darwin plasma-overdose-kde-theme | |
aarch64-linux cmusfm | |
x86_64-linux perl538Packages.ConvertUU | |
x86_64-linux haskellPackages.prettyprinter-ansi-terminal | |
x86_64-linux linuxPackages.stdenv | |
aarch64-darwin python312Packages.openant | |
x86_64-linux photon-rss | |
x86_64-darwin emacsPackages.flycheck-cstyle | |
x86_64-darwin haskellPackages.effectful-plugin | |
x86_64-darwin xorg.imake | |
aarch64-linux mariadb_1011 | |
x86_64-darwin emacsPackages.counsel-toki | |
aarch64-linux linuxKernel.packages.linux_6_6.rtl8821au | |
x86_64-linux rubyPackages.pg | |
aarch64-linux libmicrodns | |
aarch64-darwin simpleBuildTool | |
x86_64-darwin notepad-next | |
aarch64-linux rubyPackages.unicode-blocks | |
x86_64-linux python311Packages.meshlabxml | |
x86_64-darwin mdbook-emojicodes | |
x86_64-darwin python311Packages.aocd | |
aarch64-linux commonsDaemon | |
aarch64-darwin python312Packages.ripe-atlas-sagan | |
aarch64-linux platformio | |
x86_64-darwin postgresqlJitPackages.smlar | |
x86_64-darwin python311Packages.junit2html | |
x86_64-darwin python312Packages.luddite | |
x86_64-linux cadaver | |
x86_64-linux postgresql15JitPackages.temporal_tables | |
aarch64-linux haskellPackages.symmetric-properties | |
x86_64-linux mimir | |
x86_64-darwin python312Packages.flake8-polyfill | |
x86_64-linux terraform-providers.digitalocean | |
x86_64-darwin perl538Packages.OpenGL | |
x86_64-darwin haskellPackages.aws-lambda-haskell-runtime | |
aarch64-linux httplib | |
aarch64-linux perl536Packages.DigestHMAC | |
aarch64-linux csmith | |
x86_64-linux chickenPackages_5.chickenEggs.address-info | |
x86_64-linux libpqxx_6 | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.nvidia_x11 | |
x86_64-linux pari | |
aarch64-darwin python311Packages.deid | |
aarch64-linux haskellPackages.ConsStream | |
aarch64-linux otel-cli | |
aarch64-darwin cargo-run-bin | |
aarch64-darwin vimPlugins.vim-sensible | |
aarch64-linux yasr | |
aarch64-darwin perl538Packages.CatalystPluginAuthorizationACL | |
x86_64-linux perl538Packages.AWSSignature4 | |
x86_64-darwin emacsPackages.project-tasks | |
x86_64-linux gojsontoyaml | |
aarch64-linux python312Packages.ormar | |
x86_64-linux haskellPackages.iso639 | |
aarch64-linux emacsPackages.ssh | |
aarch64-darwin sqlpage | |
x86_64-linux linuxKernel.packages.linux_6_5_hardened.tmon | |
x86_64-linux ecopcr | |
aarch64-linux postgresql15JitPackages.pg_hll | |
x86_64-linux appflowy | |
aarch64-darwin python311Packages.drivelib | |
x86_64-darwin emacsPackages.telepathy | |
x86_64-darwin python312Packages.pythonocc-core | |
x86_64-linux haskellPackages.tomato-rubato-openal | |
aarch64-linux python312Packages.bitcoinlib | |
aarch64-linux swaglyrics | |
x86_64-darwin rubyPackages_3_2.rchardet | |
aarch64-linux cargo-machete | |
x86_64-linux collectd-data | |
x86_64-linux emacsPackages.xwwp | |
aarch64-darwin nuked-md | |
x86_64-linux openrgb-plugin-effects | |
x86_64-linux perl538Packages.EmailReply | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.cryptodev | |
aarch64-linux prometheus-minio-exporter | |
aarch64-linux rubyPackages_3_2.jekyll-redirect-from | |
aarch64-linux perl538Packages.libintl-perl | |
aarch64-linux xorg.xkbprint | |
aarch64-darwin perl538Packages.SymbolGet | |
aarch64-linux python312Packages.pylgnetcast | |
aarch64-linux jasp-desktop | |
x86_64-linux vimPlugins.zk-nvim | |
x86_64-darwin soapysdr-with-plugins | |
aarch64-darwin python312Packages.spglib | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.pktgen | |
x86_64-darwin python311Packages.losant-rest | |
aarch64-linux chickenPackages_5.chickenEggs.tiny-prolog | |
x86_64-darwin postgresql15Packages.pg_net | |
x86_64-linux clonehero | |
x86_64-darwin python311Packages.doctest-ignore-unicode | |
aarch64-darwin gromacsPlumed | |
aarch64-linux emacsPackages.wonderland | |
x86_64-linux perl538Packages.HTTPHeadersFast | |
x86_64-linux python312Packages.lima | |
x86_64-linux hunspellDicts.es-any | |
x86_64-linux home-assistant-component-tests.rainbird | |
x86_64-darwin lua51Packages.luaposix | |
aarch64-darwin rubyPackages_3_2.bigdecimal | |
aarch64-darwin haskellPackages.aos-signature | |
aarch64-darwin clang_12 | |
x86_64-linux haskellPackages.inc-ref | |
x86_64-linux shell-genie | |
aarch64-linux rtptools | |
x86_64-linux emacsPackages.ruby-extra-highlight | |
x86_64-darwin haskellPackages.hls-refactor-plugin | |
x86_64-linux haskellPackages.gpio | |
x86_64-linux linux_logo | |
aarch64-darwin perl538Packages.ModuleScanDeps | |
x86_64-darwin python312Packages.python-awair | |
x86_64-darwin haskellPackages.extra | |
x86_64-linux home-assistant-component-tests.microsoft_face | |
aarch64-darwin haskellPackages.pipes-text | |
x86_64-linux python311Packages.laspy | |
aarch64-linux pnmixer | |
aarch64-linux python311Packages.persisting-theory | |
aarch64-darwin haskellPackages.special-values | |
aarch64-linux python312Packages.passlib | |
aarch64-darwin gospider | |
x86_64-linux vimPlugins.jellybeans-nvim | |
aarch64-darwin emacsPackages.boa-mode | |
aarch64-linux haskellPackages.base16-bytestring | |
aarch64-linux guile-json | |
x86_64-darwin emacsPackages.shell-command-x | |
x86_64-linux igraph | |
aarch64-darwin python311Packages.flask | |
aarch64-linux home-assistant-component-tests.local_ip | |
x86_64-linux postlight-parser | |
aarch64-linux python312Packages.pyvmomi | |
aarch64-linux linuxPackages_latest.gasket | |
x86_64-darwin python311Packages.yaspin | |
aarch64-darwin python311Packages.python-ipware | |
x86_64-linux xxh | |
x86_64-linux linuxPackages_xanmod_stable.intel-speed-select | |
aarch64-darwin rubyPackages_3_1.simplecov | |
aarch64-linux python311Packages.pycomposefile | |
x86_64-linux python312Packages.openllm-core | |
aarch64-linux python311Packages.linode | |
aarch64-darwin emacsPackages.csv | |
aarch64-linux linuxKernel.packages.linux_6_5_hardened.jool | |
x86_64-linux haskellPackages.faker | |
aarch64-darwin haskellPackages.ghc-server | |
x86_64-darwin python311Packages.python-swiftclient | |
x86_64-linux python311Packages.license-expression | |
x86_64-linux python312Packages.h5py | |
aarch64-linux xorg.xkbutils | |
x86_64-linux python312Packages.apscheduler | |
x86_64-linux _2bwm | |
x86_64-linux linuxPackages_xanmod_stable.facetimehd | |
x86_64-linux python312Packages.pcodedmp | |
aarch64-darwin emacsPackages.home-end | |
x86_64-linux wsl-vpnkit | |
x86_64-linux python312Packages.flask-paranoid | |
aarch64-darwin xvidcore | |
x86_64-darwin oh-my-zsh | |
aarch64-darwin wio | |
aarch64-linux emacsPackages.seethru | |
x86_64-linux haskellPackages.amazonka-waf | |
aarch64-linux python311Packages.types-aiobotocore-route53resolver | |
aarch64-linux radicale3 | |
x86_64-linux python312Packages.container-inspector | |
aarch64-linux python312Packages.zstd | |
aarch64-linux python312Packages.nvdlib | |
aarch64-linux emacsPackages.markless | |
aarch64-linux emacsPackages.org-ai | |
aarch64-linux haskellPackages.effectful-plugin | |
aarch64-linux python311Packages.datauri | |
x86_64-darwin perl536Packages.BarcodeZBar | |
x86_64-linux aspellDicts.az | |
x86_64-linux emacsPackages.ob-kotlin | |
aarch64-linux kodi-gbm | |
x86_64-darwin python312Packages.types-aiobotocore-voice-id | |
aarch64-darwin chickenPackages_5.chickenEggs.advice | |
aarch64-linux emacsPackages.auctex-lua | |
aarch64-darwin emacsPackages.ztree | |
aarch64-linux perl536Packages.TermReadLineTTYtter | |
x86_64-linux home-assistant-component-tests.folder_watcher | |
aarch64-darwin vdmfec | |
x86_64-linux haskellPackages.lambda-calculus-interpreter | |
x86_64-darwin proton-caller | |
aarch64-linux emacsPackages.cbor | |
aarch64-darwin interactsh | |
x86_64-darwin python311Packages.sly | |
x86_64-linux haskellPackages.unity-testresult-parser | |
x86_64-linux haskellPackages.union-color | |
x86_64-linux python311Packages.dash-table | |
x86_64-darwin nix-pin | |
x86_64-darwin emacsPackages.dash-functional | |
aarch64-darwin emacsPackages.merlin-company | |
x86_64-linux libopenmpt-modplug | |
aarch64-darwin haskellPackages.hls-splice-plugin | |
x86_64-linux haskellPackages.reflection-without-remorse | |
aarch64-darwin haskellPackages.newtype-generics | |
x86_64-darwin postgresql14JitPackages.pgtap | |
aarch64-darwin python311Packages.pytest-asyncio | |
x86_64-darwin python311Packages.aiobafi6 | |
aarch64-linux python312Packages.google-cloud-runtimeconfig | |
x86_64-linux libmpdclient | |
x86_64-linux home-assistant-component-tests.timer | |
aarch64-linux python311Packages.pytest-httpbin | |
x86_64-darwin haskell.packages.ghc92.haskell-language-server | |
x86_64-linux maxlib | |
aarch64-linux postgresqlPackages.pgaudit | |
x86_64-linux haskellPackages.trifecta | |
aarch64-darwin perl536Packages.MojoIOLoopForkCall | |
aarch64-linux python312Packages.python-axolotl-curve25519 | |
aarch64-linux libsForQt5.kdecoration | |
aarch64-darwin apacheHttpd | |
x86_64-darwin python312Packages.types-aiobotocore-robomaker | |
aarch64-linux cinnamon.nemo-fileroller | |
x86_64-darwin idrisPackages.bifunctors | |
x86_64-linux python312Packages.omorfi | |
x86_64-darwin postgresql13Packages.pgvector | |
x86_64-linux perl536Packages.MojoliciousPluginTemplateToolkit | |
aarch64-darwin shfm | |
x86_64-darwin haskellPackages.tabular | |
x86_64-linux haskellPackages.gi-dbusmenugtk3 | |
x86_64-darwin python311Packages.pproxy | |
x86_64-linux llvmPackages_16.libcxxabi | |
aarch64-linux perl536Packages.TestPortabilityFiles | |
aarch64-linux haskellPackages.with-location | |
x86_64-linux linuxKernel.kernels.linux_libre | |
x86_64-darwin haskellPackages.test-framework-program | |
x86_64-linux lua52Packages.toml-edit | |
aarch64-linux home-assistant-component-tests.flic | |
x86_64-linux lua52Packages.luasql-sqlite3 | |
aarch64-linux python312Packages.libpyvivotek | |
aarch64-linux emacsPackages.free-keys | |
aarch64-linux chickenPackages_5.chickenEggs.cjson | |
x86_64-linux qutebrowser-qt5 | |
x86_64-linux vimPlugins.restore-view-vim | |
aarch64-darwin chickenPackages_5.chickenEggs.json-utils | |
x86_64-darwin python312Packages.id | |
aarch64-linux fftwSinglePrec | |
x86_64-linux home-assistant-component-tests.apprise | |
x86_64-darwin python311Packages.sniffio | |
aarch64-linux perl536Packages.AstroFITSHeader | |
x86_64-darwin python311Packages.scikit-posthocs | |
x86_64-linux opengrm-ngram | |
aarch64-linux python311Packages.mortgage | |
x86_64-linux python312Packages.readlike | |
x86_64-linux python311Packages.truststore | |
x86_64-linux lineselect | |
aarch64-darwin cloud-custodian | |
aarch64-darwin kodiPackages.pvr-iptvsimple | |
aarch64-darwin mill | |
x86_64-linux perl536Packages.TemplatePluginClass | |
aarch64-linux python311Packages.pybloom-live | |
aarch64-darwin python312Packages.threadloop | |
aarch64-linux linuxPackages_4_19_hardened.trelay | |
x86_64-linux perl536Packages.TextGlob | |
aarch64-linux haskellPackages.ip | |
x86_64-linux rocmPackages_5.hipcc | |
x86_64-linux linuxPackages_5_4_hardened.zfs_2_1 | |
aarch64-darwin python312Packages.liblzfse | |
aarch64-linux vimPlugins.coc-cmake | |
x86_64-linux emacsPackages.robot-log | |
x86_64-linux gtk-engine-murrine | |
x86_64-darwin emacsPackages.ivy-todo | |
x86_64-linux python312Packages.retry | |
x86_64-linux vimPlugins.vim-bepoptimist | |
aarch64-darwin python312Packages.azure-mgmt-recoveryservicesbackup | |
aarch64-darwin python312Packages.mypy-boto3-iotthingsgraph | |
aarch64-linux gnomecast | |
aarch64-darwin haskellPackages.abc-puzzle | |
aarch64-darwin vimPlugins.scrollbar-nvim | |
aarch64-darwin haskellPackages.haskell-modbus | |
x86_64-linux powerline-rs | |
aarch64-linux python312Packages.twitch-python | |
aarch64-darwin python312Packages.voluptuous-serialize | |
x86_64-darwin python311Packages.mypy-boto3-cognito-idp | |
aarch64-darwin vimPlugins.vim-mucomplete | |
x86_64-linux python311Packages.sphinxcontrib-excel-table | |
aarch64-linux emacsPackages.point-stack | |
aarch64-linux python312Packages.contourpy | |
aarch64-linux diskrsync | |
x86_64-linux python312Packages.userpath | |
x86_64-darwin hunspellDicts.es-uy | |
x86_64-linux rubyPackages_3_1.snmp | |
aarch64-linux picotool | |
aarch64-darwin dsq | |
x86_64-linux emacsPackages.mood-line | |
aarch64-linux perl538Packages.DataSectionSimple | |
aarch64-darwin darwin.discrete-scroll | |
aarch64-linux immer | |
x86_64-darwin python312Packages.webrtc-noise-gain | |
x86_64-darwin python312Packages.uproot | |
aarch64-darwin emacsPackages.gf | |
x86_64-linux linuxKernel.packages.linux_6_7_hardened.nvidia_x11_vulkan_beta | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.r | |
aarch64-linux linuxPackages_latest-libre.acpi_call | |
x86_64-darwin synergyWithoutGUI | |
aarch64-linux gst_all_1.gst-vaapi | |
x86_64-linux postgresql14Packages.pgroonga | |
x86_64-darwin python311Packages.acoustics | |
aarch64-darwin haskellPackages.partial-uri | |
x86_64-darwin alt-tab-macos | |
x86_64-linux emacsPackages.ouroboros | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.capnp | |
aarch64-darwin python311Packages.sentencepiece | |
aarch64-linux hspell | |
x86_64-darwin haskellPackages.ihaskell-widgets | |
x86_64-darwin pipreqs | |
aarch64-darwin perl536Packages.SpreadsheetXLSX | |
x86_64-linux linuxKernel.packages.linux_6_7.mwprocapture | |
x86_64-darwin vimPlugins.vim-ipython | |
x86_64-darwin python312Packages.htmlmin | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.zfsStable | |
x86_64-linux haskellPackages.sha1 | |
aarch64-darwin python312Packages.beautifultable | |
x86_64-linux perl538Packages.DigestPerlMD5 | |
x86_64-linux haskellPackages.webex-teams-api | |
x86_64-darwin python311Packages.ripser | |
x86_64-linux python312Packages.advocate | |
x86_64-darwin python312Packages.model-bakery | |
aarch64-linux python311Packages.eigenpy | |
aarch64-darwin emacsPackages.kaesar-file | |
aarch64-darwin emacsPackages.undo-fu-session | |
x86_64-darwin emacsPackages.py-isort | |
x86_64-darwin python311Packages.azure-mgmt-extendedlocation | |
x86_64-linux emacsPackages.conllu-mode | |
aarch64-darwin python312Packages.traitsui | |
x86_64-darwin sox | |
aarch64-darwin perl536Packages.DataDump | |
x86_64-darwin postgresql12JitPackages.pg_cron | |
x86_64-darwin lua52Packages.say | |
x86_64-linux minixml | |
aarch64-linux python312Packages.pytest-random-order | |
aarch64-darwin python311Packages.urlpy | |
aarch64-linux python312Packages.lit | |
aarch64-darwin emacsPackages.leaf-convert | |
x86_64-linux haskellPackages.rolling-queue | |
x86_64-darwin irrd | |
aarch64-linux python312Packages.directv | |
aarch64-darwin haskellPackages.amazonka-workspaces-web | |
aarch64-darwin python312Packages.furo | |
aarch64-linux vimPlugins.telescope-z-nvim | |
x86_64-darwin spnavcfg | |
x86_64-linux emptty | |
x86_64-linux python312Packages.munkres | |
aarch64-linux theLoungePlugins.themes.bdefault | |
aarch64-darwin python312Packages.laspy | |
x86_64-darwin python312Packages.mediafire-dl | |
aarch64-linux linuxKernel.packages.linux_4_19.can-isotp | |
x86_64-linux emacsPackages.http-twiddle | |
x86_64-linux libsForQt5.fcitx5-qt | |
x86_64-darwin perl536Packages.LocaleMaketextFuzzy | |
aarch64-linux perl536Packages.TemplatePluginJavaScript | |
x86_64-linux linuxPackages_6_5_hardened.digimend | |
x86_64-linux python311Packages.orvibo | |
x86_64-darwin emacsPackages.magit-stgit | |
x86_64-linux haskellPackages.blaze-bootstrap | |
x86_64-darwin emacsPackages.acm-terminal | |
x86_64-linux kns | |
aarch64-darwin rubyPackages_3_1.typhoeus | |
x86_64-linux paperoni | |
aarch64-linux haskellPackages.stack | |
aarch64-linux emacsPackages.flymake-jslint | |
x86_64-darwin alerta | |
x86_64-linux to-html | |
aarch64-darwin rst2html5 | |
x86_64-darwin haskellPackages.dot2graphml | |
aarch64-linux haskellPackages.opentheory-probability | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.lkrg | |
aarch64-linux perl536Packages.AppCLI | |
x86_64-darwin stxxl | |
x86_64-darwin netbird-ui | |
x86_64-linux python312Packages.mypy-boto3-timestream-query | |
aarch64-darwin texliveBasic | |
x86_64-linux emacsPackages.xo | |
x86_64-linux haskellPackages.monad-resumption | |
x86_64-darwin python312Packages.ujson | |
aarch64-darwin openbabel2 | |
aarch64-linux perl538Packages.AlgorithmCheckDigits | |
aarch64-darwin python312Packages.hkdf | |
aarch64-darwin python312Packages.aiowaqi | |
aarch64-linux rocmPackages_5.hipify | |
aarch64-linux pantheon.wingpanel-indicator-notifications | |
x86_64-linux lua54Packages.serpent | |
x86_64-linux rpl | |
x86_64-linux darwin.cctools | |
x86_64-linux prometheus-pve-exporter | |
x86_64-darwin haskellPackages.uu-parsinglib | |
x86_64-linux emacsPackages.sunburn-theme | |
x86_64-darwin gci | |
aarch64-linux pijuice | |
x86_64-darwin perl538Packages.ZonemasterEngine | |
aarch64-linux emacsPackages.rimero-theme | |
aarch64-linux rocmPackages_5.hipcc | |
aarch64-linux alsa-plugins | |
x86_64-darwin emacsPackages.ido-sort-mtime | |
x86_64-linux haskellPackages.histogram-fill | |
aarch64-linux home-assistant-component-tests.fints | |
aarch64-linux python311Packages.osmnx | |
aarch64-linux home-assistant-component-tests.screenlogic | |
aarch64-darwin python311Packages.biplist | |
aarch64-darwin perl538Packages.MojoliciousPluginTextExceptions | |
aarch64-darwin emacsPackages.solidity-mode | |
x86_64-linux linuxKernel.packages.linux_latest_libre.intel-speed-select | |
x86_64-darwin python311Packages.types-aiobotocore-migrationhub-config | |
x86_64-darwin python312Packages.netio | |
aarch64-darwin python311Packages.gflanguages | |
aarch64-darwin python311Packages.samsungtvws | |
x86_64-linux python311Packages.pycomfoconnect | |
x86_64-linux python311Packages.ha-mqtt-discoverable | |
x86_64-linux zigpy-cli | |
aarch64-darwin python312Packages.types-aiobotocore-scheduler | |
x86_64-darwin arpoison | |
aarch64-linux emacsPackages.yari | |
aarch64-linux python312Packages.zerorpc | |
x86_64-linux python311Packages.mercantile | |
x86_64-linux emacsPackages.dired-collapse | |
x86_64-linux tests.hardeningFlags-gcc.stackProtectorStdenvUnsupp | |
aarch64-darwin haskellPackages.persistent-migration | |
aarch64-linux python311Packages.mypy-boto3-ivs-realtime | |
aarch64-darwin python312Packages.pyavm | |
aarch64-linux perl536Packages.vidir | |
x86_64-darwin emacsPackages.archive-rpm | |
aarch64-linux haskellPackages.rfc5051 | |
x86_64-linux python312Packages.bt-proximity | |
x86_64-linux haskellPackages.genvalidity-hspec-hashable | |
x86_64-darwin waf | |
aarch64-darwin python311Packages.mrjob | |
x86_64-linux haskellPackages.stb-truetype | |
x86_64-linux reversal-icon-theme | |
aarch64-linux krakenx | |
x86_64-darwin haskellPackages.jalaali | |
x86_64-linux butler | |
aarch64-linux rubyPackages.hitimes | |
x86_64-linux haskellPackages.static-hash | |
x86_64-darwin vimPlugins.nvim-FeMaco-lua | |
x86_64-darwin gerbv | |
x86_64-linux python311Packages.mplhep | |
aarch64-linux vimPlugins.neosnippet-vim | |
x86_64-linux python311Packages.renault-api | |
aarch64-darwin emacsPackages.tj3-mode | |
x86_64-darwin gerbil-unstable | |
aarch64-linux python311Packages.pytest-spec | |
x86_64-linux python311Packages.telfhash | |
x86_64-linux perl536Packages.ModuleManifest | |
aarch64-linux echidna | |
aarch64-linux python312Packages.pylzma | |
x86_64-darwin reveal-md | |
x86_64-darwin opencv4WithoutCuda | |
aarch64-darwin python311Packages.arc4 | |
x86_64-linux emacsPackages.vulpea | |
x86_64-linux fatcat | |
x86_64-linux pkgconf-unwrapped | |
x86_64-linux linuxKernel.packages.linux_5_4.kvdo | |
aarch64-darwin chickenPackages_5.chickenEggs.oauth | |
x86_64-linux clipman | |
x86_64-darwin python312Packages.tblib | |
aarch64-linux linuxPackages.rtw88 | |
aarch64-darwin prometheus-aws-s3-exporter | |
x86_64-linux perl536Packages.RegexpAssemble | |
x86_64-darwin tomato-c | |
aarch64-darwin emacsPackages.dpkg-dev-el | |
x86_64-darwin python311Packages.typecode | |
x86_64-darwin python312Packages.sabyenc | |
x86_64-darwin python312Packages.extruct | |
x86_64-darwin python311Packages.greenlet | |
aarch64-linux haskellPackages.dependent-map | |
x86_64-darwin emacsPackages.jedi-core | |
x86_64-linux emacsPackages.helm-rubygems-local | |
x86_64-darwin emacsPackages.icsql | |
x86_64-linux haskellPackages.nanospec | |
aarch64-linux tinygo | |
aarch64-darwin python311Packages.meross-iot | |
x86_64-linux emacsPackages.company-lean | |
aarch64-linux haskellPackages.tw | |
x86_64-darwin python311Packages.fastparquet | |
x86_64-linux perl536Packages.TextReform | |
x86_64-darwin emacsPackages.fancy-dabbrev | |
x86_64-linux haskellPackages.termbox-bindings-hs | |
aarch64-linux age-plugin-yubikey | |
aarch64-darwin python311Packages.wasmer-compiler-singlepass | |
aarch64-darwin haskellPackages.streaming-commons | |
aarch64-darwin yubikey-agent | |
aarch64-linux license-scanner | |
x86_64-darwin haskellPackages.password-types | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.rtl88xxau-aircrack | |
aarch64-linux haskellPackages.elm-init | |
x86_64-linux emacsPackages.eink-theme | |
aarch64-linux emacsPackages.obfusurl | |
aarch64-linux linuxKernel.packages.linux_xanmod.nvidia_x11_production_open | |
aarch64-darwin rubyPackages_3_1.cocoapods-keys | |
aarch64-linux emacsPackages.calibredb | |
x86_64-darwin python311Packages.sphinx-thebe | |
aarch64-linux emacsPackages.django-mode | |
x86_64-linux python311Packages.python-engineio | |
aarch64-darwin python312Packages.pydsdl | |
x86_64-darwin perl538Packages.IPCSystemSimple | |
aarch64-darwin babl | |
aarch64-darwin butler | |
x86_64-darwin haskellPackages.control-monad-loop | |
aarch64-linux python312Packages.littleutils | |
x86_64-darwin retroarch-joypad-autoconfig | |
aarch64-linux emacsPackages.clojure-essential-ref | |
x86_64-darwin linenoise-ng | |
x86_64-linux luaPackages.inspect | |
x86_64-linux nbxplorer | |
aarch64-darwin haskellPackages.g4ip-prover | |
x86_64-linux comic-relief | |
aarch64-darwin llvmPackages_11.llvm-manpages | |
x86_64-linux guardian-agent | |
x86_64-darwin python312Packages.wagtail | |
x86_64-darwin darwin.CF | |
x86_64-linux popl | |
x86_64-linux perl538Packages.MathBigInt | |
x86_64-linux python312Packages.aiormq | |
aarch64-darwin openmvs | |
aarch64-darwin python311Packages.aioqsw | |
x86_64-linux iroh | |
aarch64-linux tex-match | |
x86_64-linux python311Packages.dbt-redshift | |
aarch64-linux onnxruntime | |
x86_64-darwin perl538Packages.CarpClan | |
x86_64-linux python312Packages.abjad | |
x86_64-darwin perl536Packages.TemplateTimer | |
aarch64-linux python311Packages.pyvex | |
aarch64-linux haskellPackages.casa-client | |
aarch64-linux python311Packages.pytomlpp | |
x86_64-darwin python312Packages.ots-python | |
aarch64-darwin knightos-patchrom | |
x86_64-linux python312Packages.blockfrost-python | |
x86_64-linux emacsPackages.ponylang-mode | |
x86_64-darwin emacsPackages.fullframe | |
aarch64-darwin haskellPackages.binaryen | |
x86_64-linux chickenPackages_5.chickenEggs.ssql | |
x86_64-linux python311Packages.green | |
x86_64-linux emacsPackages.auto-sort-mode | |
x86_64-linux python311Packages.devpi-common | |
aarch64-darwin hledger-ui | |
x86_64-darwin python311Packages.idasen | |
x86_64-linux emacsPackages.age | |
aarch64-linux rubyPackages_3_3.bacon | |
x86_64-linux passage | |
x86_64-darwin rubyPackages_3_3.prettier_print | |
x86_64-linux linuxPackages_zen.system76-scheduler | |
aarch64-linux python311Packages.pytest-grpc | |
aarch64-darwin python312Packages.django-phonenumber-field | |
x86_64-darwin mjpegtoolsFull | |
x86_64-linux emacsPackages.vue-mode | |
x86_64-linux emacsPackages.evil-python-movement | |
aarch64-linux python312Packages.mwclient | |
aarch64-darwin coqPackages.coquelicot | |
aarch64-linux git-subtrac | |
x86_64-linux haskellPackages.monad-journal | |
aarch64-linux haskellPackages.amazonka-servicecatalog-appregistry | |
aarch64-linux squeezelite-pulse | |
aarch64-darwin python311Packages.affine | |
x86_64-darwin python312Packages.moderngl-window | |
aarch64-darwin emacsPackages.ob-mermaid | |
aarch64-linux python311Packages.file-read-backwards | |
x86_64-linux python312Packages.pamqp | |
aarch64-darwin cljfmt | |
aarch64-linux libsForQt5.picmi | |
x86_64-darwin python312Packages.fire | |
x86_64-linux haskellPackages.servant-auth-wordpress | |
aarch64-darwin python312Packages.textual | |
x86_64-linux haskellPackages.potrace-diagrams | |
x86_64-darwin python312Packages.faraday-plugins | |
x86_64-linux perl536Packages.SyntaxKeywordTry | |
x86_64-linux stayrtr | |
aarch64-darwin emacsPackages.reverse-im | |
x86_64-linux gretl | |
aarch64-darwin glitter | |
aarch64-linux cudaPackages.libnvjitlink | |
x86_64-linux haskellPackages.amazonka-resource-explorer-v2 | |
x86_64-darwin cargo-workspaces | |
aarch64-linux emacsPackages.c-eldoc | |
aarch64-linux emacsPackages.cmm-mode | |
aarch64-linux python311Packages.mypy-boto3-storagegateway | |
aarch64-linux erosmb | |
aarch64-darwin python311Packages.python-ly | |
x86_64-darwin perl538Packages.Alienm4 | |
aarch64-linux gjs | |
aarch64-darwin python312Packages.pypoolstation | |
x86_64-linux linuxKernel.packages.linux_6_1.qc71_laptop | |
aarch64-linux perl538Packages.IOSocketINET6 | |
aarch64-darwin haskellPackages.aws | |
aarch64-darwin lua51Packages.luautf8 | |
aarch64-linux perl536Packages.MsgPackRaw | |
x86_64-linux emacsPackages.fifo-class | |
aarch64-darwin mdbook-graphviz | |
aarch64-darwin perl538Packages.LocaleMsgfmt | |
x86_64-darwin libsForQt5.qt5.qtwayland | |
x86_64-darwin duplicati | |
x86_64-darwin emacsPackages.strie | |
x86_64-darwin kdoctor | |
x86_64-linux gromit-mpx | |
aarch64-darwin gomatrix | |
aarch64-linux python312Packages.safeio | |
aarch64-linux python312Packages.shtab | |
x86_64-linux emacsPackages.pyconf | |
x86_64-darwin python312Packages.staticjinja | |
x86_64-linux rubyPackages_3_1.gdk3 | |
aarch64-darwin emacsPackages.triples | |
aarch64-linux cfm | |
x86_64-darwin emacsPackages.jsonnet-mode | |
aarch64-darwin haskellPackages.MonadRandom | |
x86_64-linux linuxPackages_lqx.liquidtux | |
x86_64-linux emacsPackages.liso-theme | |
x86_64-linux haskellPackages.between | |
x86_64-darwin postgresql13JitPackages.pg_auto_failover | |
x86_64-linux python312Packages.meshio | |
aarch64-darwin haskellPackages.splot | |
x86_64-darwin emacsPackages.config-parser | |
x86_64-darwin python311Packages.greeclimate | |
aarch64-darwin emacsPackages.notmuch-bookmarks | |
aarch64-darwin python312Packages.mail-parser | |
x86_64-linux haskellPackages.control-monad-exception-monadsfd | |
x86_64-darwin python312Packages.openbabel-bindings | |
x86_64-darwin python312Packages.urwidtrees | |
x86_64-linux rubyPackages.gobject-introspection | |
aarch64-linux haskellPackages.txt2rtf | |
aarch64-darwin groestlcoin | |
x86_64-darwin xorg.xtrans | |
aarch64-linux supersonic-wayland | |
x86_64-darwin haskellPackages.wai-extra | |
x86_64-darwin breitbandmessung | |
aarch64-linux finalfusion-utils | |
aarch64-darwin perl536Packages.TestUNIXSock | |
x86_64-darwin python311Packages.azure-mgmt-maps | |
x86_64-linux python311Packages.pulsectl-asyncio | |
aarch64-darwin python312Packages.mypy-boto3-iotfleetwise | |
aarch64-linux notepad-next | |
x86_64-darwin agdaPackages.cubical | |
x86_64-linux llvmPackages_17.clangNoCompilerRtWithLibc | |
aarch64-linux linuxPackages.usbip | |
x86_64-linux haskellPackages.wai-websockets | |
aarch64-darwin python311Packages.unrpa | |
aarch64-linux python312Packages.wasmerPackages.wasmer | |
x86_64-darwin vimPlugins.vim-dispatch | |
aarch64-darwin python312Packages.nc-dnsapi | |
aarch64-darwin libsForQt5.qt5.qtcharts | |
x86_64-darwin emacsPackages.paimon | |
x86_64-darwin lf | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.tmon | |
aarch64-linux lua54Packages.luassert | |
aarch64-darwin perl536Packages.PodMarkdownGithub | |
aarch64-darwin python311Packages.python-ldap-test | |
x86_64-linux trimage | |
aarch64-linux cvehound | |
aarch64-darwin python312Packages.caio | |
x86_64-linux libsForQt5.kdevelop-pg-qt | |
x86_64-linux python312Packages.pypeg2 | |
aarch64-darwin perl538Packages.LogJournald | |
x86_64-darwin wayland-protocols | |
aarch64-darwin python312Packages.versioningit | |
x86_64-darwin python312Packages.snakemake-interface-storage-plugins | |
x86_64-linux python311Packages.opencontainers | |
x86_64-darwin python312Packages.safety | |
x86_64-linux llvmPackages_11.bintools-unwrapped | |
x86_64-linux tree-sitter-grammars.tree-sitter-tlaplus | |
aarch64-darwin vimPlugins.echodoc-vim | |
x86_64-linux lua53Packages.lua-messagepack | |
x86_64-linux sbclPackages.trivial-features | |
aarch64-darwin python311Packages.partd | |
x86_64-linux sasquatch | |
x86_64-linux emacsPackages.helm-flymake | |
x86_64-linux linuxKernel.packages.linux_5_4.systemtap | |
aarch64-darwin genxword | |
x86_64-linux home-assistant-component-tests.ring | |
x86_64-darwin chickenPackages_5.chickenEggs.ws-client | |
aarch64-linux emacsPackages.org-hyperscheduler | |
aarch64-darwin gogdl | |
x86_64-darwin idrisPackages.webgl | |
aarch64-linux libsForQt5.qt5.qtpim | |
aarch64-darwin haskellPackages.bool-extras | |
x86_64-linux linuxPackages_latest-libre.ipu6-drivers | |
x86_64-darwin libsbsms_2_3_0 | |
x86_64-darwin python311Packages.eventlet | |
x86_64-darwin python312Packages.sqlalchemy-jsonfield | |
x86_64-linux texinfo6_5 | |
x86_64-linux linja-pi-pu-lukin | |
aarch64-darwin t | |
aarch64-darwin python311Packages.carbon | |
aarch64-darwin emacsPackages.wacspace | |
aarch64-darwin emacsPackages.all-the-icons-ibuffer | |
x86_64-darwin lua52Packages.lush-nvim | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.mxu11x0 | |
aarch64-linux postgresql13Packages.pgsodium | |
x86_64-linux perl536Packages.MathBigIntGMP | |
aarch64-darwin python312Packages.mypy-boto3-keyspaces | |
aarch64-linux perl536Packages.ProcSafeExec | |
aarch64-darwin python312Packages.flametree | |
aarch64-linux rubyPackages.nap | |
x86_64-linux python311Packages.pyvirtualdisplay | |
x86_64-linux perl538Packages.TestSynopsis | |
aarch64-linux haskellPackages.sandwich-slack | |
aarch64-linux perl536Packages.CryptURandom | |
aarch64-darwin haskellPackages.pptable | |
aarch64-linux webhook | |
aarch64-linux chickenPackages_5.chickenEggs.uuid | |
aarch64-linux python311Packages.pysmartthings | |
aarch64-darwin keyscope | |
x86_64-linux haskellPackages.cabal-install-solver | |
x86_64-darwin perl538Packages.MathRandomSecure | |
aarch64-linux python311Packages.pybtex | |
x86_64-linux rocksdb_7_10 | |
x86_64-darwin castor | |
x86_64-darwin haskellPackages.hiedb | |
x86_64-darwin emacsPackages.consult-lsp | |
x86_64-linux perl536Packages.AlienLibxml2 | |
x86_64-darwin fflas-ffpack | |
x86_64-darwin python312Packages.mypy-boto3-codeguru-reviewer | |
x86_64-linux python312Packages.types-aiobotocore-braket | |
x86_64-linux python312Packages.mypy-boto3-iot-data | |
x86_64-linux python312Packages.mypy-boto3-appmesh | |
x86_64-darwin emacsPackages.psalm | |
aarch64-darwin jazz2-content | |
aarch64-darwin python312Packages.distorm3 | |
x86_64-darwin bws | |
aarch64-linux gfs2-utils | |
aarch64-darwin dnstop | |
x86_64-linux languageMachines.ucto | |
aarch64-darwin perl536Packages.HTMLFormFu | |
aarch64-darwin emacsPackages.mu-cite | |
aarch64-linux nrfutil | |
aarch64-darwin mp3blaster | |
aarch64-linux haskellPackages.timelike-time | |
aarch64-darwin python312Packages.semantic-version | |
aarch64-linux linuxKernel.packages.linux_latest_libre.nct6687d | |
x86_64-linux haskellPackages.char-decode | |
x86_64-linux python311Packages.uasiren | |
aarch64-linux emacsPackages.ac-rtags | |
aarch64-linux multimon-ng | |
x86_64-darwin haskellPackages.amazonka-opensearchserverless | |
aarch64-linux bom | |
x86_64-linux emacsPackages.langdoc | |
aarch64-linux linuxPackages_5_10_hardened.oci-seccomp-bpf-hook | |
aarch64-linux python312Packages.django-countries | |
x86_64-darwin riemann-tools | |
x86_64-linux linuxKernel.packages.linux_lqx.v4l2loopback | |
x86_64-darwin vimPlugins.vim-javascript | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.openrazer | |
x86_64-linux perl536Packages.OggVorbisHeaderPurePerl | |
x86_64-darwin licensor | |
x86_64-linux krankerl | |
x86_64-linux easyrpg-player | |
x86_64-darwin cmakerc | |
aarch64-darwin LASzip2 | |
aarch64-linux rtabmap | |
x86_64-darwin rubyPackages.unicode-types | |
aarch64-linux unclutter | |
x86_64-darwin explain | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.mxu11x0 | |
aarch64-linux emacsPackages.phi-search-mc | |
x86_64-linux python311Packages.types-aiobotocore-transfer | |
aarch64-linux teeworlds-server | |
aarch64-linux llvmPackages_16.lld | |
aarch64-linux libretro.opera | |
x86_64-linux mpiCheckPhaseHook | |
x86_64-linux python312Packages.pygraphviz | |
x86_64-darwin haskellPackages.validity-unordered-containers | |
x86_64-darwin aspellDicts.mi | |
x86_64-darwin python311Packages.nocaselist | |
aarch64-linux liana | |
aarch64-linux sinit | |
x86_64-darwin python311Packages.mkdocs | |
x86_64-linux emacsPackages.org-msg | |
x86_64-darwin python311Packages.vtjp | |
aarch64-linux ideviceinstaller | |
x86_64-linux python311Packages.types-protobuf | |
x86_64-darwin haskellPackages.highlighter | |
aarch64-darwin vimPlugins.hardtime-nvim | |
aarch64-darwin haskellPackages.hasql-interpolate | |
x86_64-darwin rubyPackages_3_2.sass | |
x86_64-linux home-assistant-component-tests.meraki | |
x86_64-linux haskellPackages.numhask | |
x86_64-linux proxysql | |
aarch64-darwin python311Packages.ircrobots | |
x86_64-linux python311Packages.iterative-telemetry | |
x86_64-darwin python311Packages.omrdatasettools | |
aarch64-darwin pympress | |
x86_64-darwin python312Packages.versioneer | |
x86_64-darwin python312Packages.tcxreader | |
x86_64-darwin perl536Packages.HashMerge | |
aarch64-darwin emacsPackages.lentic | |
x86_64-linux gnomeExtensions.just-another-search-bar | |
aarch64-darwin haskellPackages.DBFunctor | |
aarch64-linux python312Packages.opentelemetry-util-http | |
x86_64-darwin python311Packages.pywerview | |
aarch64-linux perl538Packages.MinionBackendmysql | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.mwprocapture | |
aarch64-darwin python312Packages.newick | |
x86_64-linux rubyPackages_3_3.ruby2_keywords | |
x86_64-darwin chickenPackages_5.chickenEggs.srfi-18 | |
x86_64-linux tests.writers.simple.rubyNoLibs | |
x86_64-linux python311Packages.tensorboard-plugin-profile | |
x86_64-darwin haskellPackages.template-haskell-compat-v0208 | |
x86_64-linux sqld | |
aarch64-linux python312Packages.elasticsearch-dsl | |
x86_64-darwin perl538Packages.MooseXRelatedClassRoles | |
x86_64-linux emacsPackages.org-ai | |
x86_64-darwin electron_10 | |
aarch64-darwin python311Packages.chat-downloader | |
x86_64-linux python311Packages.pathos | |
aarch64-darwin python312Packages.atomicwrites | |
aarch64-darwin perl538Packages.DigestSRI | |
aarch64-darwin llvmPackages_13.libunwind | |
x86_64-linux python311Packages.voluptuous-stubs | |
aarch64-darwin python311Packages.aesedb | |
x86_64-darwin mpvScripts.autoload | |
x86_64-linux translatelocally-models.nb-en-tiny | |
aarch64-linux webfs | |
aarch64-darwin python311Packages.django-sekizai | |
aarch64-darwin haskellPackages.trek-lens | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.julia | |
x86_64-darwin python311Packages.python-stdnum | |
x86_64-linux python311Packages.foxdot | |
x86_64-darwin dblatex | |
x86_64-linux libsForQt5.kcompletion | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.templ | |
aarch64-darwin haskellPackages.type-flip | |
x86_64-linux php82Packages.psysh | |
x86_64-linux CuboCore.coreuniverse | |
x86_64-linux pantheon.elementary-screenshot | |
aarch64-linux ion3 | |
x86_64-linux emacsPackages.guess-language | |
x86_64-linux luajitPackages.say | |
aarch64-darwin emacsPackages.ts | |
x86_64-linux nvimpager | |
aarch64-linux llvmPackages_14.llvm | |
x86_64-darwin libowlevelzs | |
x86_64-linux python311Packages.quantiphy-eval | |
aarch64-darwin perl536Packages.DataHexDump | |
x86_64-linux python311Packages.mypy-boto3-resource-groups | |
x86_64-darwin python312Packages.marshmallow-sqlalchemy | |
x86_64-linux libretro.nxengine | |
x86_64-darwin perl538Packages.FileShareDirInstall | |
x86_64-darwin emacsPackages.sly-repl-ansi-color | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.elsa | |
aarch64-darwin postgresql15JitPackages.periods | |
x86_64-darwin perl536Packages.CursesUI | |
x86_64-darwin lhs2tex | |
aarch64-linux plasma5Packages.qt3d | |
aarch64-linux haskellPackages.amazonka-mq | |
x86_64-linux perl536Packages.TestDeepType | |
aarch64-darwin anup | |
aarch64-linux emacsPackages.boxquote | |
x86_64-darwin hledger-interest | |
aarch64-darwin nanum-gothic-coding | |
aarch64-darwin vimPlugins.completion-buffers | |
x86_64-darwin python312Packages.pyinfra | |
x86_64-linux emacsPackages.crappy-jsp-mode | |
aarch64-darwin libuecc | |
x86_64-darwin perl538Packages.TestPodLinkCheck | |
x86_64-linux chickenPackages_5.chickenEggs.srfi-4-comprehensions | |
x86_64-linux haskellPackages.thread-hierarchy | |
x86_64-linux svls | |
aarch64-linux linuxKernel.packages.linux_6_5.tmon | |
x86_64-darwin cernlib | |
aarch64-linux haskellPackages.editor-open | |
x86_64-linux plasma5Packages.libkscreen | |
x86_64-linux perl538Packages.LongJump | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.opensnitch-ebpf | |
x86_64-darwin python312Packages.types-dateutil | |
aarch64-linux rubyPackages.idn-ruby | |
x86_64-linux haskellPackages.alarmclock | |
aarch64-darwin emacsPackages.inf-ruby | |
x86_64-linux emacsPackages.vdirel | |
aarch64-darwin python311Packages.tracerite | |
x86_64-linux bazel-gazelle | |
x86_64-linux home-assistant-component-tests.nextdns | |
aarch64-linux chickenPackages_5.chickenEggs.rocksdb | |
x86_64-linux python311Packages.svgwrite | |
x86_64-darwin mpd-notification | |
x86_64-linux python312Packages.dep-logic | |
aarch64-linux python312Packages.nasdaq-data-link | |
aarch64-darwin python312Packages.walrus | |
aarch64-linux emacsPackages.kaleidoscope-evil-state-flash | |
x86_64-darwin haskellPackages.tostring | |
x86_64-darwin usb-reset | |
aarch64-linux arrayfire | |
aarch64-darwin python312Packages.iminuit | |
x86_64-darwin yarn-berry | |
aarch64-darwin hunspellDicts.hr-hr | |
aarch64-darwin emacsPackages.helm-tramp | |
aarch64-linux python312Packages.allure-pytest | |
aarch64-darwin ols | |
x86_64-linux haskellPackages.persistent-qq | |
x86_64-darwin haskellPackages.BiobaseNewick | |
x86_64-linux haskellPackages.twee-lib | |
aarch64-darwin perl536Packages.RegexpTrie | |
x86_64-darwin epoll-shim | |
aarch64-darwin vimPlugins.nvim-spider | |
x86_64-linux perl538Packages.FileChangeNotify | |
x86_64-linux python311Packages.types-enum34 | |
aarch64-darwin license-generator | |
x86_64-linux linuxKernel.packages.linux_zen.v4l2loopback | |
x86_64-linux canonicalize-jars-hook | |
aarch64-darwin chickenPackages_5.chickenEggs.sxpath | |
aarch64-darwin perl536Packages.ImagerQRCode | |
aarch64-darwin emacsPackages.ivy-posframe | |
aarch64-linux emacsPackages.es-mode | |
aarch64-darwin uget | |
x86_64-darwin python312Packages.mypy-boto3-elasticbeanstalk | |
x86_64-linux emacsPackages.voicemacs | |
aarch64-linux python311Packages.multidict | |
aarch64-linux emacsPackages.eslintd-fix | |
aarch64-linux python311Packages.traitsui | |
x86_64-linux khronos-ocl-icd-loader | |
x86_64-darwin haskellPackages.text-manipulate | |
x86_64-darwin python311Packages.notmuch2 | |
aarch64-linux emacsPackages.todotxt-mode | |
aarch64-darwin urlencode | |
x86_64-darwin python312Packages.mypy-boto3-backup | |
x86_64-linux rubyPackages_3_2.activestorage | |
aarch64-darwin tijolo | |
aarch64-darwin clevercsv | |
x86_64-linux rubyPackages.clamp | |
aarch64-linux python312Packages.mypy-boto3-iot1click-projects | |
x86_64-linux emacsPackages.winum | |
aarch64-linux python312Packages.pywal | |
x86_64-darwin lua52Packages.luasocket | |
x86_64-linux perl538Packages.ClassEHierarchy | |
aarch64-linux python311Packages.scp | |
x86_64-linux linuxPackages_5_4_hardened.cpupower | |
aarch64-linux xssproxy | |
x86_64-linux haskellPackages.servant-lucid | |
x86_64-darwin perl536Packages.EmailAddress | |
x86_64-linux python312Packages.restfly | |
aarch64-linux nanorc | |
x86_64-darwin midicsv | |
x86_64-darwin python311Packages.py-multibase | |
aarch64-linux wineWowPackages.fonts | |
aarch64-darwin postgresql13JitPackages.pg_hll | |
aarch64-darwin perl538Packages.CryptPassphrase | |
x86_64-linux emacsPackages.git-commit | |
x86_64-linux python311Packages.pushbullet-py | |
aarch64-darwin gst_all_1.gst-libav | |
x86_64-linux python312Packages.pypoolstation | |
x86_64-linux rubyPackages_3_1.clamp | |
aarch64-linux emacsPackages.ebnf-mode | |
x86_64-linux frog | |
aarch64-linux libhwy | |
aarch64-darwin rubyPackages_3_1.xcodeproj | |
x86_64-linux haskellPackages.udev | |
x86_64-linux rubyPackages_3_1.claide | |
x86_64-linux emacsPackages.helm-fuzzy | |
aarch64-darwin python311Packages.types-aiobotocore-pinpoint | |
x86_64-darwin rubyPackages_3_3.mime-types | |
aarch64-linux tandem-aligner | |
aarch64-linux figurine | |
aarch64-darwin wipeout-rewrite | |
aarch64-linux nut | |
aarch64-linux isl_0_20 | |
x86_64-linux vimPlugins.vim-surround | |
x86_64-linux elf2uf2-rs | |
x86_64-darwin haskellPackages.twee-lib | |
aarch64-linux hwinfo | |
aarch64-linux haskellPackages.hlibgit2 | |
x86_64-linux python311Packages.dissect-thumbcache | |
x86_64-linux perl536Packages.ParsePlainConfig | |
aarch64-darwin python311Packages.imagecorruptions | |
aarch64-darwin python312Packages.azure-mgmt-containerregistry | |
x86_64-linux timetagger_cli | |
aarch64-linux rubyPackages_3_2.data_objects | |
aarch64-linux unrtf | |
x86_64-darwin vimPlugins.coc-diagnostic | |
aarch64-darwin rtl-ais | |
x86_64-darwin libxml2 | |
x86_64-darwin siji | |
aarch64-linux emacsPackages.dash-alfred | |
aarch64-linux haskellPackages.vector-quicksort | |
x86_64-linux perl536Packages.ClassLoadXS | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.dddvb | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.lttng-modules | |
aarch64-linux empty | |
x86_64-linux perl538Packages.curry | |
aarch64-linux perl538Packages.JSONPPCompat5006 | |
aarch64-linux emacsPackages.flymake-sqlfluff | |
aarch64-darwin postgresql15Packages.pg_hll | |
aarch64-linux python311Packages.omorfi | |
aarch64-linux lesscpy | |
x86_64-linux nanomsg | |
x86_64-linux chkrootkit | |
x86_64-darwin python311Packages.dingz | |
x86_64-darwin python311Packages.pipdeptree | |
aarch64-darwin haskellPackages.amazonka-customer-profiles | |
aarch64-linux peru | |
aarch64-darwin rubyPackages_3_2.rubyzip | |
x86_64-linux terraform-providers.icinga2 | |
x86_64-linux home-assistant-component-tests.cpuspeed | |
aarch64-darwin python312Packages.azure-mgmt-cosmosdb | |
aarch64-linux python312Packages.napalm | |
aarch64-linux pcsc-tools | |
aarch64-darwin python311Packages.airthings-cloud | |
x86_64-darwin python311Packages.aiowatttime | |
x86_64-darwin python311Packages.onkyo-eiscp | |
aarch64-linux emacsPackages.tab-bar-buffers | |
aarch64-linux haskellPackages.bini | |
aarch64-darwin python311Packages.libgpuarray | |
x86_64-linux dvdisaster | |
aarch64-darwin haskellPackages.bindings-codec2 | |
aarch64-darwin emacsPackages.lingr | |
x86_64-darwin python312Packages.posthog | |
x86_64-linux python312Packages.pynuki | |
x86_64-linux python312Packages.shutilwhich | |
aarch64-darwin mattermost | |
aarch64-darwin python311Packages.sensirion-ble | |
x86_64-darwin python311Packages.tls-client | |
aarch64-linux python312Packages.sphinxcontrib-jquery | |
x86_64-darwin haskellPackages.termbox | |
aarch64-linux libtasn1 | |
x86_64-darwin haskellPackages.blucontrol | |
x86_64-linux gnomeExtensions.arcmenu | |
x86_64-linux python311Packages.types-aiobotocore-account | |
x86_64-linux python312Packages.types-aiobotocore-codestar | |
aarch64-linux haskellPackages.acme-iot | |
aarch64-darwin perl536Packages.XMLXPath | |
x86_64-linux sparrow-unwrapped | |
aarch64-darwin haskellPackages.gi-pangocairo | |
aarch64-darwin python312Packages.mkdocs-macros | |
aarch64-linux emacsPackages.wispjs-mode | |
aarch64-darwin haskellPackages.amazonka-health | |
aarch64-darwin python311Packages.milc | |
x86_64-darwin python312Packages.ecoaliface | |
x86_64-darwin haskellPackages.gutenberg-fibonaccis | |
aarch64-darwin nixVersions.minimum | |
x86_64-darwin gcc6Stdenv | |
x86_64-linux haskellPackages.daemons | |
aarch64-darwin rcodesign | |
aarch64-darwin python311Packages.libtorrent-rasterbar | |
x86_64-linux emacsPackages.mellow-theme | |
aarch64-darwin python311Packages.mypy-boto3-elbv2 | |
aarch64-linux haskellPackages.htalkat | |
x86_64-darwin lua51Packages.cyan | |
aarch64-darwin unixbench | |
aarch64-darwin emacsPackages.editorconfig-charset-extras | |
aarch64-darwin haskellPackages.portray-prettyprinter | |
x86_64-darwin faust2alqt | |
x86_64-darwin python311Packages.types-awscrt | |
aarch64-linux nethack | |
aarch64-linux sfm | |
aarch64-linux gap | |
aarch64-linux haskellPackages.tree-diff | |
aarch64-linux linuxPackages_6_6_hardened.openrazer | |
x86_64-darwin python312Packages.google-cloud-dns | |
aarch64-linux lua54Packages.cjson | |
x86_64-linux haskellPackages.list-filter | |
aarch64-darwin python311Packages.iteration-utilities | |
x86_64-darwin python312Packages.pyramid-chameleon | |
x86_64-darwin python311Packages.dataproperty | |
aarch64-linux haskellPackages.extism-manifest | |
x86_64-darwin coqPackages.hierarchy-builder | |
x86_64-darwin chickenPackages_5.chickenEggs.foreigners | |
x86_64-darwin python311Packages.mypy-boto3-iotfleetwise | |
aarch64-darwin idrisPackages.http4idris | |
x86_64-linux perl538Packages.IOAIO | |
aarch64-darwin haskellPackages.changelog-d | |
x86_64-darwin emacsPackages.idris2-mode | |
aarch64-linux python312Packages.wsproto | |
aarch64-linux python311Packages.aiohttp-oauthlib | |
aarch64-darwin python311Packages.pyheif | |
aarch64-darwin emacsPackages.nickel-mode | |
x86_64-linux minecraft-server | |
x86_64-darwin chickenPackages_5.chickenEggs.symbol-utils | |
x86_64-darwin emacsPackages.echo-bar | |
x86_64-linux rizinPlugins.jsdec | |
x86_64-linux python311Packages.sqlite-migrate | |
aarch64-darwin python312Packages.primer3 | |
x86_64-linux sambamba | |
x86_64-linux graylog-5_1 | |
aarch64-darwin emacsPackages.control-mode | |
x86_64-darwin haskellPackages.ordinal | |
x86_64-darwin haskellPackages.sydtest-hedgehog | |
aarch64-darwin python311Packages.aioslimproto | |
x86_64-darwin rubyPackages.syntax_tree | |
aarch64-linux rubyPackages_3_2.jekyll | |
x86_64-darwin haskellPackages.gssapi | |
aarch64-linux python311Packages.python-owasp-zap-v2-4 | |
x86_64-linux emacsPackages.csv | |
x86_64-linux mcuboot-imgtool | |
x86_64-darwin python312Packages.pure-cdb | |
x86_64-linux php82Extensions.opcache | |
x86_64-linux python312Packages.pytapo | |
x86_64-darwin terraform-providers.postgresql | |
x86_64-linux circumflex | |
x86_64-darwin optifinePackages.optifine_1_18 | |
x86_64-linux harminv | |
x86_64-linux perl536Packages.AnyEventI3 | |
aarch64-linux elmPackages.create-elm-app | |
x86_64-linux python312Packages.farama-notifications | |
x86_64-linux python311Packages.hetzner | |
x86_64-darwin python311Packages.types-aiobotocore-route53resolver | |
x86_64-linux tinc | |
aarch64-darwin unifdef | |
aarch64-darwin img | |
x86_64-darwin lua51Packages.sqlite | |
x86_64-linux haskellPackages.sparql-protocol | |
aarch64-linux haskellPackages.yet-another-logger | |
x86_64-linux emacsPackages.deadgrep | |
x86_64-linux pagefind | |
aarch64-linux linuxKernel.packages.linux_6_1.jool | |
aarch64-linux python312Packages.fasttext-predict | |
aarch64-linux haskellPackages.servant-multipart-api | |
aarch64-darwin perl536Packages.ClassClassgenclassgen | |
aarch64-darwin python311Packages.pickleshare | |
x86_64-darwin python312Packages.mypy-boto3-codepipeline | |
aarch64-darwin python311Packages.platformdirs | |
x86_64-linux python312Packages.zope-testrunner | |
aarch64-linux tests.cuda.cudaPackages_10_0.saxpy | |
x86_64-linux python312Packages.assay | |
x86_64-darwin hicolor-icon-theme | |
x86_64-darwin perl536Packages.SignalMask | |
aarch64-linux postgresql12JitPackages.tsja | |
aarch64-linux home-assistant-component-tests.firmata | |
x86_64-linux emacsPackages.fanyi | |
x86_64-linux python312Packages.typeshed-client | |
x86_64-linux gnomeExtensions.auto-select-headset | |
aarch64-linux crystal_1_2 | |
x86_64-darwin python311Packages.mypy-boto3-sso-admin | |
x86_64-darwin emacsPackages.regex-dsl | |
x86_64-linux canon-cups-ufr2 | |
x86_64-linux haskellPackages.lawful-classes-hedgehog | |
x86_64-darwin deploy-rs | |
x86_64-darwin xorg.xf86inputevdev | |
aarch64-linux perl538Packages.StatisticsTTest | |
aarch64-darwin perl536Packages.ConfigOnion | |
aarch64-darwin hiawatha | |
x86_64-darwin perl538Packages.NetCIDRLite | |
x86_64-linux linuxPackages_6_5_hardened.veikk-linux-driver | |
aarch64-linux gorilla-cli | |
x86_64-darwin haskellPackages.hslua-module-version | |
aarch64-darwin go-toml | |
x86_64-darwin haskellPackages.parser-combinators | |
x86_64-darwin perl538Packages.NetWhoisIP | |
aarch64-darwin haskellPackages.relude | |
x86_64-linux swfmill | |
x86_64-linux linuxPackages_6_6_hardened.ivsc-driver | |
x86_64-linux emacsPackages.enlightened-theme | |
aarch64-darwin emacsPackages.expreg | |
aarch64-linux libsigsegv | |
aarch64-linux python312Packages.aioautomower | |
aarch64-linux libsForQt5.buho | |
aarch64-linux python311Packages.python-utils | |
aarch64-linux python312Packages.mailchimp | |
aarch64-darwin xorg.xcbutilwm | |
x86_64-darwin perl536Packages.LocaleUtilsPlaceholderMaketext | |
aarch64-darwin haskellPackages.firefly | |
aarch64-linux python312Packages.zeitgeist | |
x86_64-linux haskellPackages.hunit-parsec | |
x86_64-linux emacsPackages.show-font-mode | |
x86_64-linux emacsPackages.flymake-relint | |
x86_64-darwin qt6Packages.qtforkawesome | |
x86_64-darwin haskellPackages.wuss | |
aarch64-linux python312Packages.pymsgbox | |
x86_64-darwin haskellPackages.language-c99 | |
x86_64-linux postgresql16Packages.cstore_fdw | |
x86_64-darwin zellij | |
x86_64-darwin protobuf | |
x86_64-darwin lua51Packages.lua-rtoml | |
x86_64-darwin ilmbase | |
aarch64-darwin xkbvalidate | |
x86_64-linux linuxPackages_4_19_hardened.ithc | |
x86_64-linux haskellPackages.tuple-generic | |
x86_64-linux obs-studio-plugins.obs-move-transition | |
x86_64-linux linuxKernel.packages.linux_6_7.zenpower | |
aarch64-darwin cwltool | |
aarch64-darwin python312Packages.mesa | |
x86_64-darwin emacsPackages.gitlab-snip-helm | |
aarch64-linux python311Packages.fiona | |
x86_64-linux temurin-bin-19 | |
aarch64-darwin trompeloeil | |
aarch64-linux sc-im | |
aarch64-darwin python311Packages.debuglater | |
aarch64-darwin vimPlugins.ncm2-cssomni | |
x86_64-linux s5cmd | |
x86_64-linux haskellPackages.tasty-coverage | |
aarch64-darwin cpupower-gui | |
x86_64-linux python312Packages.process-tests | |
x86_64-linux bftools | |
aarch64-linux haskellPackages.GraphSCC | |
x86_64-linux python312Packages.pep440 | |
aarch64-darwin perl538Packages.AnyEvent | |
x86_64-darwin xorg.libxshmfence | |
x86_64-linux python311Packages.astropy-helpers | |
x86_64-linux rubyPackages_3_2.pry-byebug | |
x86_64-linux zeroc-ice-cpp11 | |
x86_64-linux emacsPackages.soccer | |
x86_64-linux revanced-cli | |
aarch64-darwin josm | |
x86_64-linux recode | |
aarch64-linux python312Packages.boolean-py | |
aarch64-linux papirus-folders | |
x86_64-darwin python312Packages.poetry-dynamic-versioning | |
aarch64-darwin stack | |
x86_64-linux python312Packages.snapshottest | |
x86_64-darwin emacsPackages.ob-coffee | |
aarch64-darwin kore | |
aarch64-darwin rubyPackages_3_2.jekyll-remote-theme | |
x86_64-linux elinks | |
x86_64-darwin qemu_kvm | |
x86_64-darwin perl536Packages.ConfigIniFiles | |
aarch64-darwin python311Packages.schemainspect | |
aarch64-darwin python311Packages.homeconnect | |
aarch64-linux chickenPackages_5.chickenEggs.callable-data-structures | |
x86_64-darwin tmuxPlugins.dracula | |
x86_64-linux linuxKernel.packages.linux_6_6.nvidia_x11_production_open | |
x86_64-linux stress | |
aarch64-linux arcanist | |
x86_64-darwin python312Packages.aranet4 | |
x86_64-linux haskellPackages.bitset-word8 | |
aarch64-darwin kubent | |
aarch64-linux wit-bindgen | |
aarch64-linux python311Packages.capstone | |
aarch64-darwin vimPlugins.coc-jest | |
aarch64-darwin antlr4_10 | |
aarch64-linux python312Packages.powerline-mem-segment | |
aarch64-linux perl538Packages.CatalystPluginConfigLoader | |
aarch64-darwin lua53Packages.say | |
x86_64-linux rubyPackages_3_1.actionmailer | |
x86_64-linux gsignondPlugins.sasl | |
aarch64-darwin python311Packages.webdataset | |
aarch64-linux xorg.xf86videoglide | |
aarch64-linux perl538Packages.DevelTrace | |
x86_64-linux haskellPackages.cairo | |
x86_64-linux haskellPackages.FilePather | |
x86_64-darwin addlicense | |
aarch64-darwin haskellPackages.language-vhdl | |
x86_64-darwin python311Packages.dashing | |
aarch64-darwin emacsPackages.elixir-yasnippets | |
x86_64-darwin chickenPackages_5.chickenEggs.yasos | |
aarch64-linux python312Packages.piccolo-theme | |
aarch64-darwin emacsPackages.tmmofl | |
x86_64-darwin python312Packages.email-validator | |
x86_64-darwin emacsPackages.dark-krystal-theme | |
x86_64-linux merge-fmt | |
aarch64-darwin haskellPackages.kill-bool | |
aarch64-darwin emacsPackages.minibuffer-complete-cycle | |
x86_64-darwin octodns | |
aarch64-linux python312Packages.colored-traceback | |
x86_64-linux tailscale | |
x86_64-linux androguard | |
aarch64-darwin python311Packages.aiolifx-connection | |
x86_64-darwin haskellPackages.data-category | |
x86_64-darwin dotnetCorePackages.aspnetcore_8_0 | |
aarch64-darwin python312Packages.cement | |
aarch64-linux maple-mono | |
aarch64-linux emacsPackages.bbdb2erc | |
aarch64-darwin emacsPackages.flycheck-rust | |
aarch64-darwin resvg | |
x86_64-darwin gtkmm2 | |
x86_64-linux fmsynth | |
x86_64-darwin emacsPackages.org-octopress | |
x86_64-linux gnomeExtensions.user-avatar-in-quick-settings | |
aarch64-linux nextcloud-news-updater | |
aarch64-linux python311Packages.xmltodict | |
x86_64-linux perl538Packages.libxml_perl | |
aarch64-linux postgresql13Packages.pg_hll | |
x86_64-linux nmapsi4 | |
aarch64-darwin oscclip | |
x86_64-darwin perl536Packages.LWP | |
aarch64-darwin pitch-black | |
aarch64-linux discourse | |
x86_64-linux lua53Packages.lua-resty-http | |
x86_64-linux rubyPackages_3_1.cocoapods-disable-podfile-validations | |
aarch64-linux crabz | |
x86_64-linux chickenPackages_5.chickenEggs.srfi-48 | |
x86_64-darwin python311Packages.pynose | |
x86_64-darwin haskellPackages.warp-tls | |
aarch64-linux python312Packages.levenshtein | |
aarch64-linux python312Packages.types-aiobotocore-appstream | |
aarch64-darwin emacsPackages.boon | |
aarch64-linux vimPlugins.vim-choosewin | |
x86_64-darwin emacsPackages.bicep-ts-mode | |
aarch64-linux lua51Packages.luautf8 | |
aarch64-linux osinfo-db-tools | |
aarch64-darwin vimPlugins.vim-operator-surround | |
x86_64-linux python312Packages.text-unidecode | |
aarch64-darwin emacsPackages.mb-url | |
aarch64-linux python311Packages.psycopg2 | |
x86_64-darwin emacsPackages.minibuffer-header | |
aarch64-darwin mygui | |
x86_64-darwin haskellPackages.tagstew | |
aarch64-linux python312Packages.mypy-boto3-sms-voice | |
x86_64-linux python312Packages.google-crc32c | |
x86_64-linux emacsPackages.dired-sidebar | |
x86_64-linux xfce.xfce4-appfinder | |
x86_64-linux tests.writers.simple.js | |
x86_64-darwin netsurf-browser | |
x86_64-darwin emacsPackages.dotenv-mode | |
aarch64-linux emacsPackages.hcel | |
aarch64-linux haskellPackages.file-modules | |
x86_64-linux python311Packages.meeko | |
aarch64-darwin python312Packages.gehomesdk | |
x86_64-linux linuxKernel.packages.linux_6_6.rr-zen_workaround | |
x86_64-darwin concurrently | |
aarch64-darwin perl538Packages.RoleHooks | |
aarch64-linux cargo-llvm-cov | |
aarch64-linux python312Packages.gplaycli | |
x86_64-linux emacsPackages.ob-applescript | |
x86_64-linux nkf | |
x86_64-darwin haskellPackages.pointfree | |
aarch64-darwin erigon | |
aarch64-linux ganv | |
x86_64-linux kubo-migrator | |
x86_64-linux python312Packages.pyemd | |
x86_64-linux emacsPackages.eno | |
aarch64-linux python312Packages.types-aiobotocore-shield | |
x86_64-linux perl536Packages.GooCanvas | |
aarch64-linux emacsPackages.region-convert | |
x86_64-darwin python312Packages.dtschema | |
x86_64-linux libsForQt5.kauth | |
aarch64-darwin poac | |
x86_64-darwin fplll | |
aarch64-linux python312Packages.hyrule | |
x86_64-darwin haskellPackages.abstract-deque | |
aarch64-linux python311Packages.paginate | |
x86_64-linux arrow-glib | |
aarch64-linux haskellPackages.jacobi-theta | |
x86_64-linux haskellPackages.exact-combinatorics | |
x86_64-linux mopidy-iris | |
x86_64-darwin dt | |
x86_64-linux rubyPackages_3_1.cocoapods-update-if-you-dare | |
x86_64-darwin python311Packages.aiolifx | |
x86_64-darwin python311Packages.fst-pso | |
x86_64-linux python312Packages.devpi-common | |
aarch64-linux rizin | |
aarch64-darwin matrix-sliding-sync | |
x86_64-darwin rubyPackages.ruby-vips | |
x86_64-darwin zpix-pixel-font | |
aarch64-darwin emacsPackages.jsonnet-mode | |
x86_64-darwin python311Packages.bme280spi | |
x86_64-darwin emacsPackages.newspeak-mode | |
x86_64-darwin mdbook-open-on-gh | |
x86_64-linux libretro.scummvm | |
x86_64-linux emacsPackages.lang-refactor-perl | |
aarch64-darwin python312Packages.soapysdr-with-plugins | |
x86_64-darwin vimPlugins.vim-speeddating | |
aarch64-linux python311Packages.karton-core | |
x86_64-darwin msmtp | |
x86_64-linux gnomeExtensions.pushover-message-notifications | |
aarch64-linux swiftclient | |
x86_64-linux emacsPackages.company-jedi | |
x86_64-linux mafft | |
aarch64-darwin python312Packages.trino-python-client | |
x86_64-linux emacsPackages.jedi-direx | |
x86_64-linux python312Packages.django-ranged-response | |
x86_64-darwin mopidy-soundcloud | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.gitignore | |
aarch64-darwin emacsPackages.date-at-point | |
aarch64-linux wire | |
x86_64-linux llvmPackages_13.lldb | |
x86_64-darwin pscid | |
aarch64-linux linuxPackages_5_4_hardened.openafs_1_8 | |
aarch64-linux python312Packages.temescal | |
x86_64-linux linuxKernel.packages.linux_6_5.ch9344 | |
aarch64-linux bemoji | |
aarch64-darwin python311Packages.jenkins-job-builder | |
aarch64-darwin graphicsmagick-imagemagick-compat | |
aarch64-linux python311Packages.python-musicpd | |
x86_64-linux gprbuild-boot | |
aarch64-darwin cbor-diag | |
aarch64-darwin haskell.compiler.native-bignum.ghc927 | |
x86_64-linux python312Packages.ledgercomm | |
aarch64-darwin rubyPackages_3_2.sinatra | |
x86_64-darwin vimPlugins.lazy-lsp-nvim | |
x86_64-linux haskellPackages.lattices | |
x86_64-linux pupdate | |
x86_64-linux gping | |
x86_64-darwin vte-gtk4 | |
aarch64-linux python312Packages.wyoming | |
aarch64-linux imagelol | |
aarch64-linux python311Packages.pytrends | |
aarch64-darwin vimPlugins.rust-vim | |
aarch64-linux haskellPackages.digestive-functors-blaze | |
aarch64-linux vimPlugins.vim_current_word | |
x86_64-darwin python311Packages.supervisor | |
aarch64-linux python312Packages.evaluate | |
x86_64-linux gnomeExtensions.icon-hider | |
x86_64-linux cups-dymo | |
x86_64-linux perl538Packages.GraphViz2 | |
aarch64-darwin python312Packages.cryptolyzer | |
x86_64-darwin python311Packages.pyunifi | |
x86_64-linux python312Packages.aiovodafone | |
x86_64-linux emacsPackages.fold-dwim | |
aarch64-linux haskellPackages.HandsomeSoup | |
x86_64-darwin haskellPackages.jsonlogic | |
aarch64-linux emacsPackages.hugsql-ghosts | |
x86_64-darwin aspellDicts.tet | |
aarch64-darwin emacsPackages.org-ac | |
x86_64-linux python311Packages.patch-ng | |
x86_64-darwin haskellPackages.zio | |
x86_64-darwin python312Packages.jupyter-sphinx | |
x86_64-darwin emacsPackages.svgo | |
aarch64-linux pngquant | |
aarch64-linux emacsPackages.anki-editor | |
x86_64-darwin jrnl | |
x86_64-linux nix | |
aarch64-darwin python311Packages.pyuseragents | |
x86_64-darwin haskellPackages.reference | |
x86_64-linux linuxPackages_lqx.rust-out-of-tree-module | |
x86_64-linux swappy | |
x86_64-linux linuxKernel.packages.linux_4_19.rtl8189es | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.angular | |
aarch64-linux perl536Packages.XMLRegExp | |
x86_64-linux linuxPackages_xanmod.ipu6-drivers | |
x86_64-linux perl536Packages.TextBibTeX | |
aarch64-darwin python311Packages.imap-tools | |
x86_64-linux python311Packages.mdp | |
x86_64-linux haskellPackages.reqcatcher | |
aarch64-linux perl538Packages.StringRandom | |
aarch64-linux libcaption | |
x86_64-linux scrutiny-collector | |
x86_64-linux emacsPackages.ptemplate-templates | |
x86_64-darwin emacsPackages.eask-mode | |
aarch64-linux boinc | |
x86_64-linux perl538Packages.FutureIO | |
x86_64-darwin haskellPackages.stomp-patterns | |
aarch64-darwin haskellPackages.yabi | |
x86_64-darwin python312Packages.nibe | |
aarch64-linux linuxPackages_hardened.fwts-efi-runtime | |
x86_64-linux perl536Packages.CryptDHGMP | |
x86_64-darwin ariang | |
x86_64-linux emacsPackages.clippy | |
x86_64-linux perl536Packages.DigestSHA1 | |
x86_64-darwin hunspellDicts.es-pr | |
aarch64-linux perl538Packages.ScalarType | |
aarch64-linux emacsPackages.badger-theme | |
x86_64-linux emacsPackages.rnc-mode | |
aarch64-darwin emacsPackages.dismal | |
x86_64-linux perl536Packages.DBMDeep | |
x86_64-darwin python311Packages.texttable | |
x86_64-linux rubyPackages.cocoapods-clean_build_phases_scripts | |
x86_64-darwin rubyPackages_3_1.cocoapods-try | |
aarch64-linux regbot | |
x86_64-darwin perl536Packages.MojoliciousPluginMail | |
aarch64-linux console-bridge | |
aarch64-linux python311Packages.extractcode-libarchive | |
x86_64-linux python311Packages.pyrad | |
aarch64-darwin python311Packages.soxr | |
x86_64-linux unixtools.util-linux | |
aarch64-linux haskellPackages.Clipboard | |
aarch64-linux llvmPackages.llvm-manpages | |
x86_64-linux mfcl3770cdwlpr | |
x86_64-linux python311Packages.lingua | |
x86_64-linux vimPlugins.vim-strip-trailing-whitespace | |
x86_64-linux emacsPackages.nav-flash | |
x86_64-darwin perl536Packages.LWPUserAgentDetermined | |
aarch64-linux rocmPackages.rocthrust | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.glimmer | |
aarch64-darwin emacsPackages.power-mode | |
aarch64-darwin python312Packages.upnpy | |
aarch64-darwin vimPlugins.vim-lsp-settings | |
aarch64-linux haskellPackages.xdg-userdirs | |
x86_64-linux perl538Packages.CSSSquish | |
x86_64-darwin unifi | |
aarch64-linux emacsPackages.javaimp | |
aarch64-darwin haskellPackages.ghc-stdin | |
x86_64-linux libsForQt5.keysmith | |
x86_64-linux python312Packages.jupyter-c-kernel | |
x86_64-darwin kakoune-cr | |
x86_64-linux ristate | |
x86_64-linux tfswitch | |
x86_64-linux krank | |
x86_64-darwin tt-rss | |
x86_64-linux goimports-reviser | |
aarch64-linux garage_0_8 | |
x86_64-linux python311Packages.rjsmin | |
aarch64-linux spamassassin | |
x86_64-darwin vimPlugins.vim-qml | |
aarch64-linux dictdDBs.deu2eng | |
x86_64-linux emacsPackages.system-specific-settings | |
aarch64-darwin perl538Packages.NetAmazonS3Policy | |
aarch64-linux haskellPackages.cursor-brick | |
x86_64-linux perl536Packages.TextTabularDisplay | |
x86_64-linux ymuse | |
x86_64-linux timetrap | |
x86_64-linux bluej | |
aarch64-darwin perl538Packages.MooseXTypesCommon | |
x86_64-linux linuxPackages_zen.qc71_laptop | |
x86_64-darwin python312Packages.pysnmp-pysmi | |
aarch64-linux python311Packages.pyrabbit2 | |
x86_64-linux linuxPackages_xanmod.system76-power | |
x86_64-linux perl538Packages.Error | |
aarch64-darwin symbiyosys | |
aarch64-darwin emacsPackages.pyinspect | |
aarch64-darwin igrep | |
x86_64-darwin netsurf.libutf8proc | |
aarch64-darwin perl538Packages.AnyEventCacheDNS | |
aarch64-linux perl538Packages.StringCompareConstantTime | |
x86_64-linux nightfox-gtk-theme | |
x86_64-linux ncftp | |
x86_64-linux knightos-kcc | |
aarch64-darwin python312Packages.tahoma-api | |
x86_64-darwin postgresql15Packages.pgsodium | |
aarch64-darwin python311Packages.xmldiff | |
x86_64-linux emacsPackages.transient | |
x86_64-linux sbclPackages.trivial-cltl2 | |
aarch64-darwin python311Packages.newick | |
aarch64-darwin python312Packages.rich-argparse | |
x86_64-darwin linkerd_edge | |
x86_64-linux emacsPackages.scopeline | |
aarch64-darwin haskellPackages.stickyKeysHotKey | |
aarch64-linux teams-for-linux | |
aarch64-linux nawk | |
x86_64-darwin haskellPackages.SpreadsheetML | |
x86_64-darwin chickenPackages_5.chickenEggs.check-errors | |
aarch64-linux python312Packages.screeninfo | |
x86_64-darwin aws-vault | |
x86_64-darwin python311Packages.ws4py | |
x86_64-linux linuxPackages_zen.v4l2loopback | |
x86_64-darwin rubyPackages_3_2.rails-dom-testing | |
aarch64-darwin python311Packages.pymatting | |
aarch64-linux vtable-dumper | |
aarch64-darwin python312Packages.leidenalg | |
x86_64-darwin rubyPackages.method_source | |
aarch64-darwin arjun | |
x86_64-linux rubyPackages_3_1.activejob | |
x86_64-darwin haskellPackages.type-booleans | |
x86_64-linux chickenPackages_5.chickenEggs.x11-colors | |
aarch64-darwin python311Packages.django-pwa | |
aarch64-linux alsa-scarlett-gui | |
aarch64-linux python312Packages.ifaddr | |
x86_64-darwin python312Packages.noiseprotocol | |
aarch64-linux haskellPackages.sox | |
aarch64-darwin python312Packages.pyxl3 | |
x86_64-linux linuxKernel.packages.linux_4_19.hid-tmff2 | |
x86_64-linux japa | |
aarch64-linux perl536Packages.SerealEncoder | |
aarch64-linux emacsPackages.ob-dart | |
x86_64-linux python312Packages.pybloom-live | |
x86_64-linux python312Packages.asynccmd | |
aarch64-darwin emacsPackages.prettier | |
aarch64-darwin plasma5Packages.extra-cmake-modules | |
aarch64-darwin haskellPackages.fsnotify | |
x86_64-linux python311Packages.dtlssocket | |
x86_64-darwin python312Packages.construct-classes | |
x86_64-darwin python312Packages.py-partiql-parser | |
aarch64-linux nyarr | |
aarch64-darwin emacsPackages.ox-report | |
aarch64-linux lndmanage | |
aarch64-darwin dataclass-wizard | |
aarch64-linux merkaartor | |
aarch64-linux sorted-grep | |
x86_64-darwin emacsPackages.window-commander | |
x86_64-darwin python311Packages.hyperpyyaml | |
x86_64-darwin haskellPackages.tlex | |
aarch64-linux precice-config-visualizer | |
x86_64-darwin perl536Packages.IOCaptureOutput | |
x86_64-linux haskellPackages.fregel | |
aarch64-darwin python311Packages.boltons | |
x86_64-darwin perl536Packages.XSObjectMagic | |
x86_64-darwin endlines | |
aarch64-linux home-assistant-component-tests.picnic | |
x86_64-linux linuxKernel.packages.linux_lqx.rtl8192eu | |
aarch64-linux python312Packages.pystache | |
aarch64-linux soupault | |
aarch64-linux python311Packages.pysrt | |
x86_64-darwin python312Packages.azure-cosmosdb-table | |
aarch64-linux nullmailer | |
x86_64-linux linuxPackages_5_10_hardened.rtw89 | |
x86_64-linux perl538Packages.CGICookieXS | |
aarch64-linux emacsPackages.xquery-tool | |
x86_64-linux tests.trivial-builders.writeShellApplication | |
x86_64-linux perl536Packages.AnyEventIRC | |
aarch64-linux haskellPackages.textPlot | |
x86_64-darwin python311Packages.pyfzf | |
x86_64-linux vimPlugins.onedark-vim | |
aarch64-linux libsodium | |
x86_64-darwin python311Packages.mdformat-mkdocs | |
x86_64-darwin vimPlugins.vim-hocon | |
aarch64-linux xorg.xorgproto | |
x86_64-linux linuxPackages_5_15_hardened.dddvb | |
x86_64-linux playonlinux | |
x86_64-linux python311Packages.azure-mgmt-common | |
x86_64-linux ayatana-indicator-datetime | |
x86_64-linux unbound-full | |
aarch64-darwin timetrap | |
x86_64-darwin discord-sh | |
x86_64-darwin postgresqlPackages.tsearch_extras | |
aarch64-darwin syncplay | |
aarch64-darwin python311Packages.audible | |
aarch64-linux termshark | |
x86_64-linux distccStdenv | |
aarch64-linux python311Packages.mdformat-toc | |
aarch64-linux terraform-providers.azuread | |
aarch64-darwin python311Packages.zxcvbn | |
aarch64-linux qpwgraph | |
aarch64-linux python311Packages.dask-gateway-server | |
aarch64-darwin python311Packages.packvers | |
aarch64-darwin python311Packages.pyxnat | |
aarch64-darwin python312Packages.marshmallow-sqlalchemy | |
aarch64-darwin python312Packages.path-and-address | |
aarch64-darwin libfmvoice | |
aarch64-linux rubyPackages_3_1.sass-listen | |
aarch64-linux haskellPackages.concurrent-rpc | |
x86_64-darwin gp-saml-gui | |
aarch64-darwin haskellPackages.unix-compat | |
x86_64-linux mountpoint-s3 | |
x86_64-darwin emacsPackages.gs-mode | |
x86_64-linux haskellPackages.tempered | |
x86_64-linux texliveMedium | |
x86_64-linux vimPlugins.hasksyn | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.veikk-linux-driver | |
aarch64-linux emacsPackages.mct | |
aarch64-linux openjdk11_headless | |
x86_64-linux python311Packages.jsonpointer | |
aarch64-darwin xorg.xf86videoqxl | |
x86_64-linux perl538Packages.HTMLElementExtended | |
aarch64-darwin python311Packages.google-cloud-dataproc | |
x86_64-linux python311Packages.mypy-boto3-ssm | |
x86_64-darwin kool | |
x86_64-darwin qtpass | |
aarch64-linux python312Packages.streamdeck | |
x86_64-darwin python311Packages.ipydatawidgets | |
x86_64-darwin emacsPackages.consult-gh | |
x86_64-linux perl536Packages.MojoUserAgentCached | |
aarch64-linux coqPackages.simple-io | |
aarch64-darwin python311Packages.rich | |
x86_64-linux python312Packages.z3-solver | |
x86_64-linux haskellPackages.compiler-warnings | |
x86_64-linux chickenPackages_5.chickenEggs.vector-lib | |
x86_64-darwin python311Packages.unify | |
x86_64-linux python311Packages.urlgrabber | |
aarch64-linux oil-buku | |
x86_64-darwin emacsPackages.numbers | |
x86_64-linux wineWow64Packages.full | |
x86_64-linux python312Packages.azure-mgmt-reservations | |
aarch64-linux fan2go | |
aarch64-linux emacsPackages.register-channel | |
x86_64-linux python312Packages.tuyaha | |
aarch64-linux flatpak | |
aarch64-darwin emacsPackages.ob-julia-vterm | |
x86_64-linux haskellPackages.franz | |
aarch64-linux caddy | |
x86_64-linux python311Packages.pywebpush | |
aarch64-darwin python312Packages.aioblescan | |
x86_64-darwin qrupdate | |
x86_64-darwin haskellPackages.hypergeometric | |
x86_64-linux oci-seccomp-bpf-hook | |
aarch64-darwin python312Packages.justbackoff | |
aarch64-darwin xorg.xcursorthemes | |
x86_64-darwin haskellPackages.ref-tf | |
x86_64-darwin rubyPackages_3_3.sass | |
x86_64-linux linuxKernel.packages.linux_4_19.systemtap | |
x86_64-darwin perl538Packages.GitVersionCompare | |
aarch64-darwin python312Packages.portend | |
x86_64-linux python312Packages.django-auth-ldap | |
x86_64-darwin kodiPackages.pvr-vdr-vnsi | |
x86_64-linux supercollider-with-sc3-plugins | |
x86_64-linux python311Packages.pytest-regressions | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.hyperv-daemons | |
aarch64-linux python311Packages.interface-meta | |
aarch64-linux python311Packages.luxtronik | |
x86_64-linux linuxKernel.packages.linux_6_5.system76 | |
aarch64-linux python311Packages.css-html-js-minify | |
aarch64-linux python312Packages.dvc-data | |
aarch64-darwin haskellPackages.shapes-demo | |
x86_64-linux vimPlugins.vim-spirv | |
aarch64-linux linuxKernel.packages.linux_6_1.nvidia_x11_stable_open | |
x86_64-linux sbclPackages.cl-svg | |
aarch64-linux play-with-mpv | |
aarch64-darwin python311Packages.thorlabspm100 | |
aarch64-linux emacsPackages.css-autoprefixer | |
aarch64-linux emacsPackages.flycheck-swiftx | |
aarch64-darwin wayfirePlugins.windecor | |
x86_64-darwin chickenPackages_5.chickenEggs.git | |
x86_64-linux linuxPackages_latest-libre.oci-seccomp-bpf-hook | |
x86_64-linux linuxPackages-libre.ply | |
x86_64-darwin lua54Packages.lua-resty-http | |
aarch64-darwin python311Packages.txaio | |
aarch64-darwin xkcdpass | |
x86_64-darwin haskellPackages.context | |
x86_64-darwin python311Packages.webcolors | |
x86_64-darwin python312Packages.pybrowsers | |
aarch64-linux python311Packages.python-family-hub-local | |
aarch64-darwin jsluice | |
x86_64-darwin dart-sass | |
x86_64-darwin pdfid | |
x86_64-linux emacsPackages.el-secretario-org | |
aarch64-darwin emacsPackages.semantic-thrift | |
x86_64-darwin glide-media-player | |
aarch64-darwin perl536Packages.ProcFind | |
x86_64-darwin subzerod | |
aarch64-darwin python311Packages.txrequests | |
x86_64-linux emacsPackages.highlight-indentation | |
x86_64-darwin tree-sitter | |
x86_64-darwin python311Packages.emoji | |
aarch64-darwin crlfsuite | |
aarch64-darwin perl538Packages.ModuleCPANfile | |
aarch64-darwin kodiPackages.pvr-hts | |
x86_64-darwin deltachat-repl | |
x86_64-darwin lunatic | |
x86_64-linux haskellPackages.snowflake | |
x86_64-linux gdmap | |
aarch64-linux procmail | |
x86_64-linux python312Packages.readme | |
aarch64-darwin python311Packages.clean-fid | |
aarch64-linux ebook_tools | |
aarch64-darwin tar2ext4 | |
aarch64-darwin perl538Packages.MooseXNonMoose | |
x86_64-linux alegreya-sans | |
x86_64-darwin emacsPackages.coverage | |
x86_64-linux libewf | |
x86_64-darwin python311Packages.pyproj | |
aarch64-darwin python311Packages.types-aiobotocore-macie | |
aarch64-linux python311Packages.celery-singleton | |
x86_64-linux octavePackages.doctest | |
aarch64-linux serfdom | |
x86_64-linux html-xml-utils | |
aarch64-linux python312Packages.retrying | |
x86_64-darwin xlogo | |
x86_64-darwin emacsPackages.treebundel | |
aarch64-linux linuxKernel.packages.linux_libre.ena | |
x86_64-linux vscode-extensions.arrterian.nix-env-selector | |
x86_64-darwin emacsPackages.ob-bitfield | |
aarch64-darwin python312Packages.types-aiobotocore-rolesanywhere | |
aarch64-linux mimir | |
aarch64-linux perl536Packages.GraphicsTIFF | |
aarch64-darwin mitmproxy2swagger | |
aarch64-linux emacsPackages.helm-cider | |
x86_64-linux gnomeExtensions.one-drive-resurrect | |
aarch64-darwin emacsPackages.hc-zenburn-theme | |
aarch64-linux gnome.gnome-user-share | |
x86_64-darwin python311Packages.django-i18nfield | |
x86_64-linux luajitPackages.markdown | |
aarch64-darwin mas | |
aarch64-darwin ydiff | |
aarch64-linux pngout | |
aarch64-darwin python311Packages.aionotion | |
aarch64-darwin lua53Packages.rustaceanvim | |
aarch64-linux linuxPackages_6_6_hardened.lttng-modules | |
x86_64-linux lua52Packages.cassowary | |
x86_64-linux python312Packages.envisage | |
x86_64-darwin haskellPackages.free-vector-spaces | |
aarch64-linux python311Packages.arxiv2bib | |
x86_64-linux opengrok | |
aarch64-linux python312Packages.pycognito | |
aarch64-darwin perl536Packages.IPCSysV | |
x86_64-linux haskellPackages.ttrie | |
x86_64-linux emacsPackages.play-routes-mode | |
x86_64-darwin postgresql16JitPackages.wal2json | |
aarch64-linux haskellPackages.yaml | |
x86_64-darwin chickenPackages_5.chickenEggs.rfc3339 | |
aarch64-darwin perl536Packages.MailDMARC | |
x86_64-linux python312Packages.pydata-sphinx-theme | |
x86_64-linux asleap | |
x86_64-darwin emacsPackages.auto-complete-distel | |
x86_64-darwin python311Packages.genzshcomp | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.menuw | |
aarch64-linux python312Packages.smarthab | |
aarch64-darwin mole | |
x86_64-darwin kodiPackages.libretro-nestopia | |
x86_64-darwin perl538Packages.CPANPLUS | |
aarch64-linux tqsl | |
aarch64-linux python312Packages.generic | |
x86_64-darwin vimPlugins.cmp-npm | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.slang | |
x86_64-linux python311Packages.avro3k | |
aarch64-linux linuxPackages_6_1_hardened.rtl8723ds | |
aarch64-darwin rubyPackages_3_1.jekyll-archives | |
aarch64-linux chickenPackages_5.chickenEggs.sqlite3pth | |
aarch64-linux tinyssh | |
aarch64-darwin ArchiSteamFarm | |
x86_64-darwin emacsPackages.cmm-mode | |
aarch64-linux guitarix | |
x86_64-linux emacsPackages.russian-holidays | |
aarch64-linux t4kcommon | |
x86_64-darwin perl538Packages.DevelGlobalPhase | |
x86_64-linux linuxPackages_6_5_hardened.rtl8189fs | |
x86_64-linux vimPlugins.block-nvim | |
x86_64-linux gimpPlugins.gimp | |
x86_64-linux haskellPackages.amazonka-ds | |
x86_64-darwin lua51Packages.lush-nvim | |
aarch64-linux haskellPackages.bugsnag-hs | |
aarch64-linux python311Packages.pyudev | |
aarch64-linux python311Packages.networkx | |
aarch64-linux haskellPackages.safe-exceptions-checked | |
x86_64-linux yoda | |
x86_64-linux python311Packages.markupsafe | |
x86_64-darwin python312Packages.parse-type | |
x86_64-darwin python312Packages.types-aiobotocore-meteringmarketplace | |
x86_64-linux sgx-psw | |
aarch64-linux libutp_3_4 | |
aarch64-darwin bat-extras.batpipe | |
aarch64-darwin libantlr3c | |
x86_64-linux fantasque-sans-mono | |
x86_64-linux mblock-mlink | |
x86_64-linux linuxPackages_latest-libre.nvidia_x11 | |
aarch64-darwin powermanga | |
x86_64-darwin haskellPackages.tmapchan | |
x86_64-darwin toml2json | |
aarch64-darwin vimPlugins.vim-multiple-cursors | |
x86_64-darwin emacsPackages.map-regexp | |
x86_64-darwin goredo | |
x86_64-darwin python311Packages.scmrepo | |
aarch64-darwin vimPlugins.nvim-bufdel | |
aarch64-linux emacsPackages.helm-bufler | |
x86_64-linux haskellPackages.shelltestrunner | |
aarch64-linux python312Packages.sjcl | |
x86_64-darwin python311Packages.python-technove | |
aarch64-linux emacsPackages.lsp-javacomp | |
x86_64-darwin python312Packages.edalize | |
x86_64-darwin emacsPackages.sas-py | |
x86_64-darwin python312Packages.django-currentuser | |
x86_64-darwin python312Packages.nanoid | |
x86_64-darwin python311Packages.aiotractive | |
aarch64-darwin haskellPackages.matrix-client | |
aarch64-linux vimPlugins.package-info-nvim | |
aarch64-linux emacsPackages.chronometrist-key-values | |
x86_64-linux svp | |
aarch64-linux haskellPackages.exinst | |
aarch64-darwin gnome.gnome-mines | |
aarch64-darwin python312Packages.django-redis | |
x86_64-linux calendar-cli | |
x86_64-linux python312Packages.rova | |
aarch64-darwin vimPlugins.fzf-vim | |
x86_64-darwin python312Packages.idna | |
aarch64-linux emacsPackages.gitter | |
x86_64-linux chickenPackages_5.chickenEggs.datatype | |
x86_64-darwin python311Packages.aioymaps | |
x86_64-darwin roadrunner | |
x86_64-darwin python311Packages.ofxclient | |
x86_64-linux vimPlugins.nvim-completion-manager | |
x86_64-linux perl538Packages.HTMLParser | |
aarch64-linux perl536Packages.TestRunValgrind | |
x86_64-linux rubyPackages_3_3.msgpack | |
aarch64-darwin haskellPackages.photoname | |
x86_64-darwin perl536Packages.LinguaStemIt | |
x86_64-darwin python312Packages.mdformat-nix-alejandra | |
aarch64-darwin emacsPackages.vcl-mode | |
aarch64-linux linuxPackages_lqx.r8168 | |
x86_64-linux emacsPackages.zotxt | |
x86_64-linux vimPlugins.eva01-vim | |
x86_64-darwin darwin.configdHeaders | |
aarch64-linux go-mtpfs | |
aarch64-linux haskellPackages.re2 | |
x86_64-linux python312Packages.cobs | |
x86_64-linux linuxKernel.packages.linux_latest_libre.rust-out-of-tree-module | |
aarch64-linux perl536Packages.CGIPSGI | |
x86_64-linux python312Packages.types-aiobotocore-chime-sdk-voice | |
x86_64-linux linuxKernel.packages.linux_zen.systemtap | |
x86_64-linux pwntools | |
aarch64-linux linuxKernel.packages.linux_5_15.systemtap | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.func | |
aarch64-darwin python312Packages.aioemonitor | |
aarch64-linux python311Packages.langchain-community | |
x86_64-darwin haskellPackages.hpc-codecov | |
x86_64-linux python312Packages.python-rabbitair | |
aarch64-linux home-assistant-component-tests.sun | |
aarch64-linux tests.hardeningFlags-gcc.pieExplicitEnabled | |
aarch64-linux haskellPackages.core-webserver-servant | |
x86_64-linux emacsPackages.helm-fish-completion | |
x86_64-darwin python311Packages.pypandoc | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.liquidsoap | |
aarch64-darwin eff | |
x86_64-linux libretro.dolphin | |
aarch64-linux python312Packages.justbytes | |
x86_64-linux haskellPackages.jsaddle-webkit2gtk | |
aarch64-darwin haskellPackages.wedged | |
x86_64-darwin postgresql12JitPackages.pg_relusage | |
aarch64-darwin python312Packages.ajpy | |
x86_64-linux opendungeons | |
x86_64-linux python312Packages.types-aiobotocore-lookoutmetrics | |
aarch64-linux haskellPackages.vector-algorithms | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.objc | |
aarch64-darwin monero-cli | |
x86_64-linux apfs-fuse | |
x86_64-darwin haskellPackages.bitmap | |
aarch64-linux chickenPackages_5.chickenEggs.r7rs | |
x86_64-linux haskellPackages.xml-basic | |
x86_64-darwin lucky-commit | |
aarch64-linux haskellPackages.naturalcomp | |
aarch64-darwin pikchr | |
x86_64-linux haskellPackages.wave | |
x86_64-darwin ckbcomp | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.sndfile | |
x86_64-darwin emacsPackages.psc-ide | |
x86_64-darwin ipmitool | |
x86_64-linux python312Packages.biplist | |
x86_64-linux reptor | |
aarch64-linux geist-font | |
x86_64-darwin python311Packages.opytimark | |
aarch64-darwin perl536Packages.HTMLTree | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.libpcre2-posix | |
x86_64-darwin python311Packages.robomachine | |
aarch64-linux mpage | |
aarch64-linux python311Packages.wazeroutecalculator | |
aarch64-linux cutter | |
x86_64-darwin emacsPackages.auto-read-only | |
x86_64-darwin micromamba | |
x86_64-linux cabal-install | |
x86_64-darwin aspellDicts.it | |
aarch64-darwin emacsPackages.lsp-pyre | |
x86_64-linux emacsPackages.bbdb2erc | |
x86_64-linux python312Packages.aurorapy | |
x86_64-linux python311Packages.sphinxcontrib-katex | |
aarch64-darwin wamr | |
x86_64-linux emacsPackages.captain | |
aarch64-darwin rubyPackages_3_1.rack-test | |
aarch64-darwin emacsPackages.eval-expr | |
aarch64-darwin postgresql16Packages.promscale_extension | |
aarch64-linux python311Packages.sre-yield | |
x86_64-darwin haskellPackages.language-protobuf | |
x86_64-darwin chickenPackages_5.chickenEggs.endian-port | |
aarch64-linux linuxKernel.packages.linux_5_15.lttng-modules | |
x86_64-linux python311Packages.isbnlib | |
x86_64-linux emacsPackages.helm-ack | |
aarch64-linux tijolo | |
aarch64-linux chain-bench | |
aarch64-linux ispike | |
x86_64-darwin python312Packages.kubernetes | |
aarch64-linux python312Packages.btchip-python | |
x86_64-darwin python312Packages.piccolo-theme | |
x86_64-linux gawk | |
aarch64-linux python311Packages.meeko | |
x86_64-linux unixtools.arp | |
x86_64-linux python312Packages.pyaudio | |
aarch64-linux haskellPackages.quickcheck-string-random | |
aarch64-linux python311Packages.blockfrost-python | |
aarch64-darwin emacsPackages.eyuml | |
x86_64-linux gnomeExtensions.finans-monitoru | |
x86_64-linux haskellPackages.colourista | |
aarch64-darwin perl538Packages.Alienpatch | |
aarch64-linux perl536Packages.LinguaStemSnowballDa | |
x86_64-darwin perl538Packages.ProtocolRedis | |
aarch64-linux python311Packages.unidiff | |
x86_64-linux xorg.libXt | |
aarch64-darwin lua52Packages.fidget-nvim | |
aarch64-linux python312Packages.pyproject-api | |
aarch64-linux tlaps | |
x86_64-linux rubyPackages_3_3.polyglot | |
x86_64-linux haskellPackages.netlib-comfort-array | |
x86_64-darwin haskellPackages.boring | |
x86_64-darwin emacsPackages.easy-jekyll | |
x86_64-linux openmodelica.omshell | |
aarch64-darwin postgresql14Packages.plr | |
aarch64-darwin python312Packages.mypy-boto3-route53domains | |
aarch64-linux lua53Packages.lualdap | |
x86_64-linux valentina | |
aarch64-darwin python311Packages.oslo-config | |
aarch64-darwin emacsPackages.pyim | |
x86_64-darwin haskellPackages.chronos-bench | |
x86_64-darwin haskellPackages.prettyprinter-convert-ansi-wl-pprint | |
x86_64-linux python312Packages.bibtexparser | |
aarch64-darwin docker-compose-language-service | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.hid-tmff2 | |
x86_64-linux python311Packages.govee-led-wez | |
aarch64-linux linuxKernel.packages.linux_5_10.veikk-linux-driver | |
x86_64-linux linuxPackages_5_15_hardened.rtl8723ds | |
aarch64-linux emacsPackages.helm-git-grep | |
aarch64-darwin haskellPackages.set-monad | |
aarch64-darwin vimPlugins.kommentary | |
aarch64-linux vimPlugins.vim-smalls | |
aarch64-linux tesseract4 | |
aarch64-darwin haskellPackages.infer-license | |
aarch64-linux emacsPackages.format-table | |
aarch64-darwin python311Packages.vispy | |
x86_64-linux python311Packages.fastnlo-toolkit | |
x86_64-linux home-assistant-component-tests.faa_delays | |
aarch64-darwin nbd | |
aarch64-linux perl536Packages.MonitoringPlugin | |
x86_64-linux llvmPackages_14.bintools | |
aarch64-linux yarGen | |
aarch64-darwin python311Packages.hishel | |
x86_64-linux python312Packages.django-csp | |
aarch64-darwin plasma5Packages.qt5.qtquickcontrols2 | |
x86_64-darwin emacsPackages.auto-compile | |
x86_64-linux python311Packages.pyclipper | |
aarch64-darwin haskellPackages.csv-to-qif | |
aarch64-linux qt6Packages.qt6gtk2 | |
aarch64-darwin crawley | |
aarch64-darwin precice | |
x86_64-darwin python311Packages.types-aiobotocore-dax | |
x86_64-darwin python312Packages.minio | |
x86_64-linux mercurialFull | |
aarch64-linux cudaPackages_11.libcufft | |
x86_64-linux python312Packages.pdm-pep517 | |
aarch64-darwin gegl | |
aarch64-darwin libretro.bsnes-mercury-balanced | |
x86_64-linux perl538Packages.DirManifest | |
aarch64-linux jsonrpc-glib | |
aarch64-darwin vimPlugins.git-messenger-vim | |
aarch64-darwin aspellDicts.ar | |
x86_64-linux perl538Packages.IOCaptureOutput | |
x86_64-linux haskellPackages.koji | |
x86_64-linux chickenPackages_5.chickenEggs.svn-client | |
aarch64-darwin python311Packages.gradient-statsd | |
x86_64-linux python312Packages.plux | |
x86_64-darwin rcp | |
x86_64-linux python312Packages.webthing | |
x86_64-linux vscode-extensions.github.copilot-chat | |
x86_64-linux perl538Packages.FileFindRule | |
aarch64-linux python311Packages.click-datetime | |
aarch64-linux postgresqlJitPackages.pg_topn | |
x86_64-linux emacsPackages.fpga | |
aarch64-darwin python312Packages.aioairq | |
aarch64-linux rubyPackages.commonmarker | |
x86_64-linux splint | |
x86_64-linux dynamic-colors | |
x86_64-linux heroic | |
x86_64-darwin python311Packages.adblock | |
aarch64-darwin python312Packages.fb-re2 | |
aarch64-linux chickenPackages_5.chickenEggs.forcible | |
aarch64-linux python311Packages.thefuzz | |
aarch64-linux vimPlugins.vim-simpledb | |
aarch64-darwin python312Packages.types-aiobotocore-chime-sdk-messaging | |
x86_64-darwin languagetool-rust | |
aarch64-darwin python311Packages.python-kasa | |
x86_64-darwin lpcnetfreedv | |
x86_64-linux emacsPackages.requirejs | |
x86_64-linux ananicy | |
aarch64-darwin chickenPackages_5.chickenEggs.spiffy-request-vars | |
x86_64-darwin python311Packages.jsonpath-ng | |
aarch64-darwin haskellPackages.phonetic-languages-filters-array | |
aarch64-linux emacsPackages.ac-emoji | |
x86_64-linux python311Packages.python-nmap | |
x86_64-linux python312Packages.aioinflux | |
aarch64-linux python312Packages.griffe | |
x86_64-darwin python311Packages.diceware | |
x86_64-linux python312Packages.limiter | |
aarch64-darwin emacsPackages.flycheck-yamllint | |
x86_64-linux tests.writers.simple.nu | |
aarch64-linux rubyPackages.sequel | |
x86_64-darwin postgresql15JitPackages.age | |
x86_64-linux haskellPackages.ratel-wai | |
aarch64-linux su | |
x86_64-linux linuxKernel.packages.linux_5_4.rtl8723ds | |
aarch64-darwin python311Packages.watchdog-gevent | |
aarch64-linux theLoungePlugins.themes.scoutlink | |
x86_64-linux emacsPackages.ac-emoji | |
x86_64-darwin emacsPackages.showtip | |
x86_64-linux legba | |
aarch64-darwin python311Packages.click-command-tree | |
x86_64-darwin python312Packages.onnxconverter-common | |
aarch64-darwin rubyPackages_3_2.prettier | |
aarch64-linux balsa | |
aarch64-darwin nbqa | |
x86_64-linux terraform-providers.huaweicloud | |
x86_64-linux linuxPackages_hardened.shufflecake | |
aarch64-linux postgresql13Packages.pgjwt | |
aarch64-darwin python311Packages.pytest-datadir | |
x86_64-darwin emacsPackages.emoji-github | |
aarch64-linux vimPlugins.vim-flatbuffers | |
aarch64-linux qmidiarp | |
x86_64-darwin pgmetrics | |
x86_64-linux python312Packages.pook | |
aarch64-darwin molly-brown | |
x86_64-darwin emacsPackages.jami-bot | |
x86_64-linux twspace-crawler | |
x86_64-linux kdbg | |
aarch64-linux rubyPackages_3_3.idn-ruby | |
aarch64-darwin python311Packages.hg-evolve | |
aarch64-darwin python311Packages.django-classy-tags | |
aarch64-linux python312Packages.tappy | |
x86_64-linux emacsPackages.sml-basis | |
aarch64-darwin headphones | |
aarch64-darwin postgresqlPackages.age | |
x86_64-linux vimPlugins.vim-eunuch | |
aarch64-linux xzgv | |
x86_64-linux haskellPackages.TableAlgebra | |
x86_64-darwin python312Packages.django-mailman3 | |
aarch64-linux emacsPackages.monochrome-theme | |
x86_64-darwin haskellPackages.base64-bytes | |
x86_64-linux emacsPackages.livescript-mode | |
x86_64-linux haskellPackages.opentheory-unicode | |
aarch64-darwin python311Packages.devialet | |
x86_64-darwin space-orbit | |
x86_64-linux uhexen2 | |
x86_64-linux lalezar-fonts | |
x86_64-darwin python312Packages.ulid-transform | |
aarch64-darwin perl538Packages.DateRange | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.dddvb | |
aarch64-darwin vaultenv | |
x86_64-darwin cimg | |
aarch64-linux python311Packages.azure-mgmt-hanaonazure | |
aarch64-linux gnome-extension-manager | |
x86_64-linux rubyPackages_3_3.simpleidn | |
aarch64-darwin python311Packages.mypy-boto3-organizations | |
aarch64-darwin python311Packages.twisted | |
aarch64-linux gowitness | |
aarch64-linux fiano | |
x86_64-darwin llvmPackages_16.clangNoCompilerRt | |
aarch64-linux bottom | |
aarch64-darwin dysk | |
x86_64-darwin python312Packages.asyncstdlib | |
x86_64-darwin rubyPackages_3_2.sorbet-runtime | |
aarch64-linux sonota | |
x86_64-linux python312Packages.tskit | |
aarch64-linux haskellPackages.randomgen | |
x86_64-linux python312Packages.rfc3339-validator | |
x86_64-darwin python311Packages.deform | |
aarch64-darwin python311Packages.jxmlease | |
x86_64-linux linuxKernel.packages.linux_zen.perf | |
x86_64-linux python311Packages.font-v | |
aarch64-darwin ghidra | |
aarch64-darwin haskellPackages.yesod-routes-flow | |
x86_64-linux haskellPackages.shell-utility | |
x86_64-linux linuxPackages-libre.phc-intel | |
x86_64-darwin wapiti | |
x86_64-darwin haskellPackages.cereal-data-dword | |
x86_64-linux chickenPackages_5.chickenEggs.condition-utils | |
aarch64-linux emacsPackages.dwim-shell-command | |
aarch64-linux haskellPackages.semver-range | |
x86_64-darwin haskellPackages.cabal-clean | |
x86_64-linux wlr-randr | |
x86_64-linux python311Packages.click-datetime | |
aarch64-linux pentestgpt | |
aarch64-darwin python312Packages.purl | |
aarch64-linux skate | |
aarch64-darwin emacsPackages.debbugs | |
aarch64-linux python312Packages.aiosasl | |
aarch64-linux linuxPackages_6_7_hardened.fanout | |
x86_64-linux patchance | |
aarch64-linux emacsPackages.shell-quasiquote | |
x86_64-darwin turtle-build | |
x86_64-linux emacsPackages.immutant-server | |
aarch64-linux libagar | |
aarch64-darwin brook | |
aarch64-darwin alps | |
aarch64-linux home-assistant-component-tests.automation | |
aarch64-linux ineffassign | |
aarch64-darwin isabelle-components.isabelle-linter | |
x86_64-linux deepin.deepin-sound-theme | |
aarch64-darwin emacsPackages.helm-comint | |
x86_64-darwin python311Packages.bite-parser | |
aarch64-darwin python312Packages.lief | |
aarch64-linux python311Packages.reflink | |
x86_64-linux libdwarf_20210528 | |
aarch64-darwin annapurna-sil | |
aarch64-darwin python311Packages.permissionedforms | |
x86_64-linux silc_server | |
x86_64-darwin python312Packages.aiosomecomfort | |
aarch64-darwin python311Packages.skytemple-files | |
aarch64-darwin lxde.lxtask | |
aarch64-darwin manix | |
x86_64-linux ibus-engines.libpinyin | |
x86_64-linux chickenPackages_5.chickenEggs.awful-sse | |
aarch64-darwin emacsPackages.stock-tracker | |
aarch64-linux haskellPackages.fusion-plugin-types | |
aarch64-linux lua53Packages.toml | |
x86_64-darwin python312Packages.dparse2 | |
aarch64-linux libsForQt5.karchive | |
x86_64-linux linuxPackages_4_19_hardened.tbs | |
aarch64-linux python312Packages.lxml | |
x86_64-linux python311Packages.faiss | |
aarch64-linux python312Packages.py-air-control | |
x86_64-linux haskellPackages.utf8-string | |
x86_64-darwin python311Packages.yaramod | |
aarch64-darwin emacsPackages.substitute | |
x86_64-darwin emacsPackages.expand-line | |
x86_64-darwin gjo | |
aarch64-darwin emacsPackages.flycheck-clang-tidy | |
x86_64-darwin haskellPackages.charsetdetect-ae | |
x86_64-darwin rubyPackages.ansi | |
x86_64-linux python312Packages.azure-mgmt-appcontainers | |
x86_64-darwin prometheus-artifactory-exporter | |
x86_64-linux rubyPackages_3_3.dip | |
aarch64-linux mame-tools | |
x86_64-linux gifski | |
x86_64-linux linuxKernel.packages.linux_latest_libre.digimend | |
x86_64-darwin python312Packages.asn1tools | |
aarch64-linux pop-gtk-theme | |
x86_64-linux smplayer | |
aarch64-darwin emacsPackages.elixir-mode | |
aarch64-linux haskellPackages.comfort-array-shape | |
x86_64-linux perl536Packages.DevelCheckCompiler | |
x86_64-darwin kona | |
x86_64-linux linuxKernel.packages.linux_6_5_hardened.nvidia_x11_beta_open | |
x86_64-darwin emacsPackages.sql-smie | |
x86_64-linux emacsPackages.circe | |
aarch64-linux netsurf.libnsbmp | |
x86_64-linux perl538Packages.PerlCriticCommunity | |
x86_64-darwin ffmpeg_6-full | |
x86_64-darwin emacsPackages.buffer-name-relative | |
aarch64-linux netbeans | |
x86_64-linux base16-builder | |
aarch64-darwin augustus | |
x86_64-linux python311Packages.types-aiobotocore-opensearchserverless | |
aarch64-linux haskellPackages.graph | |
x86_64-darwin ntlmrecon | |
x86_64-linux gmic-qt | |
x86_64-darwin openshift | |
aarch64-darwin python312Packages.types-aiobotocore-mediaconnect | |
x86_64-darwin azure-storage-azcopy | |
aarch64-darwin python311Packages.construct | |
x86_64-linux vimPlugins.jinja-vim | |
x86_64-linux python312Packages.deprecat | |
x86_64-linux wails | |
x86_64-darwin python311Packages.jupyter-server-mathjax | |
aarch64-linux emacsPackages.extend-dnd | |
aarch64-darwin python312Packages.mobi | |
aarch64-linux haskellPackages.language-ecmascript-analysis | |
aarch64-darwin haskellPackages.language-python-test | |
x86_64-linux emacsPackages.toc-org | |
x86_64-darwin python311Packages.plaster | |
x86_64-linux libserdes | |
x86_64-darwin vimPlugins.leap-ast-nvim | |
x86_64-linux lua51Packages.nvim-cmp | |
x86_64-darwin python312Packages.teamcity-messages | |
x86_64-darwin haskellPackages.enum-subset-generate | |
x86_64-darwin flopoco | |
aarch64-darwin python311Packages.openevsewifi | |
aarch64-darwin python312Packages.pytankerkoenig | |
x86_64-darwin haskellPackages.hake | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.broadcom_sta | |
x86_64-darwin haskellPackages.hw-string-parse | |
x86_64-linux libx86emu | |
aarch64-darwin ttfautohint-nox | |
aarch64-linux python311Packages.mypy-boto3-applicationcostprofiler | |
x86_64-linux zsh-clipboard | |
x86_64-darwin kube-prompt | |
x86_64-darwin python311Packages.braintree | |
aarch64-linux emacsPackages.pyvenv | |
aarch64-linux emacsPackages.theme-buffet | |
aarch64-darwin python311Packages.python-periphery | |
x86_64-linux perl536Packages.BioPerl | |
aarch64-darwin haskellPackages.streaming-nonempty | |
x86_64-linux python312Packages.range-typed-integers | |
x86_64-linux savvycan | |
aarch64-darwin haskellPackages.futhark-manifest | |
x86_64-linux rubyPackages_3_3.unf | |
x86_64-linux python312Packages.schedule | |
x86_64-darwin python311Packages.python-bsblan | |
aarch64-linux flmsg | |
aarch64-linux haskellPackages.async-refresh | |
x86_64-linux haskellPackages.alphachar | |
x86_64-linux home-assistant-component-tests.efergy | |
aarch64-linux twitch-dl | |
aarch64-linux zitadel | |
aarch64-linux cudaPackages_11.cuda_nvtx | |
aarch64-darwin lua54Packages.luadbi | |
x86_64-linux slipstream | |
x86_64-darwin python312Packages.libais | |
aarch64-darwin bundlewrap | |
x86_64-linux libretro.prboom | |
aarch64-linux kubectl-cnpg | |
aarch64-darwin emacsPackages.clipetty | |
x86_64-darwin python311Packages.wsproto | |
x86_64-linux javaPackages.openjfx19 | |
x86_64-linux python312Packages.crownstone-uart | |
x86_64-linux stfl | |
x86_64-darwin python311Packages.keepalive | |
x86_64-linux trimal | |
x86_64-linux perl536Packages.TestMockHTTPTiny | |
x86_64-linux svu | |
aarch64-darwin python312Packages.mypy-boto3-firehose | |
aarch64-linux lua51Packages.luafilesystem | |
aarch64-linux python312Packages.types-aiobotocore-support-app | |
x86_64-linux envchain | |
x86_64-darwin haskellPackages.atomic-file-ops | |
aarch64-darwin emacsPackages.springboard | |
x86_64-darwin python311Packages.eccodes | |
x86_64-linux muparserx | |
aarch64-darwin python312Packages.libnacl | |
x86_64-linux haskellPackages.select | |
x86_64-darwin haskellPackages.monad-bayes | |
aarch64-darwin vimPlugins.vim-ccls | |
aarch64-darwin vimPlugins.vim-pandoc | |
x86_64-linux mark | |
x86_64-darwin python311Packages.checkdmarc | |
aarch64-linux emacsPackages.helm-org-recent-headings | |
x86_64-darwin haskellPackages.haskell-src-meta | |
aarch64-darwin svg2tikz | |
aarch64-darwin haskellPackages.amazonka-appconfigdata | |
aarch64-linux dcraw | |
aarch64-linux perl536Packages.ConfigGrammar | |
x86_64-darwin tcl | |
x86_64-darwin python311Packages.whoisdomain | |
x86_64-darwin haskell.compiler.native-bignum.ghc964 | |
aarch64-darwin vpn-slice | |
aarch64-darwin salt | |
x86_64-darwin chickenPackages_5.chickenEggs.awful-sql-de-lite | |
aarch64-darwin haskellPackages.SDL-gfx | |
aarch64-darwin perl536Packages.ModuleInstallGithubMeta | |
aarch64-darwin octodns-providers.powerdns | |
x86_64-linux vimPlugins.vim-javascript-syntax | |
x86_64-darwin python311Packages.requirements-detector | |
x86_64-linux libre | |
x86_64-darwin python312Packages.html2image | |
x86_64-linux python312Packages.python-vlc | |
aarch64-darwin plasma5Packages.qoauth | |
x86_64-linux python311Packages.mashumaro | |
aarch64-linux haskellPackages.infer-license | |
aarch64-linux synapse-admin | |
aarch64-linux krank | |
x86_64-darwin python311Packages.pysigma-pipeline-sysmon | |
aarch64-linux python311Packages.allure-behave | |
aarch64-linux emacsPackages.evil-anzu | |
x86_64-linux python311Packages.yolink-api | |
x86_64-darwin perl536Packages.VMEC2 | |
x86_64-darwin haskellPackages.transaction | |
x86_64-linux rubyPackages_3_1.simplecov | |
x86_64-darwin genmap | |
aarch64-linux haskellPackages.evm-opcodes | |
aarch64-darwin emacsPackages.pythonic | |
x86_64-darwin python312Packages.lunarcalendar | |
x86_64-linux vimPlugins.vim-advanced-sorters | |
aarch64-darwin perl536Packages.ParseYapp | |
x86_64-linux wavrsocvt | |
x86_64-linux home-assistant-component-tests.caldav | |
x86_64-darwin makerpm | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.godot_resource | |
aarch64-darwin pkg | |
x86_64-linux llvmPackages_16.stdenv | |
aarch64-linux cargo-play | |
aarch64-linux bwm_ng | |
x86_64-darwin lua5_1 | |
x86_64-linux python311Packages.ray | |
aarch64-linux python312Packages.fontparts | |
aarch64-darwin yuicompressor | |
aarch64-linux emacsPackages.centered-window | |
x86_64-darwin python312Packages.recommonmark | |
x86_64-linux SDL_gpu | |
aarch64-linux linuxPackages_xanmod_latest.nvidia_x11_production | |
x86_64-linux electron_27 | |
aarch64-linux verbiste | |
x86_64-darwin python311Packages.tensorflowWithoutCuda | |
x86_64-linux unvanquished | |
aarch64-darwin emacsPackages.org-category-capture | |
aarch64-linux c64-debugger | |
aarch64-linux ocrmypdf | |
aarch64-darwin rubyPackages_3_3.sinatra | |
aarch64-darwin python311Packages.pynrrd | |
x86_64-darwin terraform-providers.google-beta | |
aarch64-darwin haskellPackages.gdp | |
x86_64-linux luajitPackages.luarocksMoveDataFolder | |
x86_64-darwin python311Packages.luddite | |
aarch64-linux python312Packages.pyatspi | |
aarch64-darwin python312Packages.soxr | |
aarch64-linux python311Packages.binwalk-full | |
x86_64-linux emacsPackages.fortpy | |
x86_64-linux perl538Packages.Gtk3ImageView | |
x86_64-linux lensfun | |
x86_64-darwin vimPlugins.seoul256-vim | |
aarch64-linux haskellPackages.DescriptiveKeys | |
aarch64-linux goreplay | |
aarch64-linux libieee1284 | |
aarch64-linux when | |
x86_64-linux vimPlugins.nvim-solarized-lua | |
aarch64-linux agg | |
x86_64-linux emacsPackages.somafm | |
x86_64-linux rubyPackages_3_3.gobject-introspection | |
x86_64-darwin foma | |
x86_64-linux haskellPackages.indexed-list-literals | |
x86_64-linux python312Packages.pyathena | |
x86_64-darwin python312Packages.yapf | |
x86_64-linux emacsPackages.dash | |
aarch64-linux emacsPackages.omn-mode | |
aarch64-darwin haskellPackages.fuzzy-parse | |
x86_64-linux sioclient | |
aarch64-darwin libqalculate | |
x86_64-linux python311Packages.warcio | |
x86_64-linux python312Packages.django-allauth-2fa | |
x86_64-darwin roundup | |
x86_64-linux python312Packages.tuf | |
aarch64-darwin emacsPackages.ob-tmux | |
aarch64-linux perl538Packages.SysHostnameLong | |
x86_64-linux python312Packages.pyrfc3339 | |
x86_64-linux python311Packages.mypy-boto3-neptunedata | |
x86_64-darwin haskellPackages.tagset-positional | |
x86_64-darwin haskellPackages.texmath | |
aarch64-darwin python311Packages.prayer-times-calculator | |
x86_64-linux emacsPackages.yaml-mode | |
x86_64-darwin matlab-language-server | |
aarch64-linux python312Packages.pygit2 | |
aarch64-linux aspellDicts.ast | |
aarch64-linux emacsPackages.demap | |
x86_64-linux haskellPackages.XAttr | |
aarch64-darwin python312Packages.cfgv | |
x86_64-linux libsForQt5.khtml | |
x86_64-linux cudaPackages_10.tensorrt_8_2 | |
x86_64-linux python311Packages.bimmer-connected | |
x86_64-linux containerd | |
x86_64-linux louvre | |
aarch64-linux opcua-client-gui | |
aarch64-darwin perl538Packages.SQLStatement | |
x86_64-linux wmfocus | |
x86_64-darwin perl538Packages.FreezeThaw | |
aarch64-darwin haskellPackages.euler-tour-tree | |
x86_64-darwin perl538Packages.MojoliciousPluginAssetPack | |
x86_64-linux linuxKernel.packages.linux_zen.openafs_1_8 | |
x86_64-linux python312Packages.types-aiobotocore-waf-regional | |
x86_64-darwin python312Packages.tokentrim | |
aarch64-linux granted | |
aarch64-darwin python311Packages.pygments-markdown-lexer | |
aarch64-darwin zulu11 | |
x86_64-linux xonotic-dedicated-unwrapped | |
x86_64-linux emacsPackages.orgit-forge | |
x86_64-linux perl538Packages.TestDifferences | |
aarch64-linux languageMachines.ticcutils | |
aarch64-darwin haskellPackages.arxiv | |
x86_64-darwin haskellPackages.multiplate | |
x86_64-linux perl538Packages.MetaBuilder | |
x86_64-linux linuxKernel.packages.linux_lqx.batman_adv | |
x86_64-linux colorstorm | |
aarch64-linux hasmail | |
aarch64-darwin python311Packages.azure-synapse-artifacts | |
aarch64-linux knightos-genkfs | |
aarch64-darwin python311Packages.vacuum-map-parser-base | |
x86_64-darwin python311Packages.hypercorn | |
x86_64-darwin haskellPackages.ditto | |
aarch64-linux python311Packages.pyspnego | |
aarch64-darwin postgresql16Packages.pg_hll | |
x86_64-linux python311Packages.portend | |
aarch64-darwin libbitcoin-client | |
x86_64-linux ucg | |
aarch64-linux perl536Packages.TestSimple13 | |
x86_64-linux posterazor | |
aarch64-linux drumgizmo | |
aarch64-darwin haskellPackages.bmp | |
aarch64-linux emacsPackages.dired-k | |
aarch64-linux xfce.xfce4-session | |
x86_64-darwin vimPlugins.suda-vim | |
aarch64-darwin emacsPackages.audio-notes-mode | |
x86_64-linux sbclPackages.jonathan | |
aarch64-darwin emacsPackages.diminish | |
aarch64-darwin haskellPackages.haskell-debug-adapter | |
x86_64-linux python311Packages.identify | |
aarch64-linux perl536Packages.FileMMagic | |
aarch64-linux python312Packages.pymeeus | |
aarch64-linux age | |
aarch64-linux python311Packages.types-aiobotocore-sagemaker-featurestore-runtime | |
aarch64-darwin perl538Packages.URITemplate | |
x86_64-linux samsung-unified-linux-driver_1_00_37 | |
x86_64-darwin chickenPackages_5.chickenEggs.stty | |
aarch64-darwin python312Packages.deep-translator | |
aarch64-darwin dmarc-report-converter | |
aarch64-linux fheroes2 | |
aarch64-linux conway_polynomials | |
x86_64-linux linuxKernel.packages.linux_5_10.mbp2018-bridge-drv | |
aarch64-linux python311Packages.enamlx | |
x86_64-linux nvpy | |
aarch64-linux haskellPackages.parsec-numeric | |
aarch64-darwin python311Packages.grpcio-health-checking | |
x86_64-darwin python312Packages.pysqlitecipher | |
x86_64-linux rubyPackages_3_3.sawyer | |
x86_64-linux emacsPackages.key-seq | |
aarch64-linux haskellPackages.leapseconds-announced | |
aarch64-darwin luaPackages.luadbi-sqlite3 | |
aarch64-linux python311Packages.spidev | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.zfs_2_1 | |
aarch64-darwin python311Packages.defusedxml | |
x86_64-darwin haskellPackages.cutter | |
aarch64-linux rustypaste-cli | |
aarch64-darwin haskellPackages.AC-PPM | |
aarch64-linux nvtop-amd | |
x86_64-darwin emacsPackages.soundklaus | |
aarch64-darwin python311Packages.django-js-asset | |
aarch64-darwin python311Packages.pytest-twisted | |
x86_64-linux svaba | |
x86_64-linux linuxKernel.packages.linux_4_19.system76-acpi | |
x86_64-linux xfce.xfce4-power-manager | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.ithc | |
x86_64-darwin cocom | |
x86_64-darwin haskellPackages.data-extra | |
x86_64-darwin msitools | |
x86_64-linux linuxPackages_5_15_hardened.openafs | |
aarch64-darwin python311Packages.mdformat-nix-alejandra | |
aarch64-darwin python311Packages.mypy-boto3-iottwinmaker | |
aarch64-linux scour | |
aarch64-linux kicad-small | |
x86_64-linux deepin.dde-session | |
x86_64-linux ecl | |
aarch64-darwin mujmap | |
aarch64-linux python311Packages.vacuum-map-parser-base | |
x86_64-darwin emacsPackages.bitbake | |
aarch64-darwin emacsPackages.tzc | |
x86_64-linux linuxKernel.packages.linux_xanmod.dddvb | |
aarch64-darwin limesuite | |
x86_64-darwin haskellPackages.ratelimiter | |
aarch64-darwin routedns | |
aarch64-darwin python312Packages.samsungctl | |
aarch64-linux memtree | |
aarch64-darwin emacsPackages.beframe | |
aarch64-linux haskellPackages.hspec-smallcheck | |
aarch64-linux python311Packages.pydes | |
x86_64-linux haskellPackages.Codec-Image-DevIL | |
aarch64-linux haskellPackages.populate-setup-exe-cache | |
aarch64-linux haskellPackages.unique-logic-tf | |
x86_64-linux gnome2.libgnomeui | |
x86_64-linux LibreArp | |
aarch64-darwin emacsPackages.kurecolor | |
x86_64-darwin python312Packages.trackpy | |
x86_64-darwin haskellPackages.pathtype | |
x86_64-linux dhall | |
x86_64-linux emacsPackages.wpuzzle | |
aarch64-darwin python311Packages.vaa | |
aarch64-linux wasmserve | |
x86_64-linux haskellPackages.base32string | |
x86_64-linux home-assistant-component-tests.justnimbus | |
x86_64-linux ov | |
x86_64-darwin scheme-bytestructures | |
aarch64-linux python312Packages.mypy-boto3-timestream-query | |
x86_64-linux haskellPackages.alist | |
aarch64-linux trustedqsl | |
x86_64-linux haskellPackages.world-peace | |
x86_64-linux perl536Packages.TestFutureIOImpl | |
aarch64-darwin python312Packages.oscpy | |
aarch64-darwin twitch-tui | |
x86_64-linux xflux | |
x86_64-linux amdctl | |
aarch64-linux helix | |
x86_64-darwin python312Packages.leidenalg | |
aarch64-darwin haskellPackages.unfork | |
x86_64-linux python311Packages.veryprettytable | |
x86_64-darwin haskellPackages.shake-plus | |
aarch64-linux haskellPackages.wai-slack-middleware | |
aarch64-linux python311Packages.unifiled | |
aarch64-darwin ptcollab | |
x86_64-darwin python312Packages.types-click | |
x86_64-linux vimPlugins.vim-addon-goto-thing-at-cursor | |
aarch64-darwin haskellPackages.termbox-bindings-c | |
x86_64-linux emacsPackages.hardhat | |
aarch64-linux emacsPackages.hotfuzz | |
x86_64-linux framac | |
x86_64-linux perl536Packages.TermEncoding | |
aarch64-darwin postgresql12JitPackages.pg_hint_plan | |
x86_64-linux devour | |
aarch64-darwin haskellPackages.aeson-serialize | |
x86_64-darwin python312Packages.thriftpy2 | |
x86_64-linux sundtek | |
aarch64-linux vimPlugins.CheckAttach | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.swift | |
x86_64-darwin iam-policy-json-to-terraform | |
aarch64-darwin kickstart | |
x86_64-linux xteve | |
x86_64-darwin vimPlugins.substrata-nvim | |
aarch64-linux supercollider-with-sc3-plugins | |
x86_64-linux ddrutility | |
aarch64-linux python311Packages.editorconfig | |
x86_64-darwin apacheHttpdPackages_2_4.mod_pkcs12 | |
aarch64-linux citrix_workspace_23_11_0 | |
x86_64-darwin asciinema-agg | |
aarch64-linux jenkins-job-builder | |
aarch64-linux haskellPackages.authenticate-oauth | |
aarch64-darwin haskellPackages.attoparsec-iso8601 | |
x86_64-linux rubyPackages.net-ssh | |
aarch64-linux emacsPackages.bonjourmadame | |
aarch64-darwin emacsPackages.phpstan | |
x86_64-darwin chickenPackages_5.chickenEggs.fp-utils | |
aarch64-linux rubyPackages_3_3.http-cookie | |
x86_64-darwin tmuxPlugins.catppuccin | |
x86_64-linux fcitx5-gtk | |
aarch64-linux emacsPackages.helm-proc | |
x86_64-linux linuxPackages_5_10_hardened.virtio_vmmci | |
x86_64-linux emacsPackages.edn | |
aarch64-darwin haskellPackages.constrictor | |
aarch64-linux honeycomb-refinery | |
aarch64-darwin python311Packages.types-aiobotocore-rds-data | |
x86_64-linux linuxPackages_6_5_hardened.bbswitch | |
x86_64-linux emacsPackages.numbex | |
x86_64-linux perl538Packages.TestFailWarnings | |
aarch64-linux cockatrice | |
aarch64-linux home-assistant-component-tests.bring | |
x86_64-linux linuxPackages_xanmod_latest.vhba | |
x86_64-darwin luaPackages.luadbi-sqlite3 | |
x86_64-darwin py65 | |
x86_64-linux haskellPackages.termbox-tea | |
x86_64-linux webos.cmake-modules | |
aarch64-linux libomxil-bellagio | |
aarch64-linux python312Packages.pyxnat | |
aarch64-linux crane | |
aarch64-darwin rubyPackages_3_2.observer | |
aarch64-darwin python312Packages.casa-formats-io | |
x86_64-darwin luaPackages.cyan | |
x86_64-linux boost-sml | |
aarch64-linux tests.hardeningFlags-gcc.fortifyStdenvUnsuppUnsupportsFortify3 | |
x86_64-darwin minio_legacy_fs | |
x86_64-linux emacsPackages.org-alert | |
aarch64-linux python311Packages.spacy-transformers | |
x86_64-darwin python311Packages.mongodict | |
aarch64-darwin perl538Packages.CatalystPluginFormValidator | |
x86_64-darwin python311Packages.fvcore | |
x86_64-darwin python312Packages.django-debug-toolbar | |
aarch64-linux hunspellDicts.en_CA | |
aarch64-darwin python311Packages.pydexcom | |
aarch64-darwin haskellPackages.shakespeare-css | |
x86_64-linux fira-go | |
x86_64-darwin python311Packages.mypy-boto3-elasticache | |
x86_64-linux python312Packages.exchangelib | |
x86_64-darwin emacsPackages.helm-file-preview | |
aarch64-darwin perl538Packages.FileZglob | |
aarch64-darwin python311Packages.tensorflow-build | |
aarch64-darwin python312Packages.sensirion-ble | |
aarch64-linux emacsPackages.twilight-bright-theme | |
aarch64-linux python311Packages.types-aiobotocore-cloudsearchdomain | |
x86_64-linux haskellPackages.memdb | |
x86_64-linux python312Packages.troposphere | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.linux-gpib | |
x86_64-linux gnomeExtensions.wifi-switcher | |
x86_64-darwin python312Packages.psrpcore | |
x86_64-linux vimPlugins.vim-jack-in | |
aarch64-darwin python311Packages.piper-phonemize | |
aarch64-linux python311Packages.shortuuid | |
aarch64-linux python311Packages.nameparser | |
x86_64-linux perl538Packages.CryptSmbHash | |
x86_64-linux proselint | |
aarch64-darwin lua54Packages.toml-edit | |
aarch64-linux hikari | |
x86_64-darwin perl536Packages.ModuleBuildWithXSpp | |
aarch64-darwin rubyPackages_3_2.jekyll-favicon | |
x86_64-darwin python311Packages.flask-common | |
x86_64-darwin emacsPackages.flymake-css | |
x86_64-linux python311Packages.gotailwind | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.akvcam | |
x86_64-darwin python311Packages.domeneshop | |
x86_64-darwin aspellDicts.gu | |
aarch64-linux rocmPackages.mivisionx-hip | |
x86_64-darwin fsrx | |
aarch64-linux haskellPackages.struct-inspector | |
aarch64-linux python312Packages.bleak | |
x86_64-darwin haskellPackages.fb | |
x86_64-darwin vimPlugins.completion-treesitter | |
x86_64-darwin python312Packages.fivem-api | |
x86_64-linux hisat2 | |
aarch64-darwin haskellPackages.bodhi | |
x86_64-darwin emacsPackages.parse-it | |
aarch64-linux haskell.compiler.ghc8107 | |
x86_64-darwin haskellPackages.http-client-overrides | |
x86_64-linux perl538Packages.TermEncoding | |
x86_64-darwin python312Packages.apcaccess | |
aarch64-linux vimPlugins.auto-save-nvim | |
aarch64-linux haskellPackages.yesod-core | |
aarch64-darwin python311Packages.bleach | |
aarch64-linux emacsPackages.w32-ime | |
aarch64-linux perl536Packages.MooseXMarkAsMethods | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.ndiswrapper | |
aarch64-darwin unifi-protect-backup | |
x86_64-linux emacsPackages.ob-html-chrome | |
aarch64-linux vimPlugins.delimitMate | |
x86_64-linux emacsPackages.make-it-so | |
aarch64-linux emacsPackages.ac-html-angular | |
x86_64-darwin python311Packages.xxhash | |
aarch64-darwin python312Packages.pycmarkgfm | |
x86_64-linux mygui | |
aarch64-darwin emacsPackages.for | |
x86_64-darwin smimesign | |
x86_64-linux gnomeExtensions.clipboard-indicator | |
x86_64-darwin perl538Packages.POSIXstrftimeCompiler | |
aarch64-linux hoppet | |
x86_64-linux hunspellDicts.es_GT | |
x86_64-linux azure-storage-azcopy | |
aarch64-darwin python312Packages.kasa-crypt | |
x86_64-darwin python312Packages.pysingleton | |
aarch64-linux bada-bib | |
aarch64-linux bitmeter | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.librtlsdr | |
x86_64-darwin dolphin-emu-primehack | |
aarch64-linux goose | |
x86_64-linux python311Packages.pynuki | |
aarch64-darwin python312Packages.meinheld | |
x86_64-darwin python312Packages.textile | |
x86_64-linux python312Packages.arxiv2bib | |
x86_64-linux home-assistant-component-tests.nextcloud | |
aarch64-darwin python312Packages.pytesseract | |
aarch64-darwin graylogPlugins.jabber | |
x86_64-linux katawa-shoujo | |
aarch64-linux perl536Packages.MooseXConfigFromFile | |
x86_64-darwin python312Packages.glad | |
x86_64-linux termshot | |
x86_64-darwin python311Packages.jupyterlab-server | |
aarch64-darwin haskellPackages.hinotify-conduit | |
aarch64-linux emacsPackages.json-reformat | |
x86_64-linux emacsPackages.make-color | |
aarch64-linux python311Packages.pytest-celery | |
aarch64-linux python312Packages.jsonrpc-async | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.luap | |
x86_64-darwin emacsPackages.swiss-holidays | |
x86_64-darwin perl536Packages.TextWrapper | |
x86_64-darwin haskellPackages.Chart | |
aarch64-linux python312Packages.lazy-imports | |
x86_64-linux linuxKernel.packages.linux_6_7.tmon | |
x86_64-linux linuxPackages_6_6_hardened.batman_adv | |
x86_64-darwin python311Packages.pyi2cflash | |
x86_64-darwin python311Packages.strict-rfc3339 | |
aarch64-darwin hexo-cli | |
x86_64-linux emacsPackages.efar | |
x86_64-linux haskellPackages.chell-hunit | |
aarch64-linux eks-node-viewer | |
aarch64-linux haskellPackages.frquotes | |
aarch64-linux libsForQt5.kqtquickcharts | |
aarch64-darwin nanosvg | |
x86_64-darwin libsForQt5.kpackage | |
aarch64-linux megaglest | |
x86_64-darwin jed | |
x86_64-darwin python311Packages.expects | |
aarch64-linux python311Packages.jupyterlab | |
aarch64-darwin haskellPackages.lucid | |
aarch64-linux haskellPackages.wl-pprint-text | |
aarch64-darwin haskellPackages.yesod-websockets | |
aarch64-linux emacsPackages.git-ps1-mode | |
x86_64-linux chickenPackages_5.chickenEggs.svgpath | |
x86_64-linux cudaPackages_11.cuda_nvprof | |
aarch64-darwin cargo-bloat | |
aarch64-linux luajitPackages.cjson | |
x86_64-linux perl536Packages.ShellGuess | |
x86_64-darwin python312Packages.volvooncall | |
x86_64-darwin perl536Packages.LinguaENWords2Nums | |
x86_64-darwin lua53Packages.nvim-nio | |
x86_64-darwin qt5.qtwayland | |
aarch64-darwin git-ftp | |
aarch64-darwin python312Packages.foxdot | |
aarch64-linux python311Packages.bsdiff4 | |
x86_64-darwin perl538Packages.AuthenPassphrase | |
aarch64-darwin newsraft | |
x86_64-darwin python311Packages.httpbin | |
aarch64-linux haskellPackages.hsb2hs | |
x86_64-darwin autodock-vina | |
aarch64-linux gmm | |
aarch64-linux python312Packages.sortedcontainers | |
x86_64-linux lgogdownloader | |
x86_64-darwin python312Packages.jupyter-cache | |
aarch64-linux haskellPackages.sexpresso | |
x86_64-darwin emacsPackages.imbot | |
x86_64-linux rocmPackages.rocgdb | |
x86_64-linux chickenPackages_5.chickenEggs.callable-sequences | |
aarch64-linux osmo-sip-connector | |
x86_64-darwin emacsPackages.simple-screen | |
x86_64-linux xzoom | |
aarch64-linux haskellPackages.dir-traverse | |
aarch64-darwin emacsPackages.sclang-extensions | |
x86_64-linux haskellPackages.record-hasfield | |
x86_64-darwin vimPlugins.vim-bufferline | |
x86_64-linux terraform-providers.alicloud | |
aarch64-darwin python311Packages.lit | |
aarch64-linux haskellPackages.amazonka-resiliencehub | |
aarch64-darwin terraformer | |
aarch64-linux python311Packages.python-ipmi | |
aarch64-darwin haskellPackages.asn1-parse | |
aarch64-linux python311Packages.persist-queue | |
aarch64-darwin haskellPackages.prelude2010 | |
x86_64-darwin haskellPackages.keera-hails-i18n | |
x86_64-darwin python311Packages.aioelectricitymaps | |
x86_64-linux php82Extensions.msgpack | |
aarch64-linux qdjango | |
aarch64-linux haskellPackages.wai-test | |
x86_64-linux linuxPackages_xanmod.openafs_1_8 | |
aarch64-darwin tcpdump | |
aarch64-darwin python312Packages.types-aiobotocore-pinpoint-sms-voice | |
aarch64-darwin haskellPackages.groupoids | |
x86_64-darwin python312Packages.schema-salad | |
x86_64-linux cooper-hewitt | |
aarch64-darwin python312Packages.watchdog-gevent | |
aarch64-linux apache-directory-server | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.rr-zen_workaround | |
x86_64-linux gnomeExtensions.top-panel-workspace-scroll | |
aarch64-darwin python312Packages.google-ai-generativelanguage | |
x86_64-darwin vimPlugins.ollama-nvim | |
aarch64-linux emacsPackages.bats-mode | |
aarch64-darwin python312Packages.xml2rfc | |
aarch64-linux emacsPackages.professional-theme | |
aarch64-linux libsignal-ffi | |
x86_64-linux haskellPackages.Yocto | |
x86_64-darwin python312Packages.meater-python | |
x86_64-linux ferm | |
x86_64-darwin wtwitch | |
x86_64-darwin perl536Packages.LogTrace | |
aarch64-darwin perl538Packages.Pango | |
x86_64-darwin python312Packages.misoc | |
x86_64-linux python311Packages.google-cloud-videointelligence | |
x86_64-linux emacsPackages.evil-tabs | |
aarch64-darwin haskellPackages.homura-stopwatch | |
x86_64-linux python311Packages.logilab-constraint | |
x86_64-linux python311Packages.ipymarkup | |
x86_64-darwin bao | |
aarch64-linux emacsPackages.pinboard-popular | |
x86_64-linux python311Packages.arpeggio | |
x86_64-darwin python311Packages.dkimpy | |
x86_64-linux perl536Packages.AlienBaseModuleBuild | |
x86_64-darwin python311Packages.xstatic-asciinema-player | |
aarch64-linux python312Packages.enochecker-core | |
aarch64-darwin nghttp3 | |
x86_64-darwin python311Packages.cycler | |
aarch64-linux python311Packages.fastdownload | |
x86_64-linux python311Packages.autoslot | |
x86_64-linux complete-alias | |
x86_64-darwin haskellPackages.msu | |
x86_64-linux python311Packages.pysmartthings | |
x86_64-darwin python311Packages.zeek | |
aarch64-linux linuxPackages.digimend | |
aarch64-darwin emacsPackages.binclock | |
aarch64-linux python312Packages.ruyaml | |
x86_64-linux terraform-providers.dme | |
aarch64-linux python311Packages.packvers | |
x86_64-darwin emacsPackages.rubik | |
x86_64-linux python311Packages.pytest-postgresql | |
aarch64-linux rubyPackages_3_1.cocoapods-browser | |
aarch64-darwin theLoungePlugins.themes.classic | |
x86_64-darwin python312Packages.virtualenv-clone | |
x86_64-darwin python311Packages.pynanoleaf | |
aarch64-linux python311Packages.python-ironicclient | |
aarch64-linux cairomm | |
aarch64-darwin gmm | |
x86_64-darwin perl538Packages.LWPxParanoidAgent | |
aarch64-darwin rustplayer | |
aarch64-linux python312Packages.glean-sdk | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.tdjson | |
x86_64-darwin perl536Packages.AsyncPing | |
aarch64-darwin perl538Packages.DataIEEE754 | |
x86_64-linux python311Packages.wktutils | |
aarch64-darwin perl538Packages.MooXOptions | |
x86_64-darwin libthai | |
aarch64-darwin python311Packages.mutesync | |
x86_64-linux xchainkeys | |
x86_64-darwin python312Packages.xstatic-jquery | |
x86_64-linux replay-node-cli | |
x86_64-darwin bdf2sfd | |
aarch64-linux python311Packages.pydoe | |
x86_64-darwin apacheHttpdPackages.mod_scep | |
aarch64-linux haskellPackages.zalgo | |
aarch64-darwin coqPackages.serapi | |
aarch64-linux linuxptp | |
aarch64-linux linuxPackages_5_10_hardened.rtl8821ce | |
aarch64-linux python311Packages.mypy-boto3-iotthingsgraph | |
x86_64-darwin qogir-theme | |
x86_64-linux haskellPackages.GLUtil | |
x86_64-linux haskellPackages.amazonka-opsworks-cm | |
x86_64-linux python312Packages.pycryptodome | |
x86_64-linux linuxPackages_4_19_hardened.hyperv-daemons | |
aarch64-linux dnstop | |
x86_64-linux postgresqlPackages.pg_bigm | |
x86_64-linux home-assistant-component-tests.image | |
aarch64-darwin python311Packages.doctest-ignore-unicode | |
x86_64-linux perl536Packages.DataDump | |
x86_64-darwin python312Packages.xlsxwriter | |
x86_64-linux darwin.signingUtils | |
aarch64-darwin haskellPackages.stringable | |
aarch64-linux linuxKernel.packages.linux_4_19.linux-gpib | |
aarch64-linux python311Packages.grpcio-channelz | |
aarch64-linux python312Packages.pytest-examples | |
x86_64-darwin emacsPackages.lentic-server | |
x86_64-linux perl536Packages.MojoliciousPluginTextExceptions | |
aarch64-linux zola | |
aarch64-linux python312Packages.types-aiobotocore-wellarchitected | |
aarch64-darwin onmetal-image | |
x86_64-linux python312Packages.prefixed | |
x86_64-darwin haskellPackages.if | |
aarch64-darwin htop | |
aarch64-linux perl536Packages.TieCacheLRUExpires | |
x86_64-linux perl536Packages.XMLSAXExpat | |
x86_64-darwin python311Packages.pymorphy3 | |
x86_64-darwin hunspellDicts.de_DE | |
x86_64-darwin nsync | |
aarch64-linux python312Packages.pyosohotwaterapi | |
aarch64-darwin nextpnr | |
x86_64-darwin deck | |
aarch64-darwin lua51Packages.lua-toml | |
x86_64-linux open-watcom-bin-unwrapped | |
x86_64-linux haskellPackages.hs-conllu | |
x86_64-darwin chickenPackages_5.chickenEggs.lazy-ffi | |
x86_64-darwin perl538Packages.Cairo | |
x86_64-linux space-station-14-launcher | |
aarch64-linux perl536Packages.EncodeEUCJPASCII | |
aarch64-linux haskellPackages.pipes-wai | |
x86_64-darwin python311Packages.azure-mgmt-cosmosdb | |
x86_64-darwin haskellPackages.record-hasfield | |
x86_64-darwin vimPlugins.ai-vim | |
x86_64-darwin emacs29-gtk3 | |
aarch64-darwin emacsPackages.omtose-phellack-theme | |
aarch64-linux orthorobot | |
aarch64-linux emacsPackages.flymake-guile | |
aarch64-darwin haskellPackages.grafdhall | |
aarch64-linux python312Packages.matplotlib-sixel | |
aarch64-darwin perl536Packages.HTMLTagCloud | |
aarch64-darwin haskellPackages.limp | |
x86_64-linux smag | |
x86_64-darwin treefmt | |
aarch64-darwin chickenPackages_5.chickenEggs.chicken-belt | |
aarch64-darwin perl536Packages.AsyncUtil | |
x86_64-linux python312Packages.dnachisel | |
x86_64-darwin mujmap | |
x86_64-darwin hunspellDicts.es_DO | |
x86_64-darwin libsndfile | |
x86_64-linux python311Packages.azure-cosmosdb-table | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.zfsUnstable | |
x86_64-linux linuxPackages_5_15_hardened.qc71_laptop | |
aarch64-darwin haskellPackages.generic-optics | |
x86_64-linux ghp-import | |
aarch64-darwin nix-prefetch-bzr | |
x86_64-darwin gimp-with-plugins | |
x86_64-darwin haskellPackages.keter | |
x86_64-darwin emacsPackages.signal | |
aarch64-darwin python312Packages.logbook | |
aarch64-darwin python312Packages.transaction | |
aarch64-darwin vimPlugins.ag-nvim | |
aarch64-linux emacsPackages.wn-mode | |
x86_64-darwin emacsPackages.alert-toast | |
aarch64-darwin python311Packages.panflute | |
x86_64-darwin emacsPackages.haskell-emacs-base | |
x86_64-linux haskellPackages.temporal-music-notation-western | |
aarch64-darwin emacsPackages.cpupower | |
x86_64-linux perl538Packages.YAMLPP | |
x86_64-darwin python311Packages.extruct | |
x86_64-linux python312Packages.qrcode | |
aarch64-darwin ammonite | |
x86_64-darwin vimPlugins.mediawiki-vim | |
aarch64-linux python312Packages.adax-local | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.zfs | |
aarch64-darwin python312Packages.ddt | |
x86_64-linux gnomeExtensions.network-stats | |
x86_64-linux gnucash | |
x86_64-linux auditBlasHook | |
x86_64-darwin dejsonlz4 | |
x86_64-darwin haskellPackages.ban-instance | |
x86_64-linux python311Packages.itypes | |
aarch64-darwin haskellPackages.copilot-core | |
x86_64-darwin libical | |
aarch64-linux linuxKernel.packages.linux_xanmod.nvidia_x11 | |
x86_64-linux emacsPackages.verilog-ts-mode | |
aarch64-darwin python312Packages.mdformat-toc | |
x86_64-darwin rubyPackages_3_3.clamp | |
x86_64-linux schemaspy | |
x86_64-darwin python312Packages.django-picklefield | |
aarch64-darwin packetbeat | |
x86_64-linux python312Packages.youtubeaio | |
aarch64-linux python311Packages.azure-mgmt-network | |
aarch64-linux python313Full | |
x86_64-darwin python312Packages.aiormq | |
aarch64-linux haskellPackages.unit | |
aarch64-linux emacsPackages.clj-refactor | |
aarch64-darwin worker-build | |
x86_64-linux haskellPackages.optics-operators | |
x86_64-linux emacsPackages.vc-msg | |
x86_64-linux postgresql16JitPackages.pg_bigm | |
x86_64-darwin python312Packages.oslo-serialization | |
x86_64-darwin haskellPackages.haskdogs | |
x86_64-darwin python312Packages.oletools | |
aarch64-darwin perl536Packages.DataStag | |
x86_64-darwin perl538Packages.SafeHole | |
aarch64-darwin python312Packages.google-cloud-workflows | |
x86_64-linux emacsPackages.winnow | |
aarch64-darwin protobuf_24 | |
x86_64-linux python312Packages.btrfs | |
aarch64-linux httpx | |
aarch64-darwin labwc | |
x86_64-darwin duckdb | |
aarch64-linux linuxPackages_latest-libre.lenovo-legion-module | |
aarch64-linux python311Packages.dask-jobqueue | |
x86_64-linux python311Packages.pyscf | |
aarch64-linux gmni | |
aarch64-linux emacsPackages.fxrd-mode | |
aarch64-darwin haskellPackages.unleash-client-haskell-core | |
aarch64-darwin bashblog | |
x86_64-darwin haskellPackages.Imlib | |
x86_64-darwin dxvk | |
aarch64-linux python312Packages.mypy-boto3-ecs | |
aarch64-darwin lua51Packages.lyaml | |
x86_64-linux linuxPackages-libre.rtl8189es | |
x86_64-darwin emacsPackages.load-dir | |
x86_64-linux linuxPackages_4_19_hardened.rtl8821ce | |
aarch64-darwin python311Packages.canonicaljson | |
aarch64-linux emacsPackages.emojify | |
aarch64-darwin elmPackages.nodejs | |
x86_64-linux lesstif | |
aarch64-darwin python312Packages.pylgnetcast | |
aarch64-linux kicadAddons.kikit-library | |
aarch64-darwin mslink | |
aarch64-linux perl538Packages.CGIPSGI | |
x86_64-linux haskellPackages.random | |
aarch64-linux python311Packages.types-aiobotocore-chime-sdk-media-pipelines | |
x86_64-linux mapmap | |
aarch64-linux perl538Packages.XMLRules | |
aarch64-linux _2048-in-terminal | |
x86_64-darwin emacsPackages.xwwp-follow-link-ivy | |
x86_64-linux python311Packages.ldappool | |
aarch64-linux emacsPackages.whois | |
x86_64-linux emacsPackages.graphql-ts-mode | |
aarch64-linux emacsPackages.org-variable-pitch | |
aarch64-linux rubyPackages_3_1.sawyer | |
aarch64-linux linuxPackages_zen.usbip | |
aarch64-darwin xorg.lndir | |
x86_64-darwin haskellPackages.bitwise-enum | |
aarch64-darwin cudaPackages.backendStdenv | |
aarch64-darwin python311Packages.dctorch | |
aarch64-darwin SDL2_ttf | |
aarch64-darwin perl538Packages.DevelCheckBin | |
aarch64-linux python311Packages.dissect-jffs | |
aarch64-linux cpp-hocon | |
aarch64-linux perl536Packages.JSONValidator | |
aarch64-darwin llm | |
aarch64-darwin python312Packages.sphinxcontrib-confluencebuilder | |
x86_64-darwin utterly-nord-plasma | |
x86_64-linux python312Packages.pynamecheap | |
x86_64-linux perl536Packages.BUtils | |
x86_64-darwin emacsPackages.shelltest-mode | |
aarch64-linux perl538Packages.PodSpell | |
x86_64-linux documize-community | |
aarch64-darwin python311Packages.pandas-datareader | |
aarch64-darwin rubyPackages_3_1.reverse_markdown | |
aarch64-linux rubyPackages_3_1.cocoapods-fix-react-native | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.prl-tools | |
aarch64-linux man | |
x86_64-darwin haskellPackages.unipatterns | |
x86_64-linux s4cmd | |
x86_64-linux python312Packages.flask-dramatiq | |
x86_64-linux haskellPackages.amazonka-finspace | |
x86_64-linux coursier | |
x86_64-linux libgig | |
aarch64-linux haskellPackages.microlens-platform | |
x86_64-darwin haskellPackages.STM32F103xx-SVD | |
aarch64-darwin python311Packages.gruut-ipa | |
x86_64-linux python312Packages.gridnet | |
x86_64-darwin perl536Packages.OpenOfficeOODoc | |
x86_64-darwin vimPlugins.incsearch-easymotion-vim | |
x86_64-linux python311Packages.gehomesdk | |
aarch64-linux vimPlugins.vim-graphql | |
x86_64-linux qt5.qtsensors | |
x86_64-darwin webmacs | |
x86_64-darwin msgpuck | |
aarch64-darwin yaxg | |
x86_64-linux cryptodev | |
aarch64-darwin emacsPackages.firefox-javascript-repl | |
x86_64-linux tests.hardeningFlags-clang.stackProtectorExplicitEnabled | |
aarch64-linux haskellPackages.amazonka-kafkaconnect | |
x86_64-darwin emacsPackages.flymake-cursor | |
aarch64-darwin python311Packages.requests-credssp | |
x86_64-linux haskellPackages.tdlib-gen | |
aarch64-darwin boogie | |
x86_64-darwin python312Packages.pyusb | |
aarch64-linux haskellPackages.regex | |
aarch64-linux jprofiler | |
aarch64-darwin netsurf.libnsbmp | |
aarch64-linux haskellPackages.tree-sitter-typescript | |
x86_64-linux emacsPackages.org-caldav | |
x86_64-darwin pim6sd | |
x86_64-linux ergoscf | |
aarch64-linux handlr-regex | |
aarch64-linux perl538Packages.GraphicsColor | |
x86_64-darwin python311Packages.deal | |
aarch64-linux rustdesk-server | |
aarch64-darwin nsncd | |
aarch64-linux adoptopenjdk-jre-openj9-bin-16 | |
x86_64-linux netmaker | |
x86_64-darwin haskellPackages.OptDir | |
aarch64-darwin python311Packages.pytlv | |
aarch64-darwin python312Packages.dissect-btrfs | |
x86_64-linux emacsPackages.chronometrist-spark | |
aarch64-linux vimPlugins.snap | |
x86_64-darwin hexbinhex | |
x86_64-linux python311Packages.h5io | |
aarch64-darwin plasma5Packages.qtmacextras | |
aarch64-darwin schleuder | |
aarch64-linux godot3-headless | |
x86_64-linux gnomeExtensions.harddisk-led | |
aarch64-linux haskellPackages.physics | |
x86_64-darwin postman | |
x86_64-linux python311Packages.pylibjpeg | |
aarch64-darwin emacsPackages.typewriter-roll-mode | |
x86_64-linux python312Packages.argparse-addons | |
x86_64-darwin perl538Packages.HTMLWidget | |
x86_64-darwin ebtks | |
aarch64-darwin python312Packages.mypy-boto3-appconfig | |
aarch64-linux cipher | |
aarch64-linux manticoresearch | |
aarch64-linux python312Packages.tracerite | |
x86_64-darwin infisical | |
aarch64-linux python311Packages.geoip | |
aarch64-darwin python312Packages.proxy-py | |
x86_64-darwin rofi-screenshot | |
x86_64-linux python311Packages.pygobject3 | |
aarch64-linux python311Packages.command_runner | |
x86_64-linux linuxKernel.packages.linux_6_7.xone | |
aarch64-linux emacsPackages.pytest-pdb-break | |
x86_64-linux python311Packages.asyncio-mqtt | |
x86_64-darwin haskellPackages.pcg-random | |
aarch64-linux libossp_uuid | |
x86_64-linux libsForQt5.ffmpegthumbs | |
aarch64-linux oraclejre8 | |
x86_64-linux aspellDicts.tl | |
aarch64-darwin haskellPackages.senza | |
aarch64-darwin hunspellDicts.es-do | |
x86_64-linux linuxKernel.packages.linux_5_15.v86d | |
x86_64-linux realvnc-vnc-viewer | |
x86_64-darwin vimPlugins.actions-preview-nvim | |
x86_64-darwin haskellPackages.Spock | |
x86_64-linux autospotting | |
x86_64-linux vimPlugins.vim-addon-commenting | |
aarch64-linux llvmPackages_11.libclang | |
aarch64-linux nali | |
x86_64-linux luajitPackages.argparse | |
aarch64-darwin perl536Packages.PlackTestExternalServer | |
x86_64-linux python311Packages.roku | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.gasket | |
aarch64-linux python311Packages.mypy-boto3-sms | |
x86_64-darwin python311Packages.imaplib2 | |
aarch64-linux haskellPackages.flight-kml | |
x86_64-darwin emacsPackages.eshell-prompt-extras | |
x86_64-darwin emacsPackages.multi-compile | |
x86_64-linux haskellPackages.OptDir | |
aarch64-linux python312Packages.flufl-lock | |
x86_64-darwin haskellPackages.derivingvia-extras | |
x86_64-linux emacsPackages.rubocop | |
x86_64-linux haskell.compiler.ghc90 | |
aarch64-linux haskellPackages.hex-text | |
x86_64-darwin wxSVG | |
x86_64-darwin p7zip | |
aarch64-darwin outputcheck | |
aarch64-darwin haskellPackages.wreq-stringless | |
x86_64-darwin haskellPackages.cairo-appbase | |
x86_64-linux av-98 | |
x86_64-darwin haskellPackages.base-noprelude | |
x86_64-linux rubyPackages_3_3.og-corefoundation | |
x86_64-linux passExtensions.pass-audit | |
aarch64-linux perl536Packages.CaptchaReCAPTCHA | |
x86_64-linux emacsPackages.tree-sitter-ispell | |
x86_64-darwin haskellPackages.autodocodec-yaml | |
aarch64-linux pivxd | |
aarch64-linux python311Packages.pvo | |
aarch64-linux haskellPackages.recv | |
aarch64-linux vimPlugins.vim-boxdraw | |
x86_64-darwin haskellPackages.aeson-optics | |
x86_64-darwin python311Packages.pybox2d | |
x86_64-linux guile-sqlite3 | |
aarch64-linux kicad-testing | |
aarch64-linux emacsPackages.metascript-mode | |
x86_64-linux offrss | |
x86_64-darwin perl538Packages.TextCSV_XS | |
aarch64-linux util-linuxMinimal | |
aarch64-linux tup | |
aarch64-linux haskellPackages.hsnoise | |
x86_64-darwin emacsPackages.ada-ts-mode | |
aarch64-darwin openexr_3 | |
aarch64-linux python311Packages.georss-client | |
x86_64-linux rubyPackages_3_2.rb-inotify | |
x86_64-linux t-rex | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.hid-tmff2 | |
aarch64-darwin python312Packages.google-api-python-client | |
x86_64-darwin chickenPackages_5.chickenEggs.topham | |
x86_64-darwin haskellPackages.HCodecs | |
x86_64-darwin emacsPackages.setup | |
x86_64-darwin python312Packages.trampoline | |
x86_64-linux rubyPackages_3_2.backport | |
aarch64-darwin python312Packages.jsonrpclib-pelix | |
aarch64-darwin python311Packages.pytmx | |
aarch64-darwin perl536Packages.ConfigAny | |
aarch64-linux vimPlugins.prev_indent | |
x86_64-linux midisheetmusic | |
aarch64-darwin perl536Packages.TestCompile | |
x86_64-darwin python312Packages.types-aiobotocore-snowball | |
aarch64-darwin vimPlugins.coc-sqlfluff | |
x86_64-darwin wormhole-william | |
x86_64-linux emacsPackages.better-scroll | |
x86_64-darwin python311Packages.vega | |
x86_64-linux meterbridge | |
x86_64-darwin emacsPackages.org-doing | |
x86_64-linux emacsPackages.flycheck-ycmd | |
x86_64-linux cudaPackages_10.cudnn_8_9 | |
aarch64-linux evmdis | |
x86_64-darwin chickenPackages_5.chickenEggs.srfi-47 | |
aarch64-linux gweled | |
aarch64-linux xorg.fontmicromisc | |
aarch64-linux lxd-unwrapped | |
aarch64-linux mcrl2 | |
x86_64-linux python311Packages.openapi3 | |
x86_64-linux libsForQt5.qtquickcontrols2 | |
aarch64-linux librecast | |
aarch64-linux jid | |
aarch64-linux beancount-share | |
aarch64-darwin rubyPackages_3_2.curses | |
aarch64-darwin languageMachines.ucto | |
x86_64-linux haskellPackages.units | |
x86_64-linux rubyPackages_3_2.rack | |
x86_64-darwin teleport_13 | |
x86_64-linux python312Packages.safety | |
x86_64-darwin eza | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.squirrel | |
aarch64-darwin python311Packages.mlflow | |
x86_64-linux emacsPackages.emoji-github | |
x86_64-linux win2xcur | |
x86_64-linux unar | |
aarch64-linux home-assistant-component-tests.version | |
x86_64-linux haskellPackages.lambdabot-core | |
x86_64-darwin python312Packages.repoze-sphinx-autointerface | |
x86_64-darwin python312Packages.twill | |
aarch64-linux postgresql15JitPackages.pgsql-http | |
aarch64-darwin emacsPackages.goto-chg | |
x86_64-darwin python311Packages.docstring-parser | |
x86_64-linux emacsPackages.gruber-darker-theme | |
x86_64-linux perl538Packages.capitalization | |
aarch64-darwin tt-rss-plugin-ff-instagram | |
x86_64-linux perl536Packages.LatexIndent | |
aarch64-linux bspwm | |
aarch64-linux python311Packages.eyed3 | |
x86_64-darwin emacsPackages.babel | |
aarch64-darwin http-prompt | |
aarch64-darwin python312Packages.mypy-boto3-honeycode | |
x86_64-darwin emacsPackages.elfeed-protocol | |
x86_64-darwin postgresqlJitPackages.lantern | |
aarch64-linux python312Packages.pyrealsense2WithCuda | |
aarch64-darwin emacsPackages.autobookmarks | |
aarch64-darwin xorg.xorgproto | |
x86_64-darwin rubyPackages_3_1.tilt | |
x86_64-linux libsForQt5.kf5gpgmepp | |
aarch64-linux perl538Packages.MROCompat | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.prl-tools | |
x86_64-linux python311Packages.cli-helpers | |
x86_64-linux emacsPackages.binder | |
aarch64-linux macchina | |
aarch64-linux perl536Packages.ModulePath | |
aarch64-linux perl536Packages.RoleHasMessage | |
aarch64-darwin clang_14 | |
x86_64-darwin kubergrunt | |
x86_64-linux perl536Packages.NetServer | |
x86_64-darwin openssl_legacy | |
x86_64-linux dismap | |
aarch64-linux perl538Packages.LinguaENInflect | |
aarch64-linux perl538Packages.ConvertBase32 | |
x86_64-darwin gawkextlib.haru | |
x86_64-linux llvmPackages_15.bintoolsNoLibc | |
x86_64-darwin python312Packages.python-opensky | |
aarch64-darwin git-nomad | |
aarch64-linux haskellPackages.fixpoint | |
aarch64-linux haskellPackages.binder | |
x86_64-darwin perl536Packages.Moose | |
x86_64-linux perl538Packages.DistZillaPluginMojibakeTests | |
x86_64-linux postgresql13JitPackages.pg_ivm | |
aarch64-darwin python311Packages.asdf-standard | |
x86_64-linux python312Packages.progressbar | |
aarch64-darwin python312Packages.types-aiobotocore-workmail | |
x86_64-darwin swig1 | |
aarch64-darwin chickenPackages_5.chickenEggs.expat | |
x86_64-darwin python312Packages.ibis | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.Qt5Qml | |
aarch64-linux python311Packages.github3-py | |
aarch64-darwin libretro.bluemsx | |
x86_64-linux python311Packages.kinparse | |
aarch64-linux molden | |
aarch64-darwin fastmod | |
x86_64-darwin emacsPackages.ob-redis | |
aarch64-darwin python311Packages.mypy-boto3-connectcampaigns | |
aarch64-linux python312Packages.easygui | |
x86_64-linux gnomeExtensions.disable-workspace-animation | |
x86_64-linux terraform-providers.tencentcloud | |
x86_64-linux sbclPackages.external-program | |
aarch64-darwin haskellPackages.ofx | |
x86_64-darwin python311Packages.sphinxcontrib-serializinghtml | |
aarch64-darwin aspellDicts.bn | |
aarch64-linux idrisPackages.categories | |
x86_64-linux perl538Packages.NetSMTPTLSButMaintained | |
x86_64-linux guile-sdl | |
aarch64-darwin haskellPackages.mono-traversable | |
x86_64-linux luajitPackages.binaryheap | |
aarch64-linux evans | |
aarch64-darwin emacsPackages.org-elp | |
x86_64-linux haskellPackages.postgresql-connector | |
x86_64-linux python311Packages.calmjs-parse | |
aarch64-linux vimPlugins.codewindow-nvim | |
x86_64-linux emacsPackages.x86-lookup | |
aarch64-darwin emacsPackages.matlab-mode | |
aarch64-linux libsForQt5.ktexteditor | |
aarch64-darwin python311Packages.types-aiobotocore-marketplace-entitlement | |
aarch64-darwin rubyPackages_3_1.jekyll-theme-time-machine | |
aarch64-darwin haskellPackages.dlist | |
aarch64-darwin alttab | |
aarch64-linux haskellPackages.nyan-interpolation-simple | |
x86_64-darwin libmpc | |
x86_64-darwin emacsPackages.org-wunderlist | |
x86_64-darwin emacsPackages.frog-menu | |
x86_64-linux libsForQt5.qt5.qmake | |
aarch64-linux python312Packages.pyathena | |
x86_64-linux stdenvNoLibs | |
aarch64-linux stellarsolver | |
x86_64-darwin haskellPackages.hls-test-utils | |
x86_64-darwin haskellPackages.random-variates | |
aarch64-linux terraform-providers.triton | |
aarch64-linux gg-scm | |
aarch64-linux php83Extensions.inotify | |
x86_64-darwin emacsPackages.frecentf | |
aarch64-linux git-bug | |
x86_64-linux noice | |
aarch64-linux emacsPackages.defcapture | |
aarch64-linux perl538Packages.BusinessISBNData | |
x86_64-darwin emacsPackages.go-dlv | |
aarch64-linux python312Packages.nbsphinx | |
x86_64-linux python311Packages.alpha-vantage | |
x86_64-linux tests.hardeningFlags.fortifyStdenvUnsuppUnsupportsFortify3 | |
aarch64-darwin emacsPackages.kite | |
x86_64-linux emacsPackages.dir-treeview | |
aarch64-darwin perl536Packages.URIdb | |
x86_64-darwin buildozer | |
aarch64-linux graphicsmagick-imagemagick-compat | |
x86_64-linux zpaq | |
x86_64-darwin python311Packages.filedepot | |
aarch64-darwin rubyPackages_3_1.jekyll-seo-tag | |
x86_64-linux python311Packages.xdg-base-dirs | |
x86_64-linux python312Packages.simplehound | |
x86_64-linux linuxKernel.packages.linux_xanmod.vhba | |
x86_64-darwin python311Packages.samsungtvws | |
aarch64-linux python311Packages.clldutils | |
aarch64-linux python311Packages.aiomodernforms | |
aarch64-darwin emacsPackages.pdb-mode | |
x86_64-linux vscode-extensions.bungcip.better-toml | |
aarch64-linux haskellPackages.HsOpenSSL | |
x86_64-darwin levant | |
aarch64-darwin emacsPackages.fix-input | |
x86_64-darwin sca2d | |
aarch64-linux srelay | |
aarch64-linux chickenPackages_5.chickenEggs.chicken-doc-html | |
x86_64-darwin lua53Packages.lrexlib-pcre | |
x86_64-darwin python312Packages.rtree | |
x86_64-darwin python312Packages.timeslot | |
aarch64-darwin emacsPackages.tinysegmenter | |
x86_64-linux emacsPackages.teletext-yle | |
aarch64-linux python312Packages.wrapt | |
aarch64-linux linuxPackages_5_15_hardened.system76-scheduler | |
x86_64-darwin python311Packages.dokuwiki | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.vim | |
aarch64-linux haskellPackages.amazonka-opensearch | |
aarch64-darwin sealcurses | |
x86_64-linux exploitdb | |
aarch64-darwin bao | |
aarch64-darwin python311Packages.pathvalidate | |
aarch64-darwin python312Packages.eth-typing | |
x86_64-linux gedit | |
x86_64-linux emacsPackages.clang-capf | |
x86_64-darwin perl536Packages.MathBase85 | |
x86_64-darwin emacsPackages.helm-phpunit | |
x86_64-linux emacsPackages.cl-format | |
x86_64-linux haskellPackages.http-trace | |
x86_64-darwin python311Packages.azure-mgmt-policyinsights | |
x86_64-linux haskellPackages.hslua-module-version | |
x86_64-linux python311Packages.cssselect2 | |
aarch64-darwin haskellPackages.snowball | |
x86_64-darwin perl538Packages.FileCountLines | |
x86_64-linux emacsPackages.rect-mark | |
aarch64-linux python311Packages.brelpy | |
x86_64-linux qscreenshot | |
aarch64-darwin haskellPackages.genvalidity-containers | |
x86_64-darwin haskellPackages.static-text | |
x86_64-darwin emacsPackages.consult-git-log-grep | |
x86_64-darwin haskellPackages.conduino | |
aarch64-linux linuxKernel.packages.linux_5_4.kernel | |
aarch64-darwin python312Packages.translatepy | |
x86_64-linux rund | |
aarch64-darwin haskellPackages.if | |
aarch64-linux perl536Packages.AppPackager | |
x86_64-darwin python311Packages.flask-admin | |
aarch64-linux python312Packages.schema-salad | |
x86_64-linux gatk | |
aarch64-darwin emacsPackages.list-packages-ext | |
aarch64-darwin python312Packages.django | |
x86_64-linux emacsPackages.helm-R | |
x86_64-linux gnucap-full | |
x86_64-linux imaginer | |
x86_64-linux nethack-x11 | |
x86_64-linux perl538Packages.Menlo | |
x86_64-darwin haskellPackages.first-class-families | |
aarch64-linux plasma5Packages.qtmultimedia | |
aarch64-linux emacsPackages.fastnav | |
aarch64-linux perl538Packages.LinuxFD | |
x86_64-linux claws | |
x86_64-linux llvmPackages.libstdcxxClang | |
aarch64-linux python312Packages.pytest-relaxed | |
x86_64-linux emacsPackages.sift | |
aarch64-darwin rubyPackages_3_2.colorator | |
x86_64-darwin python312Packages.requests-credssp | |
aarch64-darwin rubyPackages_3_2.temple | |
x86_64-linux python311Packages.tftpy | |
aarch64-linux optifine | |
aarch64-linux plasma5Packages.kdevelop-unwrapped | |
aarch64-linux python311Packages.braintree | |
aarch64-linux rubyPackages_3_2.liquid | |
aarch64-linux sparse | |
x86_64-darwin emacsPackages.cloud-theme | |
x86_64-linux webfs | |
aarch64-linux python311Packages.dunamai | |
x86_64-darwin haskellPackages.cantor-pairing | |
aarch64-darwin svnfs | |
aarch64-darwin emacsPackages.notmuch-maildir | |
x86_64-linux python312Packages.eufylife-ble-client | |
x86_64-linux aspellDicts.ml | |
x86_64-linux emacsPackages.kotlin-mode | |
x86_64-darwin python311Packages.jupyter-lsp | |
aarch64-linux gzrt | |
x86_64-linux chickenPackages_5.chickenEggs.srfi-135 | |
aarch64-linux perl536Packages.CryptJWT | |
aarch64-darwin rubyPackages_3_2.opus-ruby | |
x86_64-linux libsForQt5.elisa | |
x86_64-linux linuxKernel.packages.linux_xanmod.new-lg4ff | |
aarch64-darwin coqPackages.metalib | |
aarch64-linux vimPlugins.nvim-bqf | |
aarch64-linux vimPlugins.vim-twiggy | |
aarch64-linux libspatialite | |
aarch64-darwin rosie | |
x86_64-linux subtitleedit | |
x86_64-linux plasma5Packages.kruler | |
aarch64-darwin emacsPackages.smiles-mode | |
aarch64-linux python311Packages.wtforms-bootstrap5 | |
x86_64-linux gridtracker | |
aarch64-linux ffmpeg_5 | |
x86_64-linux python311Packages.seaborn | |
aarch64-darwin emacsPackages.tf2-conf-mode | |
aarch64-linux gnome.gnome-calculator | |
x86_64-linux perl538Packages.ClassAccessorGrouped | |
x86_64-darwin framac | |
aarch64-darwin loccount | |
aarch64-linux unbound | |
x86_64-linux linuxKernel.packages.linux_6_7.system76-io | |
x86_64-linux python312Packages.oscscreen | |
aarch64-linux perl538Packages.DevelCaller | |
aarch64-linux python311Packages.click-default-group | |
aarch64-linux python312Packages.googletrans | |
x86_64-linux catppuccin-gtk | |
aarch64-linux libbytesize | |
aarch64-linux python312Packages.pyemvue | |
x86_64-linux haskellPackages.control-monad-free | |
aarch64-linux libmspack | |
aarch64-darwin libcdio-paranoia | |
x86_64-linux tetgen | |
aarch64-darwin chickenPackages_5.chickenEggs.dbus | |
x86_64-linux linuxPackages_4_19_hardened.nct6687d | |
x86_64-darwin nexttrace | |
aarch64-linux mimalloc | |
aarch64-darwin aspellDicts.ms | |
aarch64-darwin vsc-leetcode-cli | |
aarch64-darwin home-assistant-custom-components.localtuya | |
x86_64-linux vscode-extensions.wakatime.vscode-wakatime | |
x86_64-linux linuxKernel.packages.linux_4_19.nvidia_x11_production | |
x86_64-darwin python312Packages.boschshcpy | |
aarch64-linux chickenPackages_5.chickenEggs.ephem | |
x86_64-linux image-roll | |
aarch64-darwin python311Packages.aio-geojson-client | |
x86_64-darwin postgresqlPackages.timescaledb | |
x86_64-darwin python312Packages.reqif | |
x86_64-darwin mpvScripts.quack | |
x86_64-linux linuxPackages_6_6_hardened.tbs | |
x86_64-linux emacsPackages.arxiv-citation | |
aarch64-darwin postgresqlJitPackages.pg_bigm | |
x86_64-darwin stella | |
aarch64-linux python311Packages.mip | |
aarch64-darwin python311Packages.click-odoo-contrib | |
aarch64-darwin minizincide | |
x86_64-darwin mailman-web | |
x86_64-linux creds | |
aarch64-darwin haskellPackages.amazonka-drs | |
aarch64-darwin postgresql15Packages.timescaledb_toolkit | |
aarch64-darwin python312Packages.types-awscrt | |
aarch64-darwin emacsPackages.phi-search-mc | |
x86_64-darwin perl538Packages.AlgorithmMerge | |
aarch64-linux python311Packages.hsaudiotag3k | |
x86_64-darwin python312Packages.flask-expects-json | |
x86_64-darwin hydraAntLogger | |
aarch64-darwin haskellPackages.literatex | |
aarch64-linux libdmtx | |
x86_64-linux vimPlugins.tint-nvim | |
x86_64-darwin emacsPackages.mimetypes | |
x86_64-darwin emacsPackages.org-make-toc | |
aarch64-linux python311Packages.biliass | |
aarch64-linux linuxKernel.packages.linux_6_6.zfsUnstable | |
x86_64-linux nusmv | |
aarch64-linux python311Packages.sabyenc | |
x86_64-linux python311Packages.pysml | |
x86_64-linux python312Packages.types-aiobotocore-managedblockchain-query | |
x86_64-darwin opsdroid | |
x86_64-darwin python312Packages.inflect | |
x86_64-linux arp-scan | |
aarch64-linux apacheHttpdPackages.mod_cspnonce | |
aarch64-linux python312Packages.pyschlage | |
aarch64-linux linuxKernel.packages.linux_6_1.virtio_vmmci | |
x86_64-linux python311Packages.netapp-lib | |
aarch64-linux python312Packages.switchbot-api | |
x86_64-linux k3s_1_28 | |
x86_64-linux iosevka-comfy.comfy-wide-motion-duo | |
x86_64-darwin emacsPackages.helm-perldoc | |
x86_64-darwin python311Packages.grpcio-gcp | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.lttng-modules | |
x86_64-linux dwarfs | |
aarch64-linux iptstate | |
aarch64-linux haskellPackages.core-webserver-warp | |
aarch64-darwin emacsPackages.langtool-popup | |
aarch64-darwin xorg.imake | |
x86_64-darwin kodiPackages.visualization-starburst | |
x86_64-darwin python311Packages.types-aiobotocore-synthetics | |
aarch64-darwin rubyPackages_3_3.hike | |
aarch64-linux vimPlugins.ltex_extra-nvim | |
x86_64-darwin edir | |
x86_64-linux home-assistant-component-tests.foscam | |
aarch64-darwin gnuradioPackages.osmosdr | |
x86_64-darwin perl536Packages.TestFakeHTTPD | |
aarch64-darwin python311Packages.hatch-fancy-pypi-readme | |
aarch64-linux perl536Packages.MathRandomSecure | |
aarch64-darwin yanic | |
x86_64-linux plasma5Packages.plasma-welcome | |
x86_64-linux python311Packages.lazr-delegates | |
aarch64-linux emacsPackages.plz-see | |
aarch64-darwin python312Packages.httpretty | |
aarch64-linux python311Packages.parsimonious | |
x86_64-darwin d2 | |
aarch64-linux almanah | |
x86_64-linux python311Packages.dbfread | |
x86_64-darwin libfaketime | |
x86_64-linux python311Packages.mongomock | |
x86_64-darwin python311Packages.poetry-core | |
x86_64-linux home-assistant-component-tests.elgato | |
aarch64-linux perl538Packages.LocaleTextDomainOOUtil | |
aarch64-darwin python311Packages.huggingface-hub | |
aarch64-darwin python312Packages.somajo | |
x86_64-linux perl538Packages.ClassThrowable | |
x86_64-linux linuxPackages_5_10_hardened.hyperv-daemons | |
aarch64-linux perl536Packages.TestRequires | |
aarch64-darwin haskellPackages.processor-creative-kit | |
x86_64-darwin libretro.vecx | |
x86_64-linux python312Packages.oauth | |
x86_64-linux gdc | |
aarch64-darwin emacsPackages.counsel-edit-mode | |
x86_64-darwin python311Packages.amiibo-py | |
aarch64-darwin postgresql14JitPackages.plr | |
x86_64-linux haskellPackages.confetti | |
aarch64-darwin python312Packages.confluent-kafka | |
x86_64-linux haskellPackages.hw-conduit | |
x86_64-linux emacsPackages.balanced-windows | |
aarch64-linux emacsPackages.gc-buffers | |
x86_64-linux spice-protocol | |
x86_64-darwin uthenticode | |
aarch64-linux python311Packages.localstack | |
x86_64-darwin perl536Packages.StringTT | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.bcc | |
aarch64-linux unify | |
x86_64-darwin element-web | |
x86_64-linux haskellPackages.strict-lens | |
x86_64-darwin has | |
aarch64-linux perl536Packages.ClassMix | |
aarch64-linux python312Packages.escapism | |
x86_64-darwin emacsPackages.calfw-cal | |
aarch64-darwin python311Packages.mdp | |
aarch64-linux emacsPackages.bufler | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.stdenv | |
x86_64-linux trayscale | |
x86_64-linux perl538Packages.BHooksOPAnnotation | |
aarch64-linux python311Packages.sacrebleu | |
aarch64-linux emacsPackages.blog-minimal | |
x86_64-linux emacsPackages.auto-complete | |
aarch64-darwin haskellPackages.http-client-websockets | |
x86_64-linux php82Extensions.enchant | |
x86_64-linux fluentd | |
aarch64-linux python311Packages.irctokens | |
aarch64-darwin python311Packages.pytest-subprocess | |
aarch64-linux chickenPackages_5.chickenEggs.lsp-server | |
x86_64-linux emacsPackages.camcorder | |
x86_64-linux python311Packages.types-aiobotocore-es | |
aarch64-darwin gtk2-x11 | |
aarch64-linux luajitPackages.lua-resty-openssl | |
aarch64-linux libsForQt5.kcontacts | |
aarch64-darwin perl536Packages.TestFutureIOImpl | |
x86_64-linux chickenPackages_5.chickenEggs.srfi-99 | |
x86_64-darwin cidr-merger | |
x86_64-linux eget | |
aarch64-darwin rubyPackages.rbs | |
aarch64-darwin dblatexFull | |
x86_64-linux chickenPackages_5.chickenEggs.dataframe | |
x86_64-linux perl538Packages.PodMarkdownGithub | |
aarch64-linux perl536Packages.EmailReply | |
x86_64-darwin python312Packages.aioapns | |
x86_64-linux scientifica | |
aarch64-darwin python311Packages.betterproto | |
x86_64-darwin perl538Packages.EnvSanctify | |
aarch64-linux python312Packages.pyeight | |
aarch64-linux jrnl | |
x86_64-linux perl536Packages.EmailReply | |
aarch64-linux linuxPackages_zen.lkrg | |
x86_64-linux haskellPackages.toml-reader | |
x86_64-linux python312Packages.identify | |
x86_64-linux linuxPackages.rtl8188eus-aircrack | |
x86_64-linux python311Packages.django-webpack-loader | |
aarch64-linux lrdf | |
aarch64-darwin gerbil-unstable | |
x86_64-darwin python311Packages.psycopg2cffi | |
aarch64-linux python312Packages.mkdocs-linkcheck | |
aarch64-linux haskellPackages.hasql-notifications | |
aarch64-darwin python312Packages.mypy-boto3-drs | |
x86_64-darwin emacsPackages.jumblr | |
aarch64-linux haskellPackages.regex-rure | |
x86_64-darwin haskellPackages.WAVE | |
aarch64-linux emacsPackages.lspce | |
x86_64-darwin python312Packages.pygments-markdown-lexer | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.xone | |
x86_64-linux cinnamon.folder-color-switcher | |
x86_64-linux perl538Packages.ConfigVersioned | |
aarch64-darwin python311Packages.filecheck | |
x86_64-darwin python311Packages.respx | |
x86_64-linux python312Packages.dramatiq | |
x86_64-linux linuxPackages_5_10_hardened.zfs_2_1 | |
aarch64-linux rubyPackages_3_3.data_objects | |
x86_64-darwin haskellPackages.pretty-hex | |
x86_64-darwin perl536Packages.IOStringy | |
x86_64-linux perl538Packages.AlgorithmC3 | |
x86_64-linux process-compose | |
x86_64-linux xtreemfs | |
aarch64-darwin python311Packages.flatten-dict | |
x86_64-linux tipp10 | |
aarch64-darwin emacsPackages.bpe | |
aarch64-linux cudaPackages.cutensor_1_3 | |
x86_64-darwin python312Packages.pluginbase | |
x86_64-darwin python311Packages.google-nest-sdm | |
x86_64-darwin python312Packages.torchmetrics | |
aarch64-linux libqalculate | |
aarch64-linux python311Packages.fastjet | |
x86_64-darwin luaPackages.luazip | |
x86_64-darwin telegram-desktop | |
aarch64-linux haskellPackages.incremental-sat-solver | |
x86_64-linux autoconf269 | |
x86_64-linux transmission-gtk | |
x86_64-linux ctrtool | |
x86_64-darwin python311Packages.pyprusalink | |
aarch64-linux rubyPackages_3_3.bigdecimal | |
aarch64-darwin emacsPackages.poke-line | |
aarch64-darwin python311Packages.azure-mgmt-appcontainers | |
x86_64-linux plasma5Packages.ktexteditor | |
aarch64-linux perl536Packages.InlineJava | |
x86_64-linux linuxKernel.packages.linux_6_5.rtw88 | |
x86_64-darwin python311Packages.h2 | |
x86_64-linux youtrack | |
x86_64-linux haskellPackages.SDL-ttf | |
x86_64-darwin emacsPackages.realgud-trepan-xpy | |
x86_64-linux curseofwar-sdl | |
aarch64-linux emacsPackages.ergoemacs-status | |
aarch64-linux libretro.freeintv | |
aarch64-linux python312Packages.graphql-relay | |
aarch64-linux python312Packages.pyspf | |
aarch64-darwin python311Packages.safe | |
x86_64-linux haskellPackages.resolv | |
x86_64-linux python311Packages.xmind | |
aarch64-linux overmind | |
x86_64-linux haskellPackages.jet | |
x86_64-linux alice-tools-qt6 | |
x86_64-linux emacsPackages.look-mode | |
x86_64-linux linuxPackages_6_1_hardened.lkrg | |
aarch64-darwin python311Packages.threadpoolctl | |
x86_64-linux apacheHttpdPackages.mod_timestamp | |
aarch64-darwin texinfo7 | |
aarch64-linux getxbook | |
x86_64-linux xsensors | |
x86_64-linux python311Packages.svg-path | |
x86_64-linux libsForQt5.qqc2-desktop-style | |
aarch64-darwin kbfs | |
x86_64-darwin python311Packages.mne-python | |
x86_64-darwin haskellPackages.event-transformer | |
x86_64-linux python312Packages.raincloudy | |
x86_64-linux bench | |
x86_64-darwin haskellPackages.generic-constraints | |
aarch64-darwin python311Packages.iso4217 | |
aarch64-darwin emacsPackages.consult-yasnippet | |
aarch64-linux python312Packages.types-aiobotocore-timestream-write | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.rtl8821au | |
aarch64-linux perl536Packages.LWPxParanoidAgent | |
x86_64-linux anew | |
aarch64-darwin chickenPackages_5.chickenEggs.lazy-ffi | |
aarch64-darwin python312Packages.types-aiobotocore-ivs-realtime | |
x86_64-darwin tabula-java | |
x86_64-darwin python312Packages.opentelemetry-proto | |
x86_64-linux plasma5Packages.ksmtp | |
x86_64-linux vcpkg-tool | |
aarch64-darwin bitcoind | |
x86_64-linux rubyPackages_3_3.ffi-rzmq-core | |
aarch64-darwin haskellPackages.cityhash | |
x86_64-linux haskellPackages.data-treify | |
x86_64-darwin ffms | |
x86_64-linux postgresql16Packages.rum | |
aarch64-linux haskellPackages.type-reflection | |
x86_64-linux linuxPackages_6_7_hardened.ena | |
x86_64-darwin libcdio-paranoia | |
x86_64-linux lilypond-unstable | |
aarch64-darwin python311Packages.sumtypes | |
x86_64-darwin python312Packages.azure-mgmt-managementgroups | |
aarch64-darwin python312Packages.cantools | |
x86_64-darwin eventlog | |
x86_64-linux haskellPackages.modular-arithmetic | |
x86_64-linux libsForQt5.kde-cli-tools | |
aarch64-linux python311Packages.more-properties | |
x86_64-darwin plasma5Packages.kwidgetsaddons | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.ch9344 | |
aarch64-linux python311Packages.flask-sslify | |
aarch64-darwin perl536Packages.FileHandleUnget | |
x86_64-darwin vhs | |
x86_64-linux emacsPackages.code-stats | |
x86_64-darwin coturn | |
x86_64-linux dict-cc-py | |
aarch64-linux dnsmasq | |
x86_64-darwin python312Packages.mypy-boto3-stepfunctions | |
x86_64-linux emacsPackages.vterm-toggle | |
x86_64-darwin python311Packages.subunit2sql | |
x86_64-darwin python311Packages.timeslot | |
aarch64-darwin perl536Packages.ArrayUtils | |
x86_64-darwin python311Packages.sortedcollections | |
aarch64-darwin emacsPackages.shell-toggle | |
x86_64-linux unpoller | |
x86_64-darwin haskellPackages.amazonka-storagegateway | |
aarch64-darwin courier-prime | |
aarch64-linux perl538Packages.CryptOpenSSLRandom | |
x86_64-linux haskellPackages.link-relations | |
x86_64-linux postgresql15Packages.pgtap | |
x86_64-linux python312Packages.aioesphomeapi | |
aarch64-linux emacsPackages.consult-projectile | |
x86_64-darwin libnixxml | |
x86_64-darwin xplanet | |
x86_64-linux linuxPackages_xanmod.shufflecake | |
x86_64-linux wifish | |
aarch64-linux emacsPackages.starhugger | |
x86_64-linux corepack_latest | |
aarch64-linux python312Packages.hiyapyco | |
aarch64-linux chickenPackages_4.chickenEggs.uri-generic | |
aarch64-darwin ao | |
aarch64-linux python311Packages.python-fsutil | |
x86_64-linux python312Packages.pysigma-pipeline-windows | |
x86_64-darwin vimPlugins.yescapsquit-vim | |
x86_64-darwin rubyPackages_3_1.cocoapods-clean | |
aarch64-linux emacsPackages.live-py-mode | |
x86_64-linux python311Packages.ndjson | |
aarch64-darwin ariang | |
aarch64-darwin lua51Packages.nfd | |
x86_64-darwin niftyseg | |
aarch64-darwin emacsPackages.evil-quickscope | |
x86_64-darwin haskellPackages.matterhorn | |
aarch64-darwin perl536Packages.TestCheckDeps | |
aarch64-linux python312Packages.virtualenvwrapper | |
x86_64-darwin python311Packages.langdetect | |
aarch64-linux python312Packages.nbexec | |
aarch64-linux haskellPackages.HDBC-sqlite3 | |
aarch64-linux rubyPackages_3_2.railties | |
aarch64-linux terrascan | |
aarch64-linux rocmPackages_5.llvm.llvm | |
aarch64-darwin python312Packages.opentelemetry-exporter-otlp | |
x86_64-darwin rubyPackages_3_3.jekyll-webmention_io | |
x86_64-linux perl538Packages.TermShell | |
aarch64-darwin python312Packages.cfn-flip | |
aarch64-darwin multimarkdown | |
aarch64-linux plasma5Packages.keditbookmarks | |
aarch64-darwin rubyPackages_3_1.net-http-persistent | |
x86_64-linux haskellPackages.pwstore-cli | |
x86_64-linux perl538Packages.HTMLForm | |
aarch64-darwin vimPlugins.elm-vim | |
aarch64-linux rubyPackages_3_2.kramdown-rfc2629 | |
x86_64-linux python312Packages.mypy-boto3-accessanalyzer | |
x86_64-darwin python312Packages.fireflyalgorithm | |
x86_64-darwin ioc-scan | |
aarch64-linux linuxKernel.packages.linux_zen.jool | |
aarch64-darwin python311Packages.actdiag | |
x86_64-darwin python312Packages.graspologic | |
x86_64-linux python311Packages.normality | |
x86_64-linux rocmPackages_5.llvm.libcxxabi | |
aarch64-darwin libretro.mesen | |
aarch64-linux python312Packages.oemthermostat | |
x86_64-darwin perl536Packages.MojoliciousPluginRenderFile | |
x86_64-linux meson | |
aarch64-linux axel | |
x86_64-linux haskellPackages.phonetic-languages-filters-array | |
x86_64-darwin python311Packages.aiobotocore | |
aarch64-linux python311Packages.types-aiobotocore-amplify | |
x86_64-linux python311Packages.umap-learn | |
aarch64-linux stgit | |
x86_64-linux wayland-pipewire-idle-inhibit | |
x86_64-linux librealsense | |
aarch64-darwin postgresqlPackages.pg_hll | |
aarch64-linux signaldctl | |
x86_64-darwin rubyPackages.domain_name | |
x86_64-linux python311Packages.pathvalidate | |
aarch64-linux lua53Packages.lpeglabel | |
aarch64-darwin lua53Packages.haskell-tools-nvim | |
x86_64-darwin dbmonster | |
aarch64-darwin haskellPackages.load-env | |
x86_64-linux emacsPackages.magit-patch-changelog | |
x86_64-darwin python312Packages.types-aiobotocore-iotthingsgraph | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.hoon | |
x86_64-darwin perl536Packages.AudioFLACHeader | |
aarch64-darwin pdftag | |
aarch64-darwin emacsPackages.simple-httpd | |
aarch64-linux home-assistant-component-tests.esphome | |
x86_64-linux jam | |
aarch64-linux perl536Packages.CodeTidyAllPluginPerlAlignMooseAttributes | |
x86_64-linux vapoursynth | |
x86_64-linux libretro.mesen | |
aarch64-darwin awsbck | |
x86_64-darwin python312Packages.ifaddr | |
x86_64-linux terraform-providers.cloudamqp | |
x86_64-linux linuxKernel.packages.linux_6_7.nvidia_x11_beta_open | |
x86_64-linux gnomeExtensions.colosseum | |
aarch64-linux lemon | |
x86_64-darwin python312Packages.toml | |
aarch64-linux python311Packages.parameterized | |
x86_64-darwin cmark | |
aarch64-linux perl536Packages.TextDiff | |
x86_64-linux ncspot | |
aarch64-darwin emacsPackages.org-outline-numbering | |
aarch64-linux boatswain | |
x86_64-linux python312Packages.adax-local | |
aarch64-linux python312Packages.blockfrost-python | |
x86_64-linux llvmPackages_9.clang-manpages | |
x86_64-linux gnomeExtensions.move-clock | |
aarch64-darwin marp-cli | |
aarch64-linux hunspellDicts.en_GB-ize | |
x86_64-linux haskellPackages.gitit | |
aarch64-linux rubyPackages_3_2.bacon | |
x86_64-linux gnomeExtensions.overview-background | |
aarch64-linux python312Packages.opensimplex | |
aarch64-darwin blst | |
x86_64-darwin haskellPackages.no-value | |
aarch64-linux haskellPackages.spine | |
aarch64-linux python311Packages.aiocomelit | |
x86_64-linux haskellPackages.stripe-signature | |
x86_64-darwin haskellPackages.inspection-testing | |
x86_64-darwin haskellPackages.ldap-client | |
aarch64-linux perl538Packages.TestOutput | |
aarch64-linux fdk-aac-encoder | |
aarch64-linux python312Packages.types-aiobotocore-docdb | |
x86_64-darwin python312Packages.geocachingapi | |
x86_64-linux fasthenry | |
aarch64-darwin metabigor | |
x86_64-linux emacsPackages.railscasts-reloaded-theme | |
aarch64-linux haskellPackages.parsec-numbers | |
x86_64-darwin haskellPackages.ptera | |
aarch64-darwin python312Packages.ufolint | |
aarch64-linux rocmPackages_5.rocm-runtime | |
x86_64-linux tests.hardeningFlags.fortifyExplicitDisabledDisablesFortify3 | |
aarch64-darwin python311Packages.timetagger | |
aarch64-darwin db6 | |
aarch64-darwin postgresql12Packages.wal2json | |
aarch64-darwin crystalline | |
x86_64-darwin haskellPackages.bins | |
aarch64-darwin kubedb-cli | |
aarch64-darwin python311Packages.cogapp | |
x86_64-linux go-protobuf | |
aarch64-darwin python312Packages.compiledb | |
aarch64-darwin chickenPackages_5.chickenEggs.daemon | |
aarch64-darwin haskellPackages.wai-middleware-static-embedded | |
x86_64-linux fallout2-ce | |
x86_64-darwin haskellPackages.nix-derivation | |
aarch64-darwin haskellPackages.hlibcpuid | |
aarch64-linux xfce.xfce4-embed-plugin | |
x86_64-darwin s5cmd | |
aarch64-darwin haskellPackages.array-chunks | |
x86_64-linux python312Packages.pyphen | |
x86_64-darwin haskellPackages.yesod-auth-lti13 | |
aarch64-linux python311Packages.requests-toolbelt | |
x86_64-linux python312Packages.tabledata | |
aarch64-linux vocal | |
x86_64-linux nixVersions.nix_2_16 | |
x86_64-linux jj | |
aarch64-linux foo2zjs | |
x86_64-linux kakasi | |
x86_64-darwin python312Packages.geopy | |
x86_64-linux libofa | |
aarch64-linux python311Packages.allure-pytest | |
x86_64-linux python311Packages.python-youtube | |
x86_64-darwin perl536Packages.ProcFind | |
x86_64-linux SDL2_gfx | |
aarch64-darwin haskellPackages.higgledy | |
x86_64-linux emacsPackages.describe-hash | |
aarch64-darwin python311Packages.music-tag | |
aarch64-linux helvetica-neue-lt-std | |
x86_64-linux zchunk | |
x86_64-linux ber_metaocaml | |
aarch64-darwin python312Packages.discordpy | |
x86_64-linux python312Packages.huisbaasje-client | |
aarch64-darwin perl538Packages.DataDump | |
aarch64-linux python311Packages.nxt-python | |
x86_64-darwin perl536Packages.TestTrap | |
x86_64-darwin emacsPackages.flycheck-pyflakes | |
x86_64-darwin python311Packages.aio-geojson-usgs-earthquakes | |
aarch64-darwin haskellPackages.gl3w | |
x86_64-darwin haskellPackages.hamlet | |
aarch64-darwin lua54Packages.image-nvim | |
x86_64-darwin haskellPackages.space | |
aarch64-darwin bashmount | |
x86_64-darwin python312Packages.setuptools | |
x86_64-linux rubyPackages_3_3.ruby2ruby | |
x86_64-darwin cowsql | |
x86_64-darwin dfu-programmer | |
x86_64-linux libsForQt5.kparts | |
aarch64-darwin python312Packages.gradio-pdf | |
aarch64-darwin python311Packages.beziers | |
aarch64-linux perl536Packages.TermVT102Boundless | |
aarch64-linux haskellPackages.Control-Engine | |
aarch64-linux perl536Packages.SysCpuAffinity | |
aarch64-linux linuxPackages_4_19_hardened.dpdk-kmods | |
aarch64-darwin python311Packages.grandalf | |
aarch64-darwin python312Packages.dissect-volume | |
aarch64-darwin haskellPackages.optparse-applicative | |
aarch64-linux haskellPackages.crc16-table | |
aarch64-linux haskellPackages.hasql-implicits | |
aarch64-linux libbpf | |
aarch64-linux linuxKernel.packages.linux_6_5.shufflecake | |
aarch64-linux linuxKernel.packages.linux_libre.zfsStable | |
x86_64-darwin gromacsDoubleMpi | |
x86_64-linux libgovirt | |
x86_64-linux python311Packages.scrapy-deltafetch | |
x86_64-linux yai | |
aarch64-darwin emacsPackages.riscv-mode | |
x86_64-linux driversi686Linux.vaapiVdpau | |
x86_64-darwin perl536Packages.CGICompile | |
x86_64-linux haskellPackages.multihash-cryptonite | |
aarch64-linux linuxKernel.packages.linux_4_19.vm-tools | |
x86_64-darwin perl536Packages.XMLHandlerYAWriter | |
x86_64-darwin python312Packages.opencensus | |
x86_64-linux haskellPackages.parsek | |
x86_64-darwin perl538Packages.PlackMiddlewareConsoleLogger | |
aarch64-darwin python312Packages.pyscss | |
aarch64-darwin python312Packages.r2pipe | |
aarch64-darwin rubyPackages_3_2.cocoapods-deintegrate | |
x86_64-linux linuxPackages_6_6_hardened.nvidia_x11_beta_open | |
x86_64-darwin python311Packages.mypy-boto3-chime-sdk-messaging | |
x86_64-linux nitrokey-app2 | |
x86_64-darwin vimPlugins.denite-nvim | |
x86_64-darwin python311Packages.mypy-boto3-route53 | |
x86_64-darwin python311Packages.huum | |
aarch64-darwin xosview2 | |
aarch64-darwin haskellPackages.rfc1413-types | |
x86_64-linux gci | |
x86_64-linux perl538Packages.FileListing | |
x86_64-linux haskellPackages.typed-duration | |
aarch64-linux python312Packages.types-aiobotocore-mturk | |
aarch64-darwin python312Packages.pymystem3 | |
x86_64-darwin python311Packages.cssmin | |
aarch64-linux python311Packages.bytewax | |
x86_64-darwin haskellPackages.dom-lt | |
aarch64-linux emacsPackages.lsp-ivy | |
x86_64-darwin coqPackages.zorns-lemma | |
x86_64-linux python312Packages.sqlbag | |
x86_64-darwin libretro.pcsx-rearmed | |
aarch64-darwin rubyPackages_3_2.cairo | |
x86_64-linux gbsplay | |
aarch64-darwin emacsPackages.acme-theme | |
aarch64-linux emacsPackages.evil-escape | |
x86_64-linux asc | |
x86_64-darwin python312Packages.brotlipy | |
x86_64-darwin perl538Packages.Curses | |
aarch64-darwin psql2csv | |
x86_64-darwin python311Packages.essentials | |
aarch64-linux python311Packages.samplerate | |
aarch64-linux vimPlugins.yanky-nvim | |
aarch64-linux osmo-bsc | |
aarch64-darwin python312Packages.z3-solver | |
x86_64-linux ctags | |
x86_64-darwin code-server | |
aarch64-darwin haskell.compiler.ghc98 | |
aarch64-linux powersploit | |
x86_64-linux python311Packages.types-aiobotocore-route53resolver | |
x86_64-linux vimPlugins.vim-parinfer | |
x86_64-darwin emacsPackages.zenburn-theme | |
aarch64-darwin inputplug | |
aarch64-darwin python312Packages.pytest-reverse | |
x86_64-darwin luajitPackages.luaposix | |
x86_64-darwin python312Packages.nessclient | |
aarch64-linux perl538Packages.FilePath | |
x86_64-darwin python311Packages.meshtastic | |
x86_64-linux swagger-codegen | |
x86_64-darwin python311Packages.sanic-auth | |
aarch64-darwin haskellPackages.base91 | |
x86_64-linux emacsPackages.flycheck-yang | |
aarch64-linux python312Packages.google-cloud-language | |
x86_64-darwin emacsPackages.efar | |
aarch64-darwin python312Packages.pytest-rerunfailures | |
aarch64-linux libndp | |
aarch64-linux tests.cuda.cudaPackages_11_5.saxpy | |
x86_64-darwin rust-bindgen | |
x86_64-darwin haskellPackages.tls | |
x86_64-linux deadpixi-sam-unstable | |
aarch64-linux emacsPackages.mark-multiple | |
x86_64-linux python311Packages.pykerberos | |
aarch64-linux python312Packages.jupyter-ydoc | |
aarch64-darwin vimPlugins.ncm2-markdown-subscope | |
x86_64-linux emacsPackages.systemtap-mode | |
aarch64-linux haskellPackages.terminal-punch | |
x86_64-darwin python311Packages.imagededup | |
x86_64-linux haskellPackages.reverse-arguments | |
aarch64-darwin emacsPackages.edit-as-format | |
x86_64-linux libgcc | |
x86_64-linux python311Packages.svglib | |
aarch64-linux haskellPackages.transaction | |
aarch64-linux silenthound | |
aarch64-linux emacsPackages.preview-dvisvgm | |
x86_64-linux rubyPackages_3_2.yard | |
aarch64-linux linuxKernel.packages.linux_6_6.virtio_vmmci | |
aarch64-linux python311Packages.types-aiobotocore-application-insights | |
x86_64-darwin haskellPackages.maximal-cliques | |
x86_64-darwin python312Packages.hstspreload | |
x86_64-linux libsForQt5.kwayland-integration | |
aarch64-linux emacsPackages.tmux-mode | |
x86_64-linux rubyPackages_3_3.sassc | |
x86_64-darwin python312Packages.dvc-azure | |
x86_64-darwin perl536Packages.MailSender | |
aarch64-linux python311Packages.python-prctl | |
aarch64-darwin emacsPackages.wide-column | |
aarch64-darwin python312Packages.et-xmlfile | |
aarch64-linux python311Packages.pykwalify | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.nvidia_x11_beta_open | |
x86_64-linux plasma5Packages.kbookmarks | |
aarch64-darwin emacsPackages.zop-to-char | |
x86_64-linux emacsPackages.orgalist | |
aarch64-linux pyprof2calltree | |
x86_64-darwin redli | |
x86_64-darwin python311Packages.pytest-instafail | |
x86_64-linux python311Packages.mujoco | |
x86_64-linux linuxPackages_xanmod.qc71_laptop | |
aarch64-linux rubyPackages_3_2.tzinfo | |
aarch64-darwin fira-math | |
x86_64-linux python311Packages.sphinx-automodapi | |
x86_64-linux python312Packages.azure-mgmt-devtestlabs | |
aarch64-darwin cunit | |
aarch64-linux f2 | |
x86_64-linux python312Packages.pyee | |
x86_64-darwin rubyPackages_3_3.ruby-lxc | |
x86_64-darwin haskellPackages.hasty-hamiltonian | |
aarch64-darwin haskellPackages.frontmatter | |
x86_64-linux iozone | |
x86_64-linux haskellPackages.feature-flags | |
aarch64-darwin haskellPackages.lists | |
x86_64-darwin stabber | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.corn | |
x86_64-linux gebaar-libinput | |
x86_64-linux python312Packages.httpx-socks | |
x86_64-darwin python312Packages.approval-utilities | |
aarch64-darwin perl538Packages.ZonemasterCLI | |
aarch64-linux python312Packages.jsbeautifier | |
aarch64-darwin python311Packages.dnspython | |
aarch64-linux python312Packages.databricks-sql-connector | |
aarch64-darwin usort | |
aarch64-darwin python312Packages.pytest-json-report | |
x86_64-linux php83Extensions.curl | |
x86_64-darwin postgresql16JitPackages.pgvector | |
x86_64-darwin emacsPackages.m-buffer | |
x86_64-linux gst_all_1.gst-plugins-bad | |
x86_64-darwin snazy | |
x86_64-darwin python311Packages.effect | |
x86_64-linux emacsPackages.evil-mu4e | |
x86_64-linux python311Packages.nfcpy | |
x86_64-linux netcoredbg | |
aarch64-linux home-assistant-component-tests.influxdb | |
x86_64-darwin haskellPackages.pandoc-citeproc-preamble | |
aarch64-darwin python311Packages.ipymarkup | |
aarch64-linux haskellPackages.fftw-ffi | |
x86_64-linux python311Packages.types-aiobotocore-dms | |
x86_64-darwin haskellPackages.tree-sitter-java | |
x86_64-linux emacsPackages.shrink-whitespace | |
x86_64-linux luaPackages.toml-edit | |
x86_64-darwin atasm | |
aarch64-linux haskellPackages.cabalg | |
x86_64-linux gdown | |
x86_64-darwin python312Packages.aioinflux | |
x86_64-linux rubyPackages_3_3.unicode-blocks | |
aarch64-linux massren | |
x86_64-linux emacsPackages.obsidian | |
aarch64-linux python311Packages.huey | |
aarch64-linux emacsPackages.searcher | |
aarch64-linux plasma5Packages.kontact | |
x86_64-linux lua54Packages.nui-nvim | |
aarch64-darwin luaPackages.lua-resty-openidc | |
x86_64-linux pur | |
x86_64-darwin emacsPackages.async-await | |
x86_64-linux haskellPackages.amazonka-dataexchange | |
x86_64-linux linuxKernel.packages.linux_6_7.rtl8188eus-aircrack | |
aarch64-darwin python311Packages.ical | |
x86_64-darwin perl536Packages.TextUnidecode | |
aarch64-darwin python312Packages.cose | |
x86_64-darwin haskellPackages.wai-conduit | |
x86_64-linux perl538Packages.FontAFM | |
x86_64-darwin emacsPackages.zombie-trellys-mode | |
aarch64-linux telescope | |
x86_64-linux wineWowPackages.stableFull | |
x86_64-darwin lv | |
aarch64-linux python311Packages.example-robot-data | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.purescript | |
x86_64-darwin python311Packages.boschshcpy | |
aarch64-darwin python312Packages.luxor | |
x86_64-linux lua51Packages.luv | |
aarch64-linux linuxKernel.packages.linux_zen.prl-tools | |
aarch64-linux rofi-mpd | |
aarch64-linux python311Packages.ping3 | |
x86_64-darwin gomapenum | |
x86_64-linux python312Packages.sepaxml | |
aarch64-darwin antlr3_4 | |
x86_64-darwin colmena | |
x86_64-linux html-proofer | |
aarch64-darwin kerf | |
x86_64-linux emacsPackages.e2wm-sww | |
aarch64-darwin dvc | |
aarch64-linux hatch | |
aarch64-linux python312Packages.hjson | |
aarch64-linux dotnet-aspnetcore_7 | |
x86_64-linux vimPlugins.vim-lexical | |
x86_64-darwin python311Packages.more-properties | |
x86_64-darwin python312Packages.validphys2 | |
x86_64-darwin python312Packages.qcs-sdk-python | |
x86_64-linux python312Packages.python-toolbox | |
aarch64-linux haskellPackages.lens-misc | |
aarch64-darwin haskellPackages.optional-args | |
x86_64-linux winePackages.stableFull | |
x86_64-darwin rubyPackages.ast | |
aarch64-linux haskellPackages.tree-sitter-python | |
aarch64-linux python312Packages.domeneshop | |
x86_64-linux python311Packages.loqedapi | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.broadcom_sta | |
aarch64-darwin haskellPackages.ytl | |
aarch64-linux emacsPackages.rubocop | |
aarch64-linux gotrue | |
x86_64-linux mmdoc | |
aarch64-linux python312Packages.crc | |
x86_64-linux serial-studio | |
aarch64-darwin python312Packages.dissect-shellitem | |
aarch64-linux python311Packages.siuba | |
aarch64-linux linuxPackages.hid-ite8291r3 | |
x86_64-darwin emacsPackages.flycheck-apertium | |
aarch64-darwin leafnode | |
x86_64-darwin ntp | |
x86_64-darwin emacsPackages.elcouch | |
aarch64-linux perl536Packages.MathRound | |
aarch64-darwin python311Packages.ocrmypdf | |
x86_64-linux s3-credentials | |
aarch64-linux python312Packages.aemet-opendata | |
aarch64-linux librepo | |
aarch64-darwin w3m | |
x86_64-linux dolt | |
aarch64-linux gnufdisk | |
aarch64-darwin haskellPackages.haskeline_0_8_2_1 | |
aarch64-darwin python311Packages.zict | |
x86_64-darwin qt6.qtdeclarative | |
aarch64-linux python311Packages.markuppy | |
aarch64-linux python312Packages.envoy-reader | |
aarch64-darwin emacsPackages.color-theme | |
x86_64-linux nasm | |
x86_64-linux fnm | |
x86_64-linux purpur | |
x86_64-darwin emacsPackages.gruber-darker-theme | |
x86_64-linux ted | |
aarch64-linux python312Packages.pyppeteer | |
aarch64-linux python312Packages.python-twitter | |
aarch64-linux home-assistant-component-tests.philips_js | |
aarch64-linux perl536Packages.SysSigAction | |
x86_64-darwin haskellPackages.mysql | |
x86_64-linux python312Packages.biopython | |
x86_64-linux mysql-workbench | |
aarch64-darwin python311Packages.fleep | |
x86_64-darwin lanraragi | |
x86_64-linux rubyPackages_3_2.coffee-script-source | |
aarch64-darwin ccacheStdenv | |
x86_64-darwin nanoemoji | |
x86_64-linux haskellPackages.hs-opentelemetry-exporter-otlp | |
x86_64-darwin yt-dlp-light | |
aarch64-darwin smimesign | |
x86_64-linux shadowsocks-v2ray-plugin | |
aarch64-linux cppzmq | |
x86_64-darwin emacsPackages.markup | |
aarch64-darwin dotnetCorePackages.sdk_6_0 | |
aarch64-darwin python311Packages.mobi | |
x86_64-linux cinnamon.nemo | |
aarch64-linux python312Packages.tweedledum | |
x86_64-darwin python311Packages.evohome-async | |
x86_64-linux latte-integrale | |
x86_64-darwin python311Packages.dissect-ntfs | |
x86_64-darwin merriweather | |
aarch64-linux python312Packages.pyutil | |
x86_64-darwin emacsPackages.enclose | |
aarch64-darwin bsdiff | |
x86_64-linux linuxKernel.packages.linux_6_6.sysdig | |
x86_64-linux python312Packages.mypy-boto3-gamesparks | |
x86_64-linux smcroute | |
x86_64-linux linuxKernel.packages.linux_5_15.nvidia_x11_production | |
x86_64-darwin emacsPackages.edit-server | |
x86_64-darwin python311Packages.datadiff | |
aarch64-linux python312Packages.sanic-testing | |
aarch64-darwin python311Packages.mypy-boto3-sesv2 | |
x86_64-darwin vimPlugins.nvim-lastplace | |
aarch64-darwin emacsPackages.shellcop | |
x86_64-linux postgresql14JitPackages.repmgr | |
x86_64-linux linuxPackages_6_7_hardened.x86_energy_perf_policy | |
x86_64-darwin lua51Packages.luadbi-sqlite3 | |
aarch64-linux python311Packages.ecos | |
x86_64-linux rocmPackages_5.rocm-smi | |
aarch64-darwin perl536Packages.TextCharWidth | |
aarch64-darwin chickenPackages_5.chickenEggs.edward | |
aarch64-linux python311Packages.python-bidi | |
x86_64-darwin nasm | |
x86_64-linux tewi-font | |
x86_64-darwin mpvScripts.sponsorblock | |
x86_64-darwin python311Packages.escapism | |
aarch64-darwin python311Packages.markdownify | |
x86_64-darwin tlaplus18 | |
aarch64-linux haskellPackages.chesshs | |
x86_64-linux xschem | |
x86_64-linux ark-pixel-font | |
x86_64-linux gnomeExtensions.clipboard-history | |
aarch64-darwin python312Packages.anthemav | |
aarch64-darwin emacsPackages.language-detection | |
aarch64-linux libmpg123 | |
aarch64-darwin python312Packages.types-aiobotocore-customer-profiles | |
x86_64-linux emacsPackages.forest-blue-theme | |
aarch64-linux python312Packages.django-i18nfield | |
aarch64-linux linuxPackages_hardened.zfs_2_1 | |
x86_64-linux flavours | |
x86_64-darwin python311Packages.multipledispatch | |
x86_64-linux haskellPackages.stomp-queue | |
aarch64-linux python312Packages.pyinstrument | |
aarch64-linux haskellPackages.skylighting-format-latex | |
x86_64-darwin python311Packages.rapt-ble | |
aarch64-darwin libscrypt | |
x86_64-darwin emacsPackages.counsel-edit-mode | |
aarch64-darwin librdf_raptor2 | |
x86_64-linux linuxPackages_5_4_hardened.zfsStable | |
x86_64-linux haskellPackages.type-set | |
x86_64-darwin wamr | |
x86_64-darwin zynaddsubfx | |
aarch64-linux python311Packages.pyclimacell | |
x86_64-darwin hscolour | |
x86_64-linux linuxPackages_xanmod.rtl8812au | |
aarch64-linux rubyPackages_3_2.xctasks | |
aarch64-linux copyright-update | |
aarch64-darwin python312Packages.id | |
aarch64-linux git-imerge | |
x86_64-linux perl | |
x86_64-linux lua53Packages.teal-language-server | |
aarch64-linux python312Packages.wordfreq | |
aarch64-linux haskellPackages.pandoc-query | |
aarch64-darwin vimPlugins.solarized-nvim | |
x86_64-linux sbclPackages.inferior-shell | |
aarch64-darwin perl538Packages.URIEscapeXS | |
aarch64-darwin perl538Packages.DataTaxi | |
x86_64-linux computecpp-unwrapped | |
aarch64-linux perl538Packages.PodMarkdown | |
x86_64-darwin emacsPackages.racer | |
x86_64-linux perl536Packages.IOAIO | |
x86_64-linux emacsPackages.json-rpc-server | |
aarch64-linux python311Packages.cftime | |
x86_64-darwin perl538Packages.AnyURIEscape | |
aarch64-darwin rapidjson | |
aarch64-darwin python312Packages.terminado | |
aarch64-darwin python312Packages.libversion | |
x86_64-linux zenith-nvidia | |
x86_64-linux linuxKernel.packages.linux_libre.ddcci-driver | |
x86_64-darwin emacsPackages.wisi | |
aarch64-darwin libsForQt5.qt5.qtpositioning | |
x86_64-darwin mkpasswd | |
aarch64-linux python312Packages.dacite | |
x86_64-linux python311Packages.aiopulse | |
aarch64-linux haskellPackages.vector | |
x86_64-darwin create-cycle-app | |
aarch64-linux emacsPackages.flymake-jshint | |
aarch64-linux ntirpc | |
aarch64-darwin gtk-doc | |
aarch64-linux linuxKernel.packages.linux_xanmod.cryptodev | |
aarch64-linux python312Packages.rxv | |
aarch64-darwin rubyPackages_3_3.actiontext | |
aarch64-darwin openntpd_nixos | |
x86_64-darwin vimPlugins.vim-ultest | |
aarch64-darwin python311Packages.vine | |
aarch64-linux python312Packages.netmiko | |
aarch64-linux rubyPackages_3_2.crass | |
aarch64-darwin mpir | |
aarch64-darwin certigo | |
x86_64-darwin python311Packages.python-axolotl | |
x86_64-linux python312Packages.google-cloud-texttospeech | |
aarch64-darwin haskellPackages.Useful | |
x86_64-darwin perl538Packages.CatalystComponentInstancePerContext | |
aarch64-linux perl538Packages.ExtUtilsInstall | |
aarch64-linux linuxPackages_lqx.stdenv | |
x86_64-linux ibus-engines.uniemoji | |
x86_64-linux jbofihe | |
aarch64-darwin metricbeat | |
aarch64-linux tinyobjloader | |
aarch64-darwin vgmplay-libvgm | |
x86_64-darwin python311Packages.flyingsquid | |
aarch64-linux emacsPackages.services | |
aarch64-darwin fac-build | |
aarch64-linux perl536Packages.FileTouch | |
aarch64-darwin python311Packages.pyarrow-hotfix | |
x86_64-darwin haskellPackages.csound-sampler | |
aarch64-linux python312Packages.crysp | |
x86_64-darwin cloudfox | |
x86_64-darwin python312Packages.hydra-core | |
x86_64-darwin chickenPackages_5.chickenEggs.slib-charplot | |
x86_64-linux gsmlib | |
x86_64-darwin perl538Packages.ProcSimple | |
x86_64-linux python312Packages.webssh | |
x86_64-linux libhomfly | |
aarch64-linux emacsPackages.elvish-mode | |
aarch64-linux python312Packages.dingz | |
aarch64-linux haskellPackages.semialign | |
x86_64-linux cosmic-applibrary | |
aarch64-linux vimPlugins.bufferline-nvim | |
x86_64-linux cli53 | |
x86_64-darwin castopod | |
aarch64-darwin hydra-check | |
aarch64-linux python312Packages.pyheif | |
x86_64-linux haskellPackages.hslua-cli | |
x86_64-linux haskellPackages.ann | |
aarch64-linux plasma5Packages.kio-gdrive | |
aarch64-linux haskellPackages.QuickCheck | |
aarch64-linux haskellPackages.gi-handy | |
x86_64-darwin python311Packages.mypy-boto3-autoscaling | |
aarch64-linux haskellPackages.spatial-math | |
aarch64-darwin javaPackages.openjfx19 | |
x86_64-darwin python312Packages.azure-servicebus | |
aarch64-darwin couchdb3 | |
aarch64-linux emacsPackages.async | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.rtl8192eu | |
x86_64-darwin nbqa | |
aarch64-darwin tickrs | |
aarch64-linux mar1d | |
aarch64-darwin python312Packages.facedancer | |
aarch64-darwin python312Packages.mypy-boto3-migrationhuborchestrator | |
x86_64-linux linuxKernel.packages.linux_6_5.v86d | |
x86_64-darwin python311Packages.mockfs | |
aarch64-linux metabigor | |
x86_64-darwin emacsPackages.markless | |
x86_64-darwin emacsPackages.helm-systemd | |
x86_64-darwin emacsPackages.cfrs | |
aarch64-darwin haskellPackages.guarded-allocation | |
aarch64-darwin python312Packages.robotframework-pythonlibcore | |
x86_64-linux python312Packages.remarshal | |
x86_64-linux emacsPackages.visual-regexp | |
aarch64-darwin python312Packages.dissect-etl | |
aarch64-linux linuxPackages_5_4_hardened.tmon | |
x86_64-linux vimPlugins.deoplete-vim-lsp | |
aarch64-darwin haskellPackages.Shu-thing | |
aarch64-darwin python311Packages.eternalegypt | |
aarch64-darwin emacsPackages.haki-theme | |
x86_64-linux vimv | |
x86_64-darwin zxpy | |
x86_64-linux haskellPackages.streaming-bytestring | |
aarch64-darwin python311Packages.django-hierarkey | |
x86_64-linux emacsPackages.marmalade-client | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.apfs | |
aarch64-darwin perl538Packages.CairoGObject | |
aarch64-linux python311Packages.azure-servicebus | |
x86_64-linux python311Packages.mypy-boto3-sso-oidc | |
x86_64-darwin pcg_c | |
aarch64-darwin theLoungePlugins.themes.zenburn | |
aarch64-linux haskellPackages.multiprocess | |
aarch64-linux python312Packages.junos-eznc | |
aarch64-darwin python312Packages.translitcodec | |
aarch64-darwin emacsPackages.mbsync | |
aarch64-darwin perl536Packages.DeviceOUI | |
x86_64-linux proverif | |
x86_64-darwin haskellPackages.diagrams-gtk | |
x86_64-darwin python311Packages.mulpyplexer | |
aarch64-darwin luajit_openresty | |
aarch64-linux mmixware | |
x86_64-darwin perl536Packages.MooseXLazyRequire | |
aarch64-linux emacsPackages.counsel-org-capture-string | |
x86_64-linux emacsPackages.unicode-troll-stopper | |
x86_64-darwin emacsPackages.apache-mode | |
x86_64-darwin emacsPackages.zygospore | |
x86_64-linux gamepad-tool | |
x86_64-linux python312Packages.weaviate-client | |
aarch64-darwin python311Packages.zstandard | |
aarch64-darwin cliquer | |
aarch64-linux libsForQt5.kget | |
x86_64-linux proj_7 | |
x86_64-linux documentation-highlighter | |
x86_64-linux kubernetes-helmPlugins.helm-git | |
x86_64-darwin dep2nix | |
x86_64-linux rocmPackages.hipsparse | |
aarch64-linux opencorsairlink | |
x86_64-darwin libsForQt5.qt5.qtwebview | |
x86_64-linux linuxPackages_6_5_hardened.usbip | |
aarch64-darwin python312Packages.django-scim2 | |
aarch64-linux python311Packages.mpris-server | |
x86_64-linux fastfetch | |
aarch64-darwin emacsPackages.go-projectile | |
aarch64-linux rubyPackages_3_1.ffi-compiler | |
aarch64-darwin perl538Packages.XMLXPath | |
x86_64-linux haskellPackages.safe-coloured-text | |
aarch64-linux gogetdoc | |
aarch64-linux perl536Packages.prefork | |
x86_64-linux bintoolsDualAs | |
aarch64-darwin octodns-providers.bind | |
aarch64-linux emacsPackages.dbc | |
aarch64-darwin emacsPackages.company-anaconda | |
x86_64-darwin python311Packages.codepy | |
x86_64-darwin fpc | |
aarch64-linux linuxKernel.packages.linux_5_4.ena | |
x86_64-darwin python311Packages.asyncmy | |
aarch64-darwin rubyPackages_3_1.cocoapods-downloader | |
x86_64-linux linuxKernel.packages.linux_5_15.zfs_2_1 | |
aarch64-linux postgresqlPackages.smlar | |
aarch64-linux cmt | |
x86_64-linux python312Packages.yattag | |
x86_64-linux haskellPackages.control-bool | |
x86_64-linux python312Packages.chainer | |
x86_64-darwin emacsPackages.sorcery-theme | |
x86_64-linux jelly | |
aarch64-darwin python311Packages.ciscoconfparse | |
aarch64-darwin python311Packages.firetv | |
x86_64-linux python312Packages.peco | |
aarch64-darwin soapysdr | |
x86_64-darwin php83 | |
aarch64-linux haskellPackages.combinatorics | |
aarch64-linux emacsPackages.with-editor | |
aarch64-linux linuxPackages.dpdk-kmods | |
x86_64-linux diffr | |
x86_64-linux python312Packages.pywerview | |
aarch64-darwin emacsPackages.crm-custom | |
aarch64-linux haskellPackages.hercules-ci-agent | |
aarch64-darwin perl536Packages.perlldap | |
aarch64-linux vimPlugins.vim-quickrun | |
aarch64-linux perl536Packages.RegexpCommonnetCIDR | |
aarch64-linux perl536Packages.Gtk2TrayIcon | |
aarch64-linux python312Packages.python-docx | |
aarch64-linux python311Packages.rustworkx | |
aarch64-linux linuxPackages_5_10_hardened.vm-tools | |
x86_64-linux perl536Packages.XMLXPath | |
aarch64-darwin perl538Packages.LocaleTextDomainOOUtil | |
x86_64-linux linuxKernel.packages.linux_zen.xpadneo | |
x86_64-linux opensearch-cli | |
x86_64-linux python312Packages.click | |
x86_64-darwin python311Packages.pyfiglet | |
aarch64-linux linuxPackages-libre.lttng-modules | |
x86_64-darwin haskellPackages.z3 | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.zenpower | |
x86_64-linux emacsPackages.nnhackernews | |
x86_64-darwin haskellPackages.mandrill | |
x86_64-darwin python312Packages.mypy-boto3-ram | |
aarch64-darwin perl538Packages.MailSPF | |
aarch64-darwin python311Packages.mypy-boto3-backup-gateway | |
aarch64-linux haskellPackages.comfort-fftw | |
aarch64-darwin ferrum | |
x86_64-linux linuxKernel.packages.linux_xanmod.prl-tools | |
x86_64-linux gnomeExtensions.overview-flick | |
x86_64-linux icu72 | |
aarch64-darwin libsForQt5.kcompletion | |
x86_64-darwin python312Packages.ha-ffmpeg | |
aarch64-linux python312Packages.notify-events | |
x86_64-linux python311Packages.sonos-websocket | |
x86_64-linux python311Packages.types-aiobotocore-ce | |
x86_64-linux hayagriva | |
x86_64-linux fbida | |
x86_64-darwin python311Packages.pytest-pylint | |
x86_64-darwin python312Packages.py17track | |
aarch64-darwin python312Packages.protego | |
aarch64-darwin emacsPackages.flycheck-jest | |
aarch64-linux emacsPackages.s-buffer | |
aarch64-linux enchive | |
aarch64-linux haskellPackages.cabal-uninstall | |
x86_64-linux haskellPackages.scan | |
x86_64-linux lua51Packages.compat53 | |
x86_64-linux python311Packages.mdformat-mkdocs | |
aarch64-darwin python312Packages.astropy | |
aarch64-linux emacsPackages.dkdo | |
aarch64-linux python312Packages.mypy-boto3-connectparticipant | |
aarch64-darwin python312Packages.pytest-order | |
aarch64-linux dieharder | |
aarch64-linux emacsPackages.erefactor | |
x86_64-linux python311Packages.app-model | |
x86_64-darwin python311Packages.bibtexparser | |
x86_64-linux scrounge-ntfs | |
x86_64-linux qt6.qtsvg | |
x86_64-darwin lp_solve | |
x86_64-linux cudaPackages.cuda_profiler_api | |
x86_64-darwin perl538Packages.Wx | |
aarch64-linux peroxide | |
x86_64-darwin typescript | |
aarch64-linux nsh | |
x86_64-linux python312Packages.pymediainfo | |
aarch64-linux chickenPackages_5.chickenEggs.posix-regex | |
x86_64-darwin haskellPackages.htirage | |
x86_64-darwin perl538Packages.FFIPlatypus | |
aarch64-linux rubyPackages_3_3.pandocomatic | |
aarch64-darwin aspellDicts.af | |
aarch64-darwin caerbannog | |
x86_64-darwin haskellPackages.sydtest-autodocodec | |
aarch64-darwin python312Packages.ewmh | |
aarch64-darwin python312Packages.jdatetime | |
x86_64-darwin python312Packages.gql | |
aarch64-darwin temporal | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.libgsasl | |
x86_64-linux haskellPackages.opentheory-stream | |
aarch64-linux twa | |
x86_64-darwin haskellPackages.welford-online-mean-variance | |
aarch64-darwin colorpanes | |
aarch64-darwin python312Packages.icecream | |
x86_64-darwin chickenPackages_5.chickenEggs.stemmer | |
aarch64-linux python311Packages.fastapi | |
aarch64-darwin emacsPackages.easy-jekyll | |
aarch64-linux perl536Packages.ScalarType | |
x86_64-linux python311Packages.mypy-boto3-docdb-elastic | |
aarch64-linux python311Packages.click-command-tree | |
x86_64-linux python312Packages.types-aiobotocore-shield | |
x86_64-darwin emacsPackages.handle | |
aarch64-darwin emacsPackages.sql-beeline | |
x86_64-linux perl536Packages.DispatchClass | |
aarch64-linux python312Packages.flake8-docstrings | |
aarch64-darwin perl538Packages.XMLEntities | |
x86_64-linux plasma5Packages.kblackbox | |
x86_64-linux ameba | |
aarch64-linux _9ptls | |
aarch64-darwin perl538Packages.NetSMTPSSL | |
x86_64-linux gnomeExtensions.activity-app-launcher | |
x86_64-darwin emacsPackages.auto-package-update | |
aarch64-darwin emacsPackages.orgtbl-show-header | |
x86_64-darwin musikcube | |
aarch64-darwin python311Packages.hassil | |
aarch64-linux linuxKernel.packages.linux_4_19.trelay | |
aarch64-linux python312Packages.citeproc-py | |
x86_64-darwin tasksh | |
x86_64-linux haskellPackages.yesod-markdown | |
aarch64-darwin llvmPackages_11.lld | |
x86_64-linux haskellPackages.hslua | |
x86_64-linux python311Packages.mypy-boto3-cloud9 | |
x86_64-linux python312Packages.taskw | |
x86_64-darwin haskellPackages.ihaskell-aeson | |
aarch64-linux llvmPackages_17.clangNoLibcxx | |
aarch64-linux haskellPackages.months | |
x86_64-linux php82Extensions.phalcon | |
x86_64-linux sbclPackages.utilities_dot_print-tree | |
aarch64-darwin haskellPackages.validation | |
x86_64-linux python311Packages.plotnine | |
x86_64-linux gnomeExtensions.notification-timeout | |
aarch64-linux vcpkg | |
x86_64-darwin python311Packages.betterproto | |
aarch64-darwin _2048-cli-terminal | |
x86_64-linux haskellPackages.aeson-result | |
x86_64-linux home-assistant-component-tests.gpslogger | |
x86_64-darwin gnatcoll-db2ada | |
aarch64-linux linuxPackages_latest-libre.mwprocapture | |
x86_64-linux transmission_4-gtk | |
x86_64-linux centrifugo | |
x86_64-linux haskellPackages.wai-middleware-static-embedded | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.ipu6-drivers | |
x86_64-darwin dart | |
x86_64-darwin iperf3 | |
aarch64-linux perl538Packages.MockConfig | |
x86_64-darwin python311Packages.i3ipc | |
aarch64-darwin rubyPackages_3_2.pandocomatic | |
x86_64-darwin emacsPackages.repl-toggle | |
aarch64-darwin perl538Packages.IPCShareLite | |
x86_64-darwin gcc_debug | |
x86_64-linux python312Packages.nuheat | |
aarch64-linux python312Packages.types-aiobotocore-cloudformation | |
x86_64-linux haskellPackages.xss-sanitize | |
aarch64-darwin cvehound | |
x86_64-linux postgresql16Packages.timescaledb-apache | |
aarch64-linux rubyPackages_3_2.builder | |
x86_64-darwin emacsPackages.scad-preview | |
aarch64-linux alkalami | |
x86_64-darwin digitemp | |
x86_64-darwin haskellPackages.clr-marshal | |
aarch64-darwin emacsPackages.malinka | |
x86_64-darwin emacsPackages.abs-mode | |
aarch64-darwin perl538Packages.MySQLDiff | |
x86_64-linux libgnurl | |
aarch64-linux linuxKernel.packages.linux_6_6.linux-gpib | |
aarch64-darwin mpich | |
aarch64-darwin perl538Packages.PerlCriticMoose | |
x86_64-linux chickenPackages_5.chickenEggs.timed-resource | |
aarch64-darwin postgresqlJitPackages.pg_rational | |
aarch64-darwin python311Packages.types-aiobotocore-iot-roborunner | |
x86_64-darwin libmms | |
aarch64-darwin emacsPackages.flymake-gjshint | |
aarch64-darwin tt-rss-plugin-feediron | |
x86_64-linux emacsPackages.helm-frame | |
x86_64-darwin grilo-plugins | |
aarch64-linux bip | |
x86_64-darwin python312Packages.palace | |
aarch64-darwin highlight | |
aarch64-linux piping-server-rust | |
x86_64-linux mysql2pgsql | |
aarch64-darwin python312Packages.types-aiobotocore-mgn | |
x86_64-linux yamlpath | |
aarch64-linux emacsPackages.drupal-spell | |
aarch64-darwin getxbook | |
x86_64-darwin aspellDicts.id | |
aarch64-darwin perl536Packages.vidir | |
aarch64-linux fcitx5-with-addons | |
x86_64-linux python312Packages.pybotvac | |
aarch64-linux python312Packages.pymystem3 | |
x86_64-darwin python312Packages.dogtail | |
x86_64-linux python312Packages.pysvn | |
aarch64-linux xen-guest-agent | |
aarch64-darwin python311Packages.fritzconnection | |
aarch64-darwin haskellPackages.tasty-bench-fit | |
x86_64-linux linuxKernel.packages.linux_zen.mwprocapture | |
aarch64-darwin postgresql14JitPackages.tds_fdw | |
aarch64-linux linuxPackages_lqx.jool | |
aarch64-linux olsrd | |
x86_64-linux perl536Packages.DevelStackTrace | |
x86_64-linux spark2014 | |
x86_64-darwin emacsPackages.d-mode | |
aarch64-linux liblo | |
aarch64-linux perl536Packages.NetFrame | |
aarch64-darwin python312Packages.django-q | |
x86_64-darwin python312Packages.skl2onnx | |
aarch64-linux hobbits | |
aarch64-linux gruut | |
x86_64-darwin haskellPackages.cpphs | |
aarch64-linux python312Packages.bc-jsonpath-ng | |
aarch64-darwin emacsPackages.finito | |
aarch64-linux gonic | |
x86_64-darwin perl538Packages.ReadonlyXS | |
x86_64-darwin chickenPackages_5.chickenEggs.debugger-protocol | |
x86_64-linux python312Packages.mcuuid | |
aarch64-linux hledger-check-fancyassertions | |
aarch64-linux python312Packages.types-aiobotocore-controltower | |
aarch64-linux fastd | |
x86_64-linux emacsPackages.dynamic-graphs | |
x86_64-linux eprover-ho | |
x86_64-linux sbclPackages.lparallel | |
x86_64-linux flood-for-transmission | |
aarch64-darwin python311Packages.types-aiobotocore-honeycode | |
x86_64-linux linuxPackages_5_4_hardened.rtw88 | |
aarch64-darwin haskellPackages.symantic-http-server | |
aarch64-darwin python311Packages.manimpango | |
x86_64-linux webkitgtk_6_0 | |
aarch64-darwin haskellPackages.DCFL | |
aarch64-linux puppeteer-cli | |
x86_64-linux gnomeExtensions.focus-changer | |
aarch64-linux minisign | |
aarch64-linux python312Packages.numbaWithCuda | |
x86_64-darwin perl538Packages.DateTimeFormatRFC3339 | |
x86_64-linux python312Packages.motor | |
x86_64-linux haskellPackages.amazonka-gamelift | |
aarch64-linux python311Packages.types-aiobotocore-personalize-runtime | |
x86_64-linux emacsPackages.ox-review | |
x86_64-darwin python311Packages.mypy-boto3-acm | |
x86_64-linux python311Packages.repoze-who | |
aarch64-linux home-assistant-component-tests.geofency | |
x86_64-linux vimPlugins.vim-latex-live-preview | |
x86_64-linux python311Packages.mypy-boto3-elasticbeanstalk | |
aarch64-darwin python312Packages.litemapy | |
x86_64-darwin lua52Packages.toml | |
aarch64-linux python311Packages.aws-lambda-builders | |
x86_64-linux brltty | |
aarch64-darwin emacsPackages.typit | |
aarch64-linux haskellPackages.monad-abort-fd | |
x86_64-linux perl538Packages.DBDOracle | |
x86_64-linux linuxPackages_latest.dpdk-kmods | |
x86_64-linux emacsPackages.shen-elisp | |
x86_64-linux intel-one-mono | |
aarch64-linux rubyPackages_3_1.do_sqlite3 | |
aarch64-linux haskellPackages.number-show | |
x86_64-darwin python311Packages.pyamg | |
aarch64-linux python312Packages.lizard | |
aarch64-darwin pgloader | |
x86_64-darwin nixfmt | |
aarch64-linux effitask | |
aarch64-linux libsForQt5.qt5.qtwebview | |
x86_64-darwin emacsPackages.helm-books | |
x86_64-linux libstaden-read | |
aarch64-linux home-assistant-component-tests.kitchen_sink | |
x86_64-darwin emacsPackages.peek-mode | |
x86_64-linux haskellPackages.phladiprelio-ukrainian-shared | |
aarch64-linux vazir-code-font | |
aarch64-darwin python311Packages.plyfile | |
aarch64-linux rubyPackages_3_2.eventmachine | |
aarch64-darwin openldap | |
x86_64-darwin python312Packages.dnslib | |
x86_64-darwin python312Packages.pymbolic | |
aarch64-linux kubeaudit | |
x86_64-darwin mpvScripts.visualizer | |
aarch64-darwin haskellPackages.amazonka-snowball | |
x86_64-linux mmex | |
x86_64-linux python311Packages.canmatrix | |
x86_64-linux python311Packages.pyqt6-charts | |
x86_64-darwin kube-linter | |
x86_64-linux home-assistant-component-tests.venstar | |
x86_64-linux python312Packages.gcovr | |
aarch64-linux emacsPackages.x509-mode | |
aarch64-linux python311Packages.telepath | |
x86_64-linux haskellPackages.zenacy-html | |
x86_64-darwin perl536Packages.CPANMetaCheck | |
x86_64-darwin vimPlugins.adwaita-nvim | |
x86_64-linux perl536Packages.TextPasswordPronounceable | |
x86_64-linux linuxPackages-libre.tp_smapi | |
aarch64-darwin llvmPackages.clangNoCompilerRt | |
x86_64-darwin open-music-kontrollers.jit | |
aarch64-linux cargo-leptos | |
x86_64-linux emacsPackages.sidecar-locals | |
aarch64-linux perl536Packages.TestRoutine | |
x86_64-linux zed | |
x86_64-darwin perl536Packages.MooseXRoleParameterized | |
aarch64-linux python311Packages.webargs | |
x86_64-linux python312Packages.yolink-api | |
x86_64-linux gosu | |
aarch64-darwin howard-hinnant-date | |
x86_64-darwin jwhois | |
x86_64-linux haskellPackages.polymap | |
aarch64-darwin perl538Packages.FutureAsyncAwait | |
x86_64-linux google-amber | |
x86_64-darwin python311Packages.azure-mgmt-recoveryservicesbackup | |
aarch64-linux python311Packages.pygtrie | |
aarch64-linux python311Packages.requests-http-signature | |
x86_64-linux python312Packages.types-aiobotocore-vpc-lattice | |
x86_64-darwin python311Packages.txredisapi | |
aarch64-darwin python311Packages.lmdb | |
aarch64-linux jet | |
x86_64-darwin python311Packages.requests-credssp | |
aarch64-darwin jiq | |
aarch64-darwin humioctl | |
aarch64-darwin emacsPackages.pylint | |
aarch64-linux perl536Packages.TestLectroTest | |
x86_64-linux libsForQt5.kalk | |
aarch64-linux python311Packages.mypy-boto3-frauddetector | |
aarch64-darwin python312Packages.habanero | |
aarch64-darwin python312Packages.purepng | |
aarch64-darwin python312Packages.re-assert | |
x86_64-darwin kbfs | |
aarch64-darwin perl538Packages.FileCountLines | |
aarch64-darwin perl538Packages.MailMaildir | |
x86_64-darwin python312Packages.flipr-api | |
x86_64-linux emacsPackages.ada-ts-mode | |
aarch64-darwin perl538Packages.TextReform | |
aarch64-darwin python312Packages.plugincode | |
x86_64-linux tmuxPlugins.continuum | |
x86_64-linux linuxPackages_6_1_hardened.oci-seccomp-bpf-hook | |
aarch64-linux haskellPackages.GLFW-b | |
x86_64-linux marcel | |
aarch64-linux obconf | |
aarch64-linux haskellPackages.optparse-applicative | |
x86_64-linux python311Packages.mypy-boto3-qldb | |
x86_64-darwin transgui | |
x86_64-darwin perl538Packages.NetSSHPerl | |
aarch64-linux perl538Packages.TestFile | |
aarch64-darwin python311Packages.yarg | |
aarch64-linux perl538Packages.DBDMock | |
aarch64-linux python311Packages.opencensus | |
x86_64-linux linuxPackages_5_15_hardened.tp_smapi | |
x86_64-darwin whipper | |
x86_64-darwin yallback | |
aarch64-darwin emacsPackages.kapacitor | |
x86_64-darwin emacsPackages.altcaps | |
aarch64-darwin graylogPlugins.metrics | |
x86_64-linux vimPlugins.peskcolor-vim | |
x86_64-linux python312Packages.databricks-cli | |
x86_64-darwin zeroc-ice-cpp11 | |
x86_64-linux age-plugin-tpm | |
aarch64-linux pell | |
x86_64-linux linuxPackages_lqx.facetimehd | |
x86_64-linux python311Packages.mhcgnomes | |
x86_64-linux plasma5Packages.fcitx5-qt | |
aarch64-linux clang-analyzer | |
x86_64-linux rnix-lsp | |
x86_64-linux python311Packages.zeep | |
aarch64-linux emacsPackages.hsc3-mode | |
x86_64-linux perl538Packages.RegexpParser | |
aarch64-linux python312Packages.logilab-constraint | |
aarch64-darwin ninvaders | |
x86_64-linux vndr | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.can-isotp | |
x86_64-darwin emacsPackages.posix-manual | |
x86_64-darwin python311Packages.mypy-boto3-sts | |
x86_64-linux python312Packages.pygetwindow | |
aarch64-linux ipopt | |
x86_64-linux python312Packages.pytm | |
aarch64-darwin emacsPackages.term-alert | |
x86_64-darwin haskellPackages.streaming-attoparsec | |
aarch64-darwin emacsPackages.ansible | |
aarch64-linux emacsPackages.proportional | |
aarch64-darwin chickenPackages_5.chickenEggs.sundials | |
x86_64-darwin perl538Packages.TestWeaken | |
x86_64-darwin kubernetes-helmPlugins.helm-secrets | |
x86_64-darwin emacsPackages.slime-volleyball | |
x86_64-linux vimPlugins.twilight-nvim | |
aarch64-darwin emacsPackages.company-coq | |
aarch64-darwin perl536Packages.EmailSend | |
aarch64-darwin python311Packages.iammeter | |
x86_64-darwin perl538Packages.capitalization | |
aarch64-linux perl536Packages.CryptPerl | |
x86_64-linux python312Packages.funcsigs | |
x86_64-linux haskellPackages.direct-daemonize | |
aarch64-darwin aapt | |
aarch64-linux perl538Packages.HashDiff | |
x86_64-linux python312Packages.pytest-textual-snapshot | |
x86_64-linux rubyPackages_3_3.matrix | |
aarch64-darwin python311Packages.s3-credentials | |
x86_64-linux haskellPackages.row-types | |
x86_64-darwin python311Packages.nbconflux | |
x86_64-linux perl536Packages.NetMPD | |
aarch64-linux emacsPackages.ampc | |
x86_64-linux python312Packages.django-rest-registration | |
aarch64-linux vimPlugins.yats-vim | |
aarch64-darwin python312Packages.srt | |
aarch64-linux mumble | |
aarch64-darwin python311Packages.sphinx-prompt | |
aarch64-linux vimPlugins.lazy-lsp-nvim | |
x86_64-darwin xorg.libXaw | |
x86_64-linux python311Packages.py-libzfs | |
aarch64-linux minecraftServers.vanilla-1-13 | |
aarch64-linux nodejs_18 | |
x86_64-darwin emacsPackages.math-symbol-lists | |
aarch64-darwin python312Packages.dash-html-components | |
x86_64-darwin haskellPackages.cursor-fuzzy-time-gen | |
aarch64-linux i3-balance-workspace | |
aarch64-darwin vimPlugins.jellybeans-nvim | |
aarch64-darwin python312Packages.grpcio-testing | |
aarch64-linux vimPlugins.vim-repeat | |
x86_64-darwin haskellPackages.reroute | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.rtl8812au | |
x86_64-darwin python311Packages.quart-cors | |
x86_64-darwin perl536Packages.DistZillaPluginTestUnusedVars | |
x86_64-darwin python311Packages.sgmllib3k | |
aarch64-linux darcs-to-git | |
aarch64-darwin python312Packages.mat2 | |
aarch64-linux perl536Packages.LocaleMOFile | |
aarch64-darwin lua54Packages.luabitop | |
aarch64-darwin python311Packages.layoutparser | |
aarch64-linux litterbox | |
aarch64-linux rubyPackages_3_2.cocoapods-testing | |
aarch64-darwin haskellPackages.yaml-light | |
aarch64-linux emacs28-gtk3 | |
x86_64-darwin aapt | |
x86_64-darwin haskellPackages.beam-postgres | |
x86_64-linux vimPlugins.coc-metals | |
aarch64-linux haskellPackages.debian | |
x86_64-linux hunspellDicts.es-pr | |
x86_64-darwin perl536Packages.DataURIEncode | |
x86_64-darwin haskellPackages.finite-semigroups | |
x86_64-linux napari | |
x86_64-darwin perl538Packages.TypeTiny | |
x86_64-linux lemmeknow | |
x86_64-linux rubyPackages_3_3.jekyll-relative-links | |
x86_64-darwin lldb_9 | |
aarch64-linux a52dec | |
x86_64-linux linuxPackages_5_15_hardened.broadcom_sta | |
aarch64-linux python312Packages.pytun | |
x86_64-linux m17n_db | |
x86_64-linux yo | |
x86_64-darwin vimPlugins.nvim-cokeline | |
aarch64-linux haskellPackages.opentheory-parser | |
aarch64-linux vimPlugins.vim-abolish | |
x86_64-linux smokeping | |
aarch64-darwin python311Packages.lzstring | |
aarch64-linux capnproto | |
x86_64-linux vimPlugins.mason-tool-installer-nvim | |
x86_64-darwin python312Packages.hvac | |
aarch64-darwin python311Packages.shiv | |
x86_64-linux python311Packages.wazeroutecalculator | |
aarch64-linux python311Packages.pysml | |
x86_64-linux signaldctl | |
x86_64-linux python312Packages.pontos | |
x86_64-linux chruby | |
aarch64-darwin emacsPackages.git-walktree | |
x86_64-linux haskellPackages.monadtransform | |
x86_64-darwin unciv | |
aarch64-darwin zip | |
x86_64-darwin rofi-menugen | |
x86_64-linux python312Packages.keystoneauth1 | |
aarch64-linux faust2sc | |
x86_64-linux libsForQt5.kspaceduel | |
aarch64-darwin nix-prefetch-hg | |
aarch64-linux python311Packages.pims | |
x86_64-darwin python311Packages.python-cinderclient | |
x86_64-linux gotests | |
aarch64-darwin pangomm_2_48 | |
x86_64-linux python311Packages.rki-covid-parser | |
x86_64-linux haskellPackages.timeit | |
x86_64-darwin emacsPackages.stem | |
aarch64-darwin rubyPackages_3_1.rails-html-sanitizer | |
x86_64-darwin perl536Packages.Graph | |
x86_64-linux perl536Packages.TextNSP | |
aarch64-darwin python312Packages.youtokentome | |
x86_64-darwin rubyPackages_3_3.cocoapods-fix-react-native | |
aarch64-darwin emacsPackages.pacfiles-mode | |
aarch64-linux pragha | |
x86_64-linux php82Extensions.shmop | |
aarch64-darwin libcxxrt | |
x86_64-darwin ssh-to-pgp | |
aarch64-darwin emacsPackages.fennel-mode | |
aarch64-darwin haskellPackages.ghc-call-stack-extras | |
x86_64-linux rubyPackages_3_1.xctasks | |
aarch64-linux rubyPackages_3_2.jbuilder | |
aarch64-darwin haskellPackages.pipes-zlib | |
x86_64-linux python312Packages.whitenoise | |
aarch64-darwin python312Packages.pynobo | |
aarch64-linux linuxPackages_5_15_hardened.hid-tmff2 | |
x86_64-darwin python312Packages.itsdangerous | |
x86_64-darwin python311Packages.minidump | |
x86_64-darwin emacsPackages.notmuch | |
aarch64-linux python312Packages.pycrdt | |
aarch64-linux xorg.lndir | |
x86_64-linux python311Packages.miniful | |
x86_64-linux haskellPackages.discrete-space-map | |
x86_64-linux haskellPackages.gi-cogl | |
aarch64-linux kube-capacity | |
aarch64-linux home-assistant-component-tests.todoist | |
x86_64-linux pulumiPackages.pulumi-command | |
x86_64-linux snapdragon-profiler | |
aarch64-linux python311Packages.mqtt2influxdb | |
x86_64-darwin python312Packages.dicom-numpy | |
aarch64-linux python312Packages.bizkaibus | |
aarch64-darwin srtrelay | |
aarch64-linux python312Packages.recurring-ical-events | |
aarch64-darwin perl538Packages.BusinessISMN | |
aarch64-linux emacsPackages.unidecode | |
aarch64-linux python311Packages.aioeagle | |
aarch64-darwin python312Packages.django-graphiql-debug-toolbar | |
aarch64-linux fgallery | |
x86_64-darwin haskellPackages.htags | |
aarch64-linux modsecurity_standalone | |
x86_64-linux tests.cc-wrapper.supported | |
aarch64-linux home-assistant-component-tests.netatmo | |
aarch64-darwin perl536Packages.Switch | |
aarch64-linux emacsPackages.url-http-ntlm | |
aarch64-linux epr | |
x86_64-linux vscode-extensions.richie5um2.snake-trail | |
x86_64-linux freepv | |
aarch64-darwin vimPlugins.nvim-rename-state | |
aarch64-darwin perl536Packages.DataMessagePack | |
aarch64-darwin perl538Packages.XMLLibXSLT | |
x86_64-linux elmPackages.elm-live | |
aarch64-linux open-watcom-v2 | |
aarch64-linux nest-cli | |
aarch64-linux ifdtool | |
aarch64-linux libretro.genesis-plus-gx | |
x86_64-linux haskellPackages.c0check | |
x86_64-darwin python311Packages.pytest-relaxed | |
x86_64-darwin python312Packages.inifile | |
x86_64-darwin python312Packages.types-aiobotocore-imagebuilder | |
x86_64-darwin postgresql16JitPackages.lantern | |
x86_64-linux loadwatch | |
aarch64-linux ib-controller | |
aarch64-darwin emacsPackages.highlight-indentation | |
aarch64-darwin perl538Packages.ham | |
x86_64-linux emacsPackages.org-tag-beautify | |
aarch64-linux python312Packages.gcsfs | |
aarch64-darwin haskellPackages.test-framework-smallcheck | |
aarch64-linux perl536Packages.FileSlurp | |
aarch64-linux poetryPlugins.poetry-plugin-up | |
aarch64-linux haskellPackages.seocheck | |
x86_64-linux pam_tmpdir | |
aarch64-linux kernelshark | |
x86_64-linux gnome.gnome-bluetooth | |
x86_64-darwin python312Packages.in-n-out | |
aarch64-darwin python312Packages.strawberry-graphql | |
x86_64-darwin revive | |
x86_64-darwin pest | |
aarch64-linux tagainijisho | |
x86_64-darwin libmusicbrainz3 | |
aarch64-darwin openjfx17 | |
aarch64-darwin python311Packages.localstack | |
x86_64-linux qt6Packages.full | |
x86_64-linux rubyPackages.prettier | |
aarch64-darwin python311Packages.securetar | |
aarch64-darwin emacsPackages.jedi-core | |
x86_64-darwin emacsPackages.mono-complete | |
aarch64-linux perl536Packages.Filechmod | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.rbs | |
aarch64-darwin vimPlugins.ncm2-tmux | |
aarch64-darwin vimPlugins.i3config-vim | |
aarch64-linux haskellPackages.numeric-domains | |
x86_64-linux emacsPackages.helm-cider-history | |
x86_64-linux perl536Packages.XMLValidatorSchema | |
x86_64-linux tmuxPlugins.sidebar | |
x86_64-linux curseofwar | |
x86_64-linux python312Packages.unicorn | |
aarch64-darwin terraform-providers.nutanix | |
x86_64-linux vscode-extensions.ms-ceintl.vscode-language-pack-zh-hans | |
x86_64-darwin python311Packages.djangorestframework | |
x86_64-darwin tftui | |
aarch64-darwin emacsPackages.snow | |
aarch64-linux citra-canary | |
x86_64-linux haskellPackages.ec2-signature | |
x86_64-darwin python311Packages.wasmer-compiler-singlepass | |
aarch64-darwin legit-web | |
aarch64-linux python312Packages.backports-entry-points-selectable | |
aarch64-darwin haskellPackages.ppm | |
aarch64-darwin python311Packages.pyskyqhub | |
aarch64-darwin qt6.qtwebview | |
x86_64-darwin python311Packages.pmsensor | |
aarch64-linux emacsPackages.gitolite-clone | |
x86_64-linux libucontext | |
aarch64-linux haskellPackages.fast-tags | |
x86_64-linux perl536Packages.HTMLTemplate | |
x86_64-linux lxappearance | |
x86_64-linux perl538Packages.MooseXAttributeHelpers | |
aarch64-linux qrcodegencpp | |
aarch64-darwin python311Packages.authres | |
aarch64-darwin vimPlugins.todo-comments-nvim | |
aarch64-darwin hackneyed | |
x86_64-linux python311Packages.django-crispy-forms | |
aarch64-darwin emacsPackages.fyure | |
aarch64-linux clarity-city | |
aarch64-linux haskellPackages.dependent-sum-aeson-orphans | |
x86_64-darwin python311Packages.textacy | |
x86_64-linux postgresql16JitPackages.pg_similarity | |
aarch64-darwin python312Packages.utils | |
aarch64-darwin python311Packages.pysmb | |
x86_64-darwin passExtensions.pass-tomb | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.ncursesw | |
x86_64-darwin go-bindata | |
aarch64-linux python311Packages.praw | |
x86_64-linux emacsPackages.helm-bbdb | |
x86_64-linux python312Packages.bcdoc | |
aarch64-linux jx | |
aarch64-linux python2Full | |
x86_64-linux haskellPackages.ghc-debug-common | |
aarch64-darwin python312Packages.casbin | |
x86_64-linux gnuplot_aquaterm | |
x86_64-linux emacsPackages.isearch-symbol-at-point | |
aarch64-linux python312Packages.types-aiobotocore-ebs | |
x86_64-linux haskellPackages.mpg123-bindings | |
x86_64-linux python311Packages.jupyter-lsp | |
x86_64-linux python311Packages.types-aiobotocore-arc-zonal-shift | |
aarch64-darwin astc-encoder | |
aarch64-darwin perl536Packages.CommandRunner | |
aarch64-darwin perl538Packages.CGIExpand | |
x86_64-linux lxqt.lxqt-themes | |
x86_64-darwin postgresql13Packages.timescaledb | |
x86_64-linux haskellPackages.io-classes | |
x86_64-linux ix | |
x86_64-linux emacsPackages.ido-flex-with-migemo | |
aarch64-darwin python312Packages.orderedmultidict | |
aarch64-linux xorg.xkbcomp | |
aarch64-darwin em | |
x86_64-linux python311Packages.unidic | |
aarch64-darwin gnome.eog | |
x86_64-linux vimPlugins.neotest-go | |
x86_64-linux linuxKernel.packages.linux_zen.tmon | |
x86_64-darwin macdylibbundler | |
aarch64-linux python311Packages.mypy-boto3-migration-hub-refactor-spaces | |
aarch64-linux emacsPackages.terraform-doc | |
aarch64-darwin postgresql13Packages.postgis | |
x86_64-darwin python311Packages.palettable | |
x86_64-linux theLoungePlugins.themes.amoled-sourcecodepro | |
aarch64-linux python312Packages.indexed-zstd | |
x86_64-linux tree-sitter-grammars.tree-sitter-embedded-template | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.julia | |
x86_64-linux pgcopydb | |
aarch64-linux python311Packages.lzallright | |
x86_64-linux python311Packages.strictyaml | |
aarch64-darwin python312Packages.docopt-ng | |
aarch64-darwin cloudfox | |
x86_64-linux haskellPackages.ghc-prof | |
x86_64-linux vimPlugins.nvim-cmp | |
x86_64-linux mfc465cnlpr | |
aarch64-darwin perl538Packages.HTMLFormatTextWithLinks | |
aarch64-linux protoc-gen-prost-serde | |
x86_64-darwin haskellPackages.any-pat | |
x86_64-linux python311Packages.slovnet | |
x86_64-linux python311Packages.cwlformat | |
x86_64-darwin tar2ext4 | |
x86_64-linux di | |
aarch64-linux python312Packages.django-compressor | |
x86_64-linux emacsPackages.chinese-word-at-point | |
aarch64-linux lua51Packages.lua-yajl | |
x86_64-linux python311Packages.calysto-scheme | |
x86_64-darwin emacsPackages.masm-mode | |
x86_64-linux python311Packages.pulumi-random | |
x86_64-linux python312Packages.twitchapi | |
x86_64-linux uriparser | |
x86_64-linux linuxPackages_5_4_hardened.ixgbevf | |
x86_64-darwin tests.testers.hasPkgConfigModules.zlib-has-zlib | |
x86_64-darwin llvmPackages_14.openmp | |
x86_64-darwin perl536Packages.IPCountry | |
aarch64-darwin python311Packages.aiocron | |
aarch64-linux python311Packages.pycapnp | |
x86_64-darwin haskellPackages.theatre | |
aarch64-linux emacsPackages.cljstyle-format | |
x86_64-linux lxqt.qterminal | |
x86_64-darwin python311Packages.bentoml | |
x86_64-linux nbstripout | |
aarch64-darwin python312Packages.types-aiobotocore-appconfig | |
aarch64-linux linuxPackages_5_10_hardened.nct6687d | |
aarch64-darwin wmutils-core | |
aarch64-darwin emacsPackages.org-cua-dwim | |
aarch64-linux python311Packages.mypy-boto3-elb | |
x86_64-darwin python312Packages.volatile | |
x86_64-darwin qt5.qtgamepad | |
aarch64-linux ntpd-rs | |
aarch64-darwin python312Packages.ring-doorbell | |
aarch64-linux python311Packages.installer | |
x86_64-darwin python311Packages.pyglm | |
x86_64-linux lc3tools | |
x86_64-linux emacsPackages.youtube-dl | |
x86_64-linux pantheon.switchboard-plug-security-privacy | |
aarch64-darwin vimPlugins.ctrlp-cmatcher | |
aarch64-darwin haskellPackages.crypton-x509 | |
aarch64-linux emacsPackages.marmalade-client | |
x86_64-darwin python312Packages.google-cloud-bigquery-datatransfer | |
x86_64-darwin mop | |
x86_64-linux gnomeExtensions.swatch-clock | |
aarch64-darwin emacsPackages.nash-mode | |
aarch64-darwin haskellPackages.symengine-hs | |
x86_64-linux haskellPackages.HSmarty | |
aarch64-linux molly-brown | |
x86_64-darwin turbogit | |
aarch64-darwin perl538Packages.IOSocketINET6 | |
aarch64-linux python311Packages.asyncclick | |
x86_64-linux sbclPackages.cl-markup | |
x86_64-linux python311Packages.iptools | |
aarch64-linux emacsPackages.timu-spacegrey-theme | |
x86_64-linux python311Packages.panel | |
x86_64-linux python312Packages.multi-key-dict | |
x86_64-darwin haskellPackages.inflections | |
x86_64-darwin perl538Packages.CryptX | |
aarch64-darwin python312Packages.aioruckus | |
aarch64-linux cgit-pink | |
aarch64-linux twine | |
x86_64-darwin emacsPackages.bart-mode | |
x86_64-darwin python312Packages.mkdocs-exclude | |
aarch64-darwin rubyPackages_3_2.redis-rack | |
aarch64-darwin python311Packages.psutil-home-assistant | |
x86_64-linux electron | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.vm-tools | |
x86_64-darwin emacsPackages.kooten-theme | |
x86_64-darwin python311Packages.pyrect | |
aarch64-linux emacsPackages.firrtl-mode | |
x86_64-darwin plasma5Packages.packagekit-qt | |
aarch64-darwin python311Packages.azure-identity | |
aarch64-linux libsForQt5.kio | |
x86_64-darwin emacsPackages.jq-format | |
aarch64-linux perl538Packages.SubStrictDecl | |
x86_64-darwin haskellPackages.ixset-typed-binary-instance | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.mlir | |
aarch64-darwin perl538Packages.UUIDTiny | |
aarch64-linux haskellPackages.happstack-server | |
x86_64-linux SP800-90B_EntropyAssessment | |
x86_64-linux emacsPackages.volume | |
aarch64-linux linuxKernel.packages.linux_5_15.hid-ite8291r3 | |
x86_64-linux apk-tools | |
aarch64-linux hunspellDicts.fa_IR | |
aarch64-linux python312Packages.nipreps-versions | |
x86_64-linux emacsPackages.closure-lint-mode | |
aarch64-linux linuxPackages_6_6_hardened.gasket | |
aarch64-darwin python312Packages.netapp-lib | |
x86_64-linux python312Packages.ptpython | |
aarch64-linux emacsPackages.helm-atoms | |
x86_64-darwin rubyPackages_3_2.jekyll-titles-from-headings | |
aarch64-linux linuxPackages_latest.v4l2loopback | |
x86_64-linux linuxPackages_xanmod_latest.ndiswrapper | |
aarch64-linux haskellPackages.bloodhound | |
aarch64-linux txt2man | |
x86_64-darwin ntopng | |
aarch64-linux nqp | |
x86_64-linux emacsPackages.bonjourmadame | |
x86_64-darwin python311Packages.pyqt5-sip | |
x86_64-darwin python311Packages.pyasn1-modules | |
x86_64-darwin kokkos | |
x86_64-linux kodiPackages.libretro | |
aarch64-linux grobi | |
aarch64-darwin perl538Packages.BioBigFile | |
x86_64-linux rubyPackages.scrypt | |
x86_64-darwin memo | |
x86_64-darwin haskell.compiler.ghc902 | |
x86_64-darwin haskellPackages.since | |
x86_64-linux python311Packages.mpl-scatter-density | |
x86_64-darwin rubyPackages_3_1.mab | |
x86_64-linux python312Packages.mypy-boto3-iot1click-devices | |
x86_64-linux linuxKernel.packages.linux_hardened.rtw88 | |
aarch64-linux python312Packages.winsspi | |
x86_64-linux haskellPackages.flow2dot | |
aarch64-darwin haskellPackages.amazonka-signer | |
x86_64-linux meshoptimizer | |
aarch64-linux libretro.twenty-fortyeight | |
aarch64-darwin dpkg | |
x86_64-linux terminal-parrot | |
aarch64-linux haskellPackages.url-slug | |
aarch64-darwin rubyPackages_3_1.llhttp-ffi | |
aarch64-darwin python311Packages.certomancer | |
x86_64-linux luaPackages.luadbi-sqlite3 | |
aarch64-linux emacsPackages.ob-applescript | |
aarch64-darwin python311Packages.mypy-boto3-sqs | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.zfs | |
aarch64-linux python312Packages.python-status | |
aarch64-darwin vimPlugins.wilder-nvim | |
x86_64-darwin dht | |
aarch64-linux python311Packages.astroid | |
aarch64-darwin emacsPackages.idle-require | |
x86_64-linux python312Packages.types-s3transfer | |
aarch64-linux cosmic-protocols | |
x86_64-linux haskellPackages.mattermost-api-qc | |
x86_64-darwin python311Packages.mockupdb | |
x86_64-darwin vimPlugins.startup-nvim | |
x86_64-linux emacsPackages.isortify | |
aarch64-linux python312Packages.types-aiobotocore-clouddirectory | |
aarch64-linux iredis | |
x86_64-darwin haskellPackages.generic-deepseq | |
x86_64-linux git-doc | |
aarch64-linux colloid-gtk-theme | |
x86_64-linux emacsPackages.latex-pretty-symbols | |
aarch64-darwin perl536Packages.CatalystAuthenticationStoreHtpasswd | |
aarch64-darwin python311Packages.roman | |
x86_64-darwin hey | |
aarch64-linux home-assistant-component-tests.recorder | |
aarch64-darwin perl536Packages.TextSoundex | |
x86_64-linux python311Packages.types-aiobotocore-apigateway | |
aarch64-darwin python311Packages.youtube-transcript-api | |
aarch64-darwin terraform-providers.thunder | |
aarch64-linux python311Packages.aws-encryption-sdk | |
x86_64-linux perl536Packages.JSONXS | |
x86_64-linux python312Packages.wikipedia | |
x86_64-darwin postgresql12Packages.tsearch_extras | |
x86_64-linux vimPlugins.onedarkpro-nvim | |
x86_64-linux clpm | |
aarch64-linux rubyPackages_3_3.elftools | |
x86_64-darwin opencpn | |
x86_64-darwin yaml-merge | |
aarch64-darwin python311Packages.quandl | |
x86_64-linux python311Packages.asyncssh | |
aarch64-linux caffe | |
aarch64-darwin postgresql16JitPackages.pgjwt | |
aarch64-linux emacsPackages.key-assist | |
x86_64-linux xylib | |
aarch64-linux haskellPackages.dynamic-loader | |
x86_64-linux emacsPackages.persp-projectile | |
x86_64-darwin python312Packages.types-aiobotocore-fms | |
x86_64-darwin nix-query-tree-viewer | |
aarch64-darwin haskellPackages.hsemail-ns | |
x86_64-linux python312Packages.chai | |
aarch64-darwin vimPlugins.litee-nvim | |
aarch64-linux hdt | |
x86_64-darwin emacsPackages.preview-dvisvgm | |
aarch64-linux simplehttp2server | |
x86_64-linux sbclPackages.cl-smtp | |
aarch64-darwin python311Packages.lxml-stubs | |
x86_64-darwin s3cmd | |
aarch64-darwin libsForQt5.qt5.qt3d | |
aarch64-linux apacheKafka_3_3 | |
aarch64-linux python311Packages.h2 | |
x86_64-linux xorg.fontmicromisc | |
x86_64-linux python311Packages.ignite | |
aarch64-darwin haskellPackages.mfsolve | |
aarch64-darwin python311Packages.stumpy | |
x86_64-linux python312Packages.wikipedia-api | |
x86_64-darwin perl538Packages.MathInt64 | |
aarch64-linux haskellPackages.slotmap | |
x86_64-linux haskellPackages.non-empty-text | |
x86_64-darwin postgresql12JitPackages.apache_datasketches | |
aarch64-darwin haskellPackages.io-classes-mtl | |
aarch64-linux perl536Packages.NumberWithError | |
x86_64-darwin python311Packages.mypy-boto3-datasync | |
x86_64-darwin python312Packages.check-manifest | |
x86_64-linux postgresql14JitPackages.promscale_extension | |
aarch64-linux emacsPackages.polybar-sesman | |
aarch64-linux haskellPackages.isocline | |
aarch64-linux emacsPackages.srht | |
aarch64-linux python311Packages.autobahn | |
aarch64-linux python311Packages.asteroid-filterbanks | |
aarch64-linux runiq | |
x86_64-darwin haskellPackages.amazonka-cloudwatch-logs | |
x86_64-linux linuxPackages_latest.gcadapter-oc-kmod | |
aarch64-linux python311Packages.mailchimp | |
aarch64-darwin haskellPackages.quack | |
x86_64-linux haskellPackages.unamb | |
x86_64-linux python311Packages.shutilwhich | |
aarch64-darwin python312Packages.etils | |
x86_64-darwin python311Packages.cepa | |
aarch64-linux iosevka-comfy.comfy-wide-duo | |
aarch64-darwin rubyPackages_3_2.public_suffix | |
aarch64-darwin haskellPackages.wai-make-assets | |
aarch64-darwin darwin.developer_cmds | |
aarch64-darwin karmor | |
x86_64-linux haskellPackages.TTTAS | |
x86_64-linux go-outline | |
x86_64-darwin python312Packages.pyarrow-hotfix | |
aarch64-linux emacsPackages.programmer-dvorak | |
aarch64-linux postgresqlJitPackages.periods | |
x86_64-darwin perl536Packages.TestWarn | |
aarch64-darwin emacsPackages.eterm-256color | |
x86_64-darwin emacsPackages.unicode-progress-reporter | |
aarch64-linux osdlyrics | |
aarch64-darwin prometheus-snmp-exporter | |
aarch64-darwin perl538Packages.HTTPMultiPartParser | |
aarch64-linux linuxKernel.packages.linux_4_19.rtl88xxau-aircrack | |
x86_64-darwin pcb2gcode | |
x86_64-linux desync | |
x86_64-linux python312Packages.rtslib | |
aarch64-darwin haskellPackages.cve | |
x86_64-darwin gretl | |
aarch64-linux emacsPackages.noir-ts-mode | |
aarch64-linux postgresqlJitPackages.tsja | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.re2c | |
aarch64-linux yuzuPackages.qtwebview | |
aarch64-linux emacsPackages.github-theme | |
aarch64-linux hashrat | |
aarch64-linux python311Packages.pytest-lazy-fixture | |
x86_64-linux vimPlugins.nvim-docs-view | |
x86_64-darwin goresym | |
aarch64-linux python311Packages.mashumaro | |
x86_64-darwin perl538Packages.MySQLDiff | |
x86_64-linux libdmtx | |
aarch64-darwin pdb2pqr | |
aarch64-darwin python312Packages.aio-geojson-client | |
aarch64-linux haskellPackages.cab | |
aarch64-linux emacsPackages.mac-pseudo-daemon | |
aarch64-linux mate.mate-common | |
aarch64-linux emacsPackages.smart-backspace | |
x86_64-linux btcd | |
aarch64-darwin coldsnap | |
x86_64-darwin feedgnuplot | |
aarch64-linux pritunl-ssh | |
aarch64-darwin syncrclone | |
aarch64-linux haskellPackages.split-tchan | |
aarch64-darwin emacsPackages.voicemacs | |
aarch64-darwin python311Packages.asyauth | |
x86_64-darwin haskellPackages.groupoids | |
aarch64-darwin lhapdf | |
aarch64-linux python312Packages.pyftpdlib | |
aarch64-linux haskellPackages.ghc-heap-view | |
x86_64-linux easyloggingpp | |
aarch64-darwin perl536Packages.BioBigFile | |
aarch64-linux apacheHttpdPackages_2_4.mod_csr | |
x86_64-linux python311Packages.keystoneauth1 | |
aarch64-linux rubyPackages.http-form_data | |
aarch64-linux python312Packages.tmb | |
x86_64-darwin llvmPackages_15.compiler-rt-no-libc | |
aarch64-darwin rubyPackages_3_3.ansi | |
x86_64-linux haskellPackages.HSH | |
aarch64-linux libinput | |
aarch64-linux bullet | |
aarch64-darwin haskellPackages.boolexpr | |
x86_64-linux libspf2 | |
aarch64-linux python312Packages.wifi | |
x86_64-linux clap | |
aarch64-darwin python311Packages.mypy-boto3-pricing | |
x86_64-linux libdynd | |
aarch64-linux atomic-swap | |
x86_64-linux findex | |
x86_64-darwin haskellPackages.dhall | |
x86_64-linux perl536Packages.LocaleMaketextLexiconGetcontext | |
x86_64-linux python311Packages.threadloop | |
aarch64-linux linuxKernel.packages.linux_6_7.pktgen | |
aarch64-darwin haskellPackages.heckin | |
x86_64-linux python312Packages.geoip2 | |
x86_64-linux haskellPackages.context-free-grammar | |
aarch64-linux saxonb_8_8 | |
x86_64-linux perl538Packages.FileWhich | |
x86_64-linux linuxKernel.packages.linux_latest_libre.netatop | |
x86_64-linux super-tiny-icons | |
x86_64-linux haskellPackages.hercules-ci-agent | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.libpcap | |
x86_64-darwin coqPackages.Cheerios | |
aarch64-darwin gnome2.GConf | |
x86_64-linux perl536Packages.CatalystViewCSV | |
x86_64-darwin python312Packages.mitogen | |
x86_64-darwin emacsPackages.mark-yank | |
x86_64-darwin python312Packages.iso3166 | |
x86_64-darwin haskellPackages.haskoin-store-data | |
aarch64-linux emacsPackages.gnome-c-style | |
x86_64-darwin wakapi | |
aarch64-darwin python311Packages.reconplogger | |
aarch64-darwin python312Packages.txzmq | |
x86_64-darwin perl536Packages.CatalystRuntime | |
aarch64-darwin yuzuPackages.qtvirtualkeyboard | |
x86_64-darwin python311Packages.imread | |
x86_64-linux home-assistant-component-tests.syncthing | |
aarch64-darwin python311Packages.knack | |
x86_64-darwin emacsPackages.dkmisc | |
aarch64-linux bashcards | |
aarch64-linux libnl | |
aarch64-darwin python312Packages.rstr | |
aarch64-linux xar | |
x86_64-darwin emacsPackages.substitute | |
aarch64-linux emacsPackages.corfu | |
x86_64-darwin haskellPackages.blaze-markup | |
aarch64-linux mailutils | |
aarch64-linux python312Packages.types-aiobotocore-snowball | |
aarch64-darwin haskellPackages.hasql | |
aarch64-darwin python311Packages.aioesphomeapi | |
aarch64-linux python311Packages.transmission-rpc | |
aarch64-linux egglog | |
aarch64-linux rubyPackages_3_3.jmespath | |
aarch64-darwin python311Packages.promise | |
x86_64-darwin emacsPackages.gitpatch | |
x86_64-linux aspellDicts.de-alt | |
aarch64-linux python311Packages.adblock | |
aarch64-linux tests.cc-wrapper.llvmTests.llvmPackages_15.libcxx | |
x86_64-darwin python312Packages.intbitset | |
x86_64-darwin ares-rs | |
x86_64-darwin perl536Packages.TestBase | |
x86_64-linux vimPlugins.boo-colorscheme-nvim | |
aarch64-darwin typer | |
x86_64-linux cloud-nuke | |
x86_64-linux haskellPackages.camh | |
x86_64-darwin emacsPackages.org-special-block-extras | |
aarch64-linux python312Packages.confight | |
x86_64-linux kakounePlugins.rep | |
aarch64-darwin python311Packages.bangla | |
aarch64-linux espeak-classic | |
aarch64-darwin electron_15 | |
aarch64-linux python311Packages.zipstream-ng | |
aarch64-linux elmPackages.elm-language-server | |
x86_64-darwin vimPlugins.telekasten-nvim | |
x86_64-darwin arphic-uming | |
aarch64-darwin perl536Packages.NetPing | |
x86_64-linux cinnamon.mint-themes | |
x86_64-linux cherry | |
aarch64-darwin haskellPackages.ki-effectful | |
x86_64-linux haskellPackages.network-byte-order | |
aarch64-darwin python312Packages.nessclient | |
aarch64-linux vimPlugins.fold-preview-nvim | |
aarch64-darwin emacsPackages.quelpa-leaf | |
x86_64-linux python311Packages.nbformat | |
x86_64-darwin python312Packages.pyclimacell | |
x86_64-darwin gickup | |
aarch64-darwin python311Packages.pysrt | |
aarch64-darwin perl536Packages.NetAMQP | |
aarch64-linux python312Packages.feedparser | |
x86_64-linux optifinePackages.optifine_1_15_2 | |
aarch64-darwin perl536Packages.ExceptionWarning | |
x86_64-linux llvmPackages_13.libcxxabi | |
aarch64-linux perl538Packages.ExtUtilsDepends | |
x86_64-linux fishPlugins.tide | |
aarch64-darwin haskellPackages.shift | |
aarch64-linux rounded-mgenplus | |
aarch64-darwin airscan | |
aarch64-linux refurb | |
aarch64-darwin zwave-js-server | |
x86_64-linux perl536Packages.IOInteractive | |
x86_64-linux emacsPackages.fixmee | |
aarch64-darwin vimPlugins.vim-puppet | |
x86_64-linux perl538Packages.LocaleMaketextLexicon | |
x86_64-linux linuxKernel.packages.linux_6_1.opensnitch-ebpf | |
x86_64-darwin python311Packages.mypy-boto3-iot | |
aarch64-linux rubyPackages_3_3.unicode-name | |
aarch64-linux fcitx5-lua | |
aarch64-linux galaxy-buds-client | |
x86_64-darwin python311Packages.mypy-boto3-apigatewaymanagementapi | |
x86_64-linux linuxKernel.packages.linux_hardened.mbp2018-bridge-drv | |
aarch64-darwin lua51Packages.digestif | |
x86_64-linux python312Packages.defusedxml | |
x86_64-linux emacsPackages.cricbuzz | |
x86_64-darwin python312Packages.pkg-about | |
aarch64-darwin perl538Packages.AppConfig | |
x86_64-linux clairvoyant | |
x86_64-linux haskellPackages.effectful | |
x86_64-linux atinout | |
aarch64-linux haskellPackages.servant-xml | |
x86_64-darwin haskellPackages.valor | |
x86_64-linux linuxPackages_latest.rtl8188eus-aircrack | |
aarch64-darwin hunspellDicts.eu_ES | |
aarch64-darwin python312Packages.tftpy | |
x86_64-linux emacsPackages.yesterbox | |
aarch64-linux aravis | |
aarch64-darwin emacsPackages.helm-ag | |
x86_64-darwin onboard | |
x86_64-linux perl536Packages.SubExporterGlobExporter | |
x86_64-linux kakounePlugins.smarttab-kak | |
x86_64-darwin python311Packages.littleutils | |
aarch64-linux yuzuPackages.qtgraphs | |
aarch64-linux haskellPackages.set-extra | |
x86_64-linux linuxKernel.packages.linux_6_7.can-isotp | |
x86_64-linux emacsPackages.magic-latex-buffer | |
aarch64-linux ffcast | |
x86_64-darwin rubyPackages_3_2.puma | |
x86_64-linux dropbear | |
x86_64-linux chickenPackages_5.chickenEggs.srfi-127 | |
x86_64-darwin python311Packages.remote-pdb | |
x86_64-darwin sl1-to-photon | |
x86_64-linux zip | |
x86_64-linux onionshare-gui | |
aarch64-darwin haskellPackages.storablevector | |
aarch64-darwin emacsPackages.simplecov | |
aarch64-linux linuxKernel.packages.linux_xanmod.fanout | |
aarch64-linux goat | |
x86_64-darwin python312Packages.bitlist | |
x86_64-darwin perl536Packages.NetAmazonS3Policy | |
aarch64-linux python312Packages.manifestoo-core | |
aarch64-darwin godef | |
aarch64-darwin python311Packages.pecan | |
x86_64-linux chickenPackages_5.chickenEggs.sequences | |
x86_64-linux linuxKernel.packages.linux_4_19.zfs | |
aarch64-darwin emacsPackages.org-bullets | |
x86_64-linux rubyPackages_3_3.sexp_processor | |
aarch64-linux linuxPackages_xanmod_stable.mxu11x0 | |
aarch64-darwin python311Packages.threat9-test-bed | |
aarch64-linux haskellPackages.minisat | |
aarch64-linux perl538Packages.vidir | |
aarch64-darwin perl538Packages.CryptRC4 | |
x86_64-darwin python311Packages.pyfttt | |
aarch64-linux python311Packages.pymicrobot | |
x86_64-linux python312Packages.pyre-extensions | |
aarch64-linux emacsPackages.copyit-pandoc | |
x86_64-linux python311Packages.flask-reverse-proxy-fix | |
aarch64-linux emacsPackages.topsy | |
aarch64-linux haskellPackages.StatisticalMethods | |
aarch64-linux vimPlugins.vim-addon-errorformats | |
x86_64-darwin python311Packages.finitude | |
aarch64-darwin emacsPackages.latex-table-wizard | |
aarch64-linux acd-cli | |
aarch64-darwin haskellPackages.these-optics | |
aarch64-darwin emacsPackages.helm-w3m | |
aarch64-darwin emacsPackages.kodi-remote | |
x86_64-darwin clutter | |
aarch64-darwin python311Packages.google-cloud-iam | |
aarch64-linux python311Packages.python-yate | |
aarch64-darwin dos2unix | |
aarch64-linux emacsPackages.skerrick | |
aarch64-darwin perl536Packages.FFIPlatypusTypePtrObject | |
x86_64-linux ejs | |
x86_64-darwin python312Packages.zope-proxy | |
x86_64-darwin python312Packages.tensorboardx | |
aarch64-darwin ubridge | |
x86_64-linux python311Packages.types-aiobotocore-gamelift | |
aarch64-linux haskellPackages.active | |
aarch64-linux knockpy | |
aarch64-darwin package-project-cmake | |
x86_64-darwin emacsPackages.flycheck-bashate | |
x86_64-linux ldacbt | |
x86_64-darwin perl536Packages.WWWMechanize | |
x86_64-linux python311Packages.semaphore-bot | |
x86_64-linux amd-blis | |
aarch64-linux emacsPackages.eterm-256color | |
x86_64-darwin haskellPackages.numeric-extras | |
aarch64-linux python311Packages.dbus-next | |
aarch64-linux python311Packages.theanoWithoutCuda | |
aarch64-darwin perl536Packages.LinguaStemRu | |
x86_64-darwin python311Packages.py-multicodec | |
aarch64-darwin python312Packages.jupyter-contrib-core | |
x86_64-darwin python312Packages.pyskyqhub | |
x86_64-linux python312Packages.roombapy | |
aarch64-linux haskellPackages.serversession-frontend-yesod | |
x86_64-darwin zsteg | |
x86_64-linux unqlite | |
x86_64-linux llvmPackages_14.clangNoCompilerRt | |
aarch64-darwin python312Packages.sigrok | |
x86_64-linux lua53Packages.luadbi-mysql | |
x86_64-darwin haskellPackages.hspec-golden | |
aarch64-linux python311Packages.rpyc4 | |
aarch64-linux chickenPackages_5.chickenEggs.utf8 | |
aarch64-linux alerta | |
aarch64-darwin emacsPackages.helm-org-ql | |
x86_64-linux yeswiki | |
aarch64-linux emacsPackages.hoa-mode | |
aarch64-darwin haskellPackages.clock | |
aarch64-darwin duc | |
aarch64-linux python312Packages.pyuca | |
x86_64-darwin haskellPackages.archive-libarchive | |
aarch64-darwin haskellPackages.persistent-refs | |
x86_64-linux silver-searcher | |
aarch64-linux openssh_hpn | |
aarch64-linux home-assistant-component-tests.spc | |
aarch64-darwin tryton | |
x86_64-darwin haskellPackages.amazonka-redshift-data | |
x86_64-linux haskellPackages.shelly-extra | |
aarch64-linux sysctl | |
x86_64-darwin python312Packages.pyserial-asyncio-fast | |
aarch64-linux xmlstarlet | |
aarch64-darwin python312Packages.django-filter | |
x86_64-darwin haskellPackages.solana-staking-csvs | |
x86_64-linux haskellPackages.plow-log | |
x86_64-linux libprom | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.lenovo-legion-module | |
x86_64-darwin python311Packages.jupyter-book | |
aarch64-linux lua53Packages.compat53 | |
aarch64-linux linuxPackages_6_6_hardened.tmon | |
x86_64-linux haskellPackages.gtk3 | |
x86_64-linux fsuae-launcher | |
aarch64-linux haskellPackages.amazonka-lambda | |
x86_64-darwin emacsPackages.mb-url | |
aarch64-linux surfraw | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.mwprocapture | |
x86_64-darwin warp-terminal | |
aarch64-linux ksuperkey | |
aarch64-darwin haskellPackages.arbor-datadog | |
aarch64-linux haskellPackages.ciphersaber2 | |
aarch64-linux emacsPackages.highlight-thing | |
aarch64-darwin gvm-tools | |
x86_64-darwin python312Packages.moto | |
aarch64-linux libopcodes_2_38 | |
x86_64-linux perl536Packages.RESTUtils | |
x86_64-darwin python311Packages.jenkins-job-builder | |
aarch64-linux python312Packages.argparse-dataclass | |
x86_64-darwin hassil | |
aarch64-darwin haskellPackages.IOSpec | |
aarch64-linux emacsPackages.move-text | |
x86_64-darwin perl536Packages.FileDirList | |
aarch64-linux perl536Packages.ClassClassgenclassgen | |
x86_64-linux python312Packages.pyrsistent | |
aarch64-linux jpegexiforient | |
x86_64-darwin python312Packages.json-tricks | |
x86_64-linux python312Packages.zwave-me-ws | |
x86_64-linux python312Packages.langsmith | |
aarch64-linux perl538Packages.TestLectroTest | |
aarch64-linux perl536Packages.SysSyslog | |
x86_64-darwin static-server | |
aarch64-darwin hebbot | |
x86_64-linux python312Packages.x-wr-timezone | |
x86_64-darwin python311Packages.psycopg2 | |
x86_64-linux perl538Packages.TestNoTabs | |
x86_64-linux linuxKernel.packages.linux_4_19.nct6687d | |
x86_64-darwin python311Packages.geoalchemy2 | |
x86_64-linux vimPlugins.vim-stylishask | |
aarch64-darwin gnumake42 | |
x86_64-darwin python311Packages.types-aiobotocore-ssm-contacts | |
aarch64-darwin emacsPackages.repeatable-motion | |
x86_64-linux scheme-manpages | |
x86_64-linux haskellPackages.apioiaf-client | |
aarch64-linux python312Packages.nine | |
aarch64-darwin python312Packages.pychromecast | |
aarch64-linux qt6Packages.qtstyleplugin-kvantum | |
x86_64-linux rubyPackages_3_1.syntax_tree | |
aarch64-darwin pipenv | |
x86_64-linux jdom | |
x86_64-linux haskellPackages.pontarius-xmpp | |
x86_64-linux haredo | |
aarch64-darwin postgresql14JitPackages.pg_bigm | |
x86_64-darwin haskellPackages.setops | |
aarch64-darwin pulsarctl | |
x86_64-linux libid3tag | |
x86_64-linux haskellPackages.sqlite-easy | |
x86_64-linux emacsPackages.flycheck-clj-kondo | |
x86_64-darwin vimPlugins.snap | |
aarch64-darwin python311Packages.hiredis | |
x86_64-linux emacsPackages.poke | |
x86_64-darwin plasma5Packages.qt5.qtscript | |
x86_64-linux vimPlugins.julia-vim | |
x86_64-linux screenfetch | |
x86_64-darwin luajitPackages.cjson | |
x86_64-linux paco | |
x86_64-linux haskellPackages.commandert | |
x86_64-darwin python312Packages.mypy-boto3-globalaccelerator | |
x86_64-linux python312Packages.pytest-vcr | |
x86_64-linux vimPlugins.nvim-dap | |
aarch64-darwin cargo-binutils | |
aarch64-linux present-cli | |
x86_64-darwin haskellPackages.blockfrost-client-core | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.xcompose | |
x86_64-darwin emacsPackages.beef-mode | |
x86_64-linux rubyPackages_3_2.cocoapods-open | |
x86_64-linux python311Packages.pydsdl | |
aarch64-linux haskellPackages.haskellish | |
aarch64-linux python312Packages.cryptg | |
x86_64-linux python312Packages.scancode-toolkit | |
aarch64-linux logtop | |
x86_64-darwin litecoind | |
x86_64-linux haskellPackages.hasbolt | |
x86_64-darwin libtransmission_4 | |
x86_64-linux python311Packages.dyn | |
x86_64-linux python311Packages.types-aiobotocore-wafv2 | |
aarch64-darwin haskellPackages.groupoid | |
x86_64-darwin haskellPackages.iproute | |
aarch64-linux python311Packages.streamlit | |
aarch64-darwin emacsPackages.evil-snipe | |
x86_64-linux castnow | |
x86_64-linux python312Packages.mypy-boto3-honeycode | |
aarch64-linux python312Packages.sunweg | |
x86_64-linux qt6Packages.qtquick3d | |
aarch64-darwin haskellPackages.coya | |
aarch64-linux python311Packages.rivet | |
x86_64-linux m4rie | |
x86_64-darwin python311Packages.expecttest | |
x86_64-linux haskellPackages.time-domain | |
x86_64-linux python312Packages.mxnet | |
aarch64-linux ufiformat | |
x86_64-darwin haskellPackages.gtk-largeTreeStore | |
aarch64-linux postgresql16JitPackages.pg_squeeze | |
x86_64-linux linuxPackages_lqx.perf | |
aarch64-darwin python311Packages.osqp | |
x86_64-linux linuxPackages_4_19_hardened.broadcom_sta | |
x86_64-linux python312Packages.piper-phonemize | |
aarch64-linux linuxKernel.packages.linux_5_10.mwprocapture | |
x86_64-linux python312Packages.spotipy | |
aarch64-darwin python312Packages.mypy-boto3-networkmanager | |
x86_64-darwin emacsPackages.spaceline | |
aarch64-linux libf2c | |
x86_64-linux manta | |
x86_64-linux emacsPackages.magit-delta | |
x86_64-linux perl536Packages.UUIDTiny | |
aarch64-darwin python311Packages.owslib | |
x86_64-darwin vimPlugins.vim-move | |
x86_64-darwin tmux | |
x86_64-darwin llvmPackages_13.libstdcxxClang | |
x86_64-darwin python311Packages.py-air-control-exporter | |
aarch64-darwin haskellPackages.wuss | |
aarch64-linux haskellPackages.th-reify-many | |
aarch64-linux cucumber | |
x86_64-linux python312Packages.rasterio | |
x86_64-linux s6-man-pages | |
aarch64-linux emacsPackages.xkcd | |
aarch64-linux haskellPackages.pretty-sop | |
x86_64-darwin morsel | |
aarch64-darwin rubyPackages_3_2.listen | |
x86_64-darwin haskellPackages.tinyfiledialogs | |
x86_64-linux perl538Packages.GeoIP2 | |
aarch64-darwin rubyPackages_3_2.ast | |
x86_64-darwin haskell.compiler.native-bignum.ghc945 | |
aarch64-linux linuxKernel.packages.linux_6_5_hardened.stdenv | |
x86_64-darwin python312Packages.cantools | |
x86_64-darwin haskellPackages.htalkat | |
aarch64-darwin python312Packages.aliyun-python-sdk-dbfs | |
x86_64-darwin python312Packages.annotated-types | |
x86_64-linux python311Packages.ical | |
aarch64-darwin haskellPackages.monad-classes-logging | |
aarch64-darwin cargo-update | |
aarch64-darwin prometheus-pihole-exporter | |
x86_64-linux python312Packages.in-n-out | |
x86_64-darwin python311Packages.azure-core | |
aarch64-linux haskellPackages.mergeful | |
aarch64-linux python311Packages.requests-kerberos | |
x86_64-darwin haskellPackages.read-env-var | |
aarch64-linux haskellPackages.core-text | |
aarch64-linux python312Packages.types-psutil | |
x86_64-darwin datadog-process-agent | |
aarch64-darwin haskellPackages.codeworld-api | |
aarch64-darwin python311Packages.holidays | |
x86_64-linux python311Packages.pyscreenshot | |
aarch64-linux xcape | |
x86_64-linux python312Packages.flask-wtf | |
aarch64-darwin python312Packages.liccheck | |
aarch64-darwin perl538Packages.MLDBM | |
aarch64-linux haskellPackages.amazonka-lookoutmetrics | |
x86_64-darwin vimPlugins.vim-orgmode | |
x86_64-darwin haskellPackages.di | |
aarch64-darwin haskellPackages.http-client-multipart | |
aarch64-linux lua52Packages.lush-nvim | |
aarch64-linux pgcenter | |
x86_64-linux netsurf.buildsystem | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.ncurses | |
aarch64-darwin nu_scripts | |
x86_64-darwin python312Packages.pytest-plt | |
x86_64-darwin python311Packages.intake | |
x86_64-darwin haskellPackages.amazonka-backupstorage | |
x86_64-linux perl536Packages.CGIMinimal | |
aarch64-linux python312Packages.robotstatuschecker | |
x86_64-linux herbe | |
aarch64-darwin haskellPackages.partial-isomorphisms | |
x86_64-linux python311Packages.redbaron | |
x86_64-linux rott-shareware | |
aarch64-linux haskellPackages.dlist | |
x86_64-linux tntnet | |
x86_64-darwin llvmPackages_11.llvm-manpages | |
x86_64-linux bind | |
x86_64-linux haskellPackages.opusfile | |
aarch64-darwin cz-cli | |
x86_64-linux libsForQt5.krdc | |
aarch64-linux python312Packages.kiwiki-client | |
x86_64-darwin emacsPackages.helm-chrome | |
aarch64-linux python311Packages.mypy-boto3-glue | |
x86_64-linux haskellPackages.hmatrix | |
aarch64-darwin rubyPackages_3_3.ruby-lxc | |
x86_64-linux home-assistant-component-tests.plex | |
x86_64-linux fontforge-gtk | |
aarch64-linux haskellPackages.partial-order | |
x86_64-darwin perl536Packages.PodMarkdown | |
aarch64-linux netcdffortran | |
aarch64-darwin python311Packages.comm | |
aarch64-darwin sl1-to-photon | |
aarch64-linux samsung-unified-linux-driver_1_00_36 | |
x86_64-linux python311Packages.torrent-parser | |
x86_64-darwin clang-tools_11 | |
aarch64-linux python311Packages.wakeonlan | |
x86_64-linux python312Packages.bap | |
x86_64-linux leafnode1 | |
x86_64-linux cacert | |
aarch64-linux rubyPackages_3_1.treetop | |
x86_64-darwin thonny | |
x86_64-linux python312Packages.rtmidi-python | |
x86_64-linux haskellPackages.di-polysemy | |
aarch64-linux python311Packages.google-cloud-testutils | |
x86_64-linux python311Packages.azure-servicemanagement-legacy | |
aarch64-darwin terraform-providers.cloudflare | |
aarch64-linux python311Packages.python-openems | |
x86_64-linux emacsPackages.sql-clickhouse | |
aarch64-linux font-awesome | |
aarch64-linux python312Packages.area | |
x86_64-linux gnomeExtensions.bowser-gnome-extension | |
x86_64-darwin python312Packages.pip-requirements-parser | |
aarch64-linux probe-run | |
aarch64-darwin python312Packages.qcs-api-client | |
aarch64-darwin python311Packages.evaluate | |
x86_64-darwin emacsPackages.rainbow-identifiers | |
aarch64-darwin rubyPackages_3_2.optimist | |
x86_64-linux glade | |
x86_64-darwin perl536Packages.LongJump | |
x86_64-linux python311Packages.unittest-data-provider | |
aarch64-darwin emacsPackages.puni | |
x86_64-darwin python311Packages.py17track | |
aarch64-linux python312Packages.google-cloud-os-config | |
x86_64-darwin python312Packages.m2r | |
aarch64-darwin python312Packages.pytradfri | |
aarch64-linux python312Packages.eth-keys | |
x86_64-darwin haskellPackages.yesod-auth-oauth2 | |
aarch64-darwin mythes | |
x86_64-linux python311Packages.doit-py | |
aarch64-darwin vimPlugins.gen_tags-vim | |
aarch64-linux emacsPackages.ffmpeg-utils | |
aarch64-darwin python311Packages.types-freezegun | |
x86_64-darwin pleroma | |
x86_64-darwin elmerfem | |
aarch64-darwin python311Packages.trio | |
x86_64-darwin python312Packages.types-aiobotocore-ec2-instance-connect | |
aarch64-linux linuxPackages_5_10_hardened.rtl8192eu | |
aarch64-darwin uni2ascii | |
x86_64-linux python311Packages.treelib | |
aarch64-darwin zpaqfranz | |
x86_64-darwin haskellPackages.lzma | |
x86_64-darwin sispmctl | |
x86_64-linux gnome-desktop-testing | |
aarch64-linux leela | |
x86_64-linux lighthouse-steamvr | |
x86_64-linux python311Packages.django-bootstrap5 | |
aarch64-linux haskellPackages.api-tools | |
aarch64-linux orz | |
aarch64-darwin posteid-seed-extractor | |
x86_64-darwin emacsPackages.flower | |
x86_64-linux python311Packages.types-aiobotocore-marketplacecommerceanalytics | |
aarch64-linux ammonite_2_13 | |
x86_64-linux haskellPackages.pa-run-command | |
x86_64-darwin emacsPackages.evil-goggles | |
x86_64-darwin rustc | |
aarch64-linux emacsPackages.ansi | |
x86_64-darwin python311Packages.mypy-boto3-mediapackage | |
aarch64-linux haskellPackages.scenegraph | |
aarch64-linux curie | |
aarch64-linux chickenPackages_5.chickenEggs.toml | |
x86_64-linux linuxKernel.packages.linux_6_7.kvdo | |
x86_64-darwin emacsPackages.warm-night-theme | |
aarch64-linux python311Packages.morphys | |
aarch64-linux python311Packages.asyncsleepiq | |
aarch64-darwin emacsPackages.gnus-recent | |
aarch64-darwin python311Packages.persim | |
x86_64-linux python312Packages.vapoursynth | |
x86_64-linux python312Packages.ismartgate | |
x86_64-linux python312Packages.mypy-boto3-dms | |
aarch64-darwin mongodb-4_4 | |
x86_64-linux gnomeExtensions.shamsi-calendar | |
aarch64-darwin python311Packages.poetry-semver | |
aarch64-linux haskellPackages.yesod-middleware-csp | |
aarch64-darwin perl536Packages.FileMap | |
aarch64-darwin perl538Packages.DataHierarchy | |
x86_64-linux emacsPackages.hayoo | |
aarch64-linux netdata-go-plugins | |
x86_64-darwin erlang_26 | |
aarch64-darwin emacsPackages.impatient-mode | |
x86_64-linux python312Packages.mypy-boto3-pipes | |
aarch64-darwin emacsPackages.nsis-mode | |
x86_64-linux i3-ratiosplit | |
x86_64-darwin python311Packages.vt-py | |
aarch64-darwin luaPackages.luaepnf | |
aarch64-linux haskellPackages.httpd-shed | |
x86_64-linux lomiri.lomiri-action-api | |
aarch64-linux perl538Packages.DataUUID | |
aarch64-darwin rubyPackages_3_1.net-smtp | |
aarch64-darwin mise | |
x86_64-darwin tea | |
aarch64-linux haskellPackages.bzip-signature | |
aarch64-linux vimPlugins.scope-nvim | |
aarch64-darwin emacsPackages.tree-sitter-ispell | |
aarch64-linux haskellPackages.hedgehog-quickcheck | |
x86_64-linux dablin | |
aarch64-darwin python312Packages.pep440 | |
aarch64-darwin emacsPackages.xquery-tool | |
x86_64-linux linuxPackages_6_1_hardened.lttng-modules | |
aarch64-linux rubyPackages_3_1.cocoapods-acknowledgements | |
aarch64-linux cosmic-settings-daemon | |
x86_64-linux tests.cc-wrapper.llvmTests.llvmPackages_14.clang | |
aarch64-linux s2n-tls | |
aarch64-linux python312Packages.pyecoforest | |
x86_64-darwin python312Packages.virt-firmware | |
x86_64-linux sks | |
x86_64-darwin python312Packages.pynws | |
aarch64-linux python311Packages.args | |
x86_64-darwin emacsPackages.cypher-mode | |
aarch64-darwin python311Packages.inflect | |
aarch64-linux hunspellDicts.sv-se | |
x86_64-linux perl536Packages.ConfigOnion | |
x86_64-linux python311Packages.pylint-flask | |
aarch64-linux emacsPackages.evil-replace-with-register | |
x86_64-linux haskellPackages.comfort-array-shape | |
x86_64-darwin nuXmv | |
aarch64-darwin haskellPackages.multistate | |
x86_64-darwin python311Packages.azure-mgmt-reservations | |
aarch64-darwin pcsclite | |
aarch64-darwin python311Packages.mayim | |
x86_64-darwin rita | |
aarch64-darwin texliveConTeXt | |
aarch64-darwin libmpg123 | |
aarch64-darwin varnish74 | |
aarch64-darwin python311Packages.sphinx-autobuild | |
x86_64-darwin perl538Packages.Redis | |
x86_64-linux gnomeExtensions.only-window-maximize | |
x86_64-darwin python311Packages.g2pkk | |
aarch64-linux haskellPackages.ms-tds | |
x86_64-linux nootka | |
aarch64-linux haskellPackages.data-compat | |
aarch64-darwin uhdMinimal | |
x86_64-linux hydra-check | |
x86_64-linux perl538Packages.ScalarString | |
aarch64-linux oauth2l | |
aarch64-linux python312Packages.mypy-boto3-polly | |
x86_64-linux perl536Packages.TclpTk | |
aarch64-linux polkit_gnome | |
aarch64-linux sniffnet | |
x86_64-linux python311Packages.types-aiobotocore-redshift-data | |
aarch64-darwin haskellPackages.ghc-prof-flamegraph | |
x86_64-linux haskellPackages.json2-types | |
x86_64-darwin python311Packages.werkzeug | |
aarch64-darwin plasma5Packages.qtpositioning | |
aarch64-darwin haskellPackages.text-short | |
aarch64-darwin python312Packages.pytest-subtesthack | |
x86_64-darwin emacsPackages.etd | |
x86_64-darwin libsForQt5.qtscxml | |
x86_64-linux python312Packages.jieba | |
aarch64-linux routinator | |
x86_64-darwin python311Packages.types-aiobotocore-lex-runtime | |
x86_64-linux python311Packages.gsd | |
x86_64-darwin sass | |
aarch64-darwin python312Packages.sphinx-tabs | |
aarch64-linux functionalplus | |
aarch64-darwin haskellPackages.hw-conduit-merges | |
aarch64-linux grilo-plugins | |
aarch64-linux gnugo | |
aarch64-linux vimPlugins.cmp-git | |
aarch64-darwin uptime-kuma | |
x86_64-linux yubikey-manager | |
aarch64-linux rubyPackages_3_1.pathutil | |
aarch64-linux vimPlugins.todo-comments-nvim | |
x86_64-linux rubyPackages.json | |
x86_64-linux chelf | |
x86_64-linux jackmeter | |
x86_64-linux anki-sync-server | |
aarch64-darwin emacsPackages.pastelmac-theme | |
aarch64-darwin yubikey-personalization | |
aarch64-darwin emacsPackages.ox-linuxmag-fr | |
x86_64-linux python312Packages.magic-wormhole-mailbox-server | |
x86_64-linux linuxPackages_6_7_hardened.rtl88xxau-aircrack | |
x86_64-darwin haskellPackages.SWMMoutGetMB | |
aarch64-linux idrisPackages.patricia | |
aarch64-darwin haskellPackages.TypeNat | |
x86_64-linux linuxKernel.packages.linux_6_6.kernel | |
aarch64-linux aspellDicts.sv | |
x86_64-darwin perl538Packages.TextMicroTemplate | |
x86_64-linux haskellPackages.sarif | |
aarch64-darwin python312Packages.mypy-boto3-autoscaling | |
aarch64-linux python312Packages.css-html-js-minify | |
aarch64-linux xorg.xf86videomga | |
x86_64-linux haskellPackages.decimal-literals | |
x86_64-darwin llvmPackages_15.llvm-manpages | |
aarch64-darwin lndinit | |
x86_64-darwin cyrus-sasl-xoauth2 | |
x86_64-linux dbus-sharp-2_0 | |
x86_64-darwin gemget | |
x86_64-linux python311Packages.mechanicalsoup | |
aarch64-linux subsurface | |
x86_64-darwin electron_10-bin | |
aarch64-darwin perl536Packages.XMLSimple | |
aarch64-darwin python311Packages.python-keycloak | |
x86_64-linux python311Packages.openllm-core | |
aarch64-linux linuxPackages_zen.mbp2018-bridge-drv | |
x86_64-linux chickenPackages_5.chickenEggs.scmfmt | |
aarch64-darwin python311Packages.python-lsp-ruff | |
x86_64-linux haskellPackages.CPL | |
x86_64-linux haskellPackages.download-curl | |
x86_64-linux python311Packages.pythonix | |
x86_64-darwin certdump | |
x86_64-darwin emacsPackages.su | |
aarch64-linux home-assistant-component-tests.blue_current | |
x86_64-linux emacsPackages.ediprolog | |
x86_64-darwin python311Packages.airly | |
x86_64-darwin cargo-pgx_0_7_1 | |
aarch64-darwin dnspeep | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.stdenv | |
aarch64-darwin haskellPackages.postgresql-simple-url | |
aarch64-linux quake3pointrelease | |
aarch64-darwin haskellPackages.amazonka-workmail | |
x86_64-darwin emacsPackages.eloud | |
aarch64-linux emacsPackages.exwm | |
aarch64-linux colmena | |
x86_64-linux emacsPackages.org-bookmarks-extractor | |
aarch64-darwin qt6Packages.qtconnectivity | |
x86_64-darwin python312Packages.python-oauth2 | |
aarch64-linux rgbds | |
x86_64-darwin write-good | |
aarch64-linux home-assistant-component-tests.lyric | |
x86_64-darwin python312Packages.spectral-cube | |
x86_64-linux perl538Packages.FileNFSLock | |
aarch64-linux linuxPackages_5_10_hardened.linux-gpib | |
x86_64-linux python311Packages.cvxpy | |
aarch64-darwin haskellPackages.fluffy | |
x86_64-darwin rubyPackages_3_2.ruby-graphviz | |
x86_64-linux python311Packages.pixelmatch | |
aarch64-darwin haskellPackages.arrow-extras | |
x86_64-linux linuxPackages-libre.mstflint_access | |
aarch64-linux perl538Packages.GooCanvas | |
x86_64-darwin python311Packages.termgraph | |
aarch64-darwin haskellPackages.tasty-quickcheck | |
x86_64-linux python311Packages.python-ctags3 | |
x86_64-darwin vimPlugins.antonys-macro-repeater | |
aarch64-linux llvm_14 | |
x86_64-linux javaPackages.openjfx20 | |
aarch64-darwin libmysqlclient_3_3 | |
aarch64-linux python312Packages.hiredis | |
x86_64-darwin emacsPackages.moonscript | |
x86_64-darwin sfeed | |
x86_64-linux haskellPackages.subtitles | |
aarch64-linux chickenPackages_5.chickenEggs.uri-match | |
aarch64-darwin haskellPackages.ksystools | |
x86_64-darwin chickenPackages_5.chickenEggs.dust | |
aarch64-darwin python311Packages.httptools | |
x86_64-linux python311Packages.snowflake-sqlalchemy | |
x86_64-linux crystal | |
x86_64-linux linuxKernel.packages.linux_6_5_hardened.zfs | |
x86_64-linux python311Packages.z3c-checkversions | |
aarch64-linux hugo | |
aarch64-darwin haskellPackages.aeson-helper | |
aarch64-linux haskellPackages.amazonka-apprunner | |
x86_64-linux expressvpn | |
aarch64-linux vkeybd | |
x86_64-darwin luaPackages.luaepnf | |
x86_64-darwin mftrace | |
aarch64-darwin emacsPackages.tron-legacy-theme | |
aarch64-linux emacsPackages.flycheck-aspell | |
aarch64-linux jhead | |
x86_64-linux haskellPackages.rungekutta2 | |
x86_64-linux rubyPackages.jekyll-commonmark-ghpages | |
aarch64-darwin python312Packages.pycrypto | |
aarch64-linux haskellPackages.amazonka-workmailmessageflow | |
aarch64-linux rubyPackages_3_1.http-cookie | |
x86_64-linux ibus-engines.cangjie | |
x86_64-linux postgresql13Packages.pgaudit | |
aarch64-darwin newsboat | |
x86_64-linux emacsPackages.flexoki-themes | |
x86_64-linux libsForQt5.kdiagram | |
aarch64-linux go-bindata-assetfs | |
x86_64-darwin emacsPackages.emacsc | |
x86_64-darwin emacsPackages.pyconf | |
aarch64-linux asm-lsp | |
aarch64-darwin haskellPackages.brick | |
aarch64-darwin chickenPackages_5.chickenEggs.json-abnf | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-27 | |
x86_64-darwin nix-prefetch-scripts | |
aarch64-darwin libgit2_1_5 | |
aarch64-linux chickenPackages_5.chickenEggs.geo-utils | |
aarch64-darwin perl538Packages.HTMLTidy | |
x86_64-darwin xorg.xf86inputlibinput | |
x86_64-linux haskellPackages.gdiff | |
x86_64-linux linuxPackages_hardened.framework-laptop-kmod | |
x86_64-darwin emacsPackages.govet | |
x86_64-linux matrix-alertmanager | |
x86_64-linux python311Packages.commandlines | |
aarch64-darwin python312Packages.flake8-length | |
aarch64-darwin python312Packages.oslotest | |
x86_64-linux libsForQt5.qmlbox2d | |
x86_64-linux linuxPackages_hardened.broadcom_sta | |
x86_64-linux coqPackages.math-classes | |
aarch64-darwin listmonk | |
aarch64-linux linuxPackages_6_5_hardened.lttng-modules | |
aarch64-linux home-assistant-component-tests.ambiclimate | |
aarch64-linux python312Packages.bibtexparser | |
aarch64-darwin libgnome-games-support_2_0 | |
aarch64-darwin llvmPackages_12.libcxxClang | |
x86_64-linux qt5.wrapQtAppsHook | |
aarch64-linux python311Packages.snapcast | |
x86_64-linux python312Packages.pytest-repeat | |
x86_64-darwin emacsPackages.highlight-unique-symbol | |
x86_64-darwin python311Packages.django-stubs-ext | |
aarch64-linux emacsPackages.meghanada | |
aarch64-darwin python311Packages.jupyter-highlight-selected-word | |
x86_64-linux perl536Packages.TestWarnings | |
aarch64-darwin python311Packages.stripe | |
x86_64-darwin terraform-providers.sentry | |
aarch64-darwin haskellPackages.hCM | |
x86_64-darwin haskellPackages.snap-cors | |
aarch64-darwin python311Packages.opentelemetry-test-utils | |
x86_64-darwin python311Packages.pysaj | |
x86_64-darwin qoi | |
aarch64-darwin ceedling | |
aarch64-darwin haskellPackages.genvalidity-hspec-cereal | |
aarch64-darwin docbook_sgml_dtd_41 | |
x86_64-linux python311Packages.circuit-webhook | |
x86_64-darwin python312Packages.deemix | |
x86_64-linux linuxPackages_6_5_hardened.system76-acpi | |
x86_64-linux tests.hardeningFlags-clang.pieExplicitEnabled | |
x86_64-linux python311Packages.etebase-server | |
x86_64-darwin vimPlugins.crates-nvim | |
aarch64-darwin itstool | |
x86_64-linux itch | |
x86_64-darwin python311Packages.jinja2-git | |
x86_64-darwin vimPlugins.vim-addon-completion | |
aarch64-darwin python312Packages.pyfzf | |
x86_64-linux haskellPackages.polyparse | |
x86_64-darwin emacsPackages.vulpea | |
x86_64-darwin electron_18-bin | |
x86_64-darwin xarchiver | |
x86_64-linux emacsPackages.terraform-mode | |
aarch64-linux wafw00f | |
aarch64-darwin SDL_Pango | |
aarch64-darwin postgresql16Packages.pg_hint_plan | |
aarch64-darwin haskellPackages.uniform-cmdLineArgs | |
x86_64-linux rubyPackages_3_3.opus-ruby | |
aarch64-darwin critcmp | |
x86_64-linux python312Packages.uptime-kuma-api | |
x86_64-darwin python311Packages.nest | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.libbrotlidec | |
x86_64-darwin haskellPackages.amazonka-codestar | |
aarch64-darwin nodepy-runtime | |
aarch64-linux perl536Packages.LWPUserAgentCached | |
x86_64-linux python312Packages.ciso8601 | |
x86_64-linux linuxKernel.packages.linux_zen.tp_smapi | |
aarch64-darwin emacsPackages.toc-org | |
aarch64-linux unixbench | |
x86_64-darwin faust2jack | |
x86_64-linux python311Packages.rank-bm25 | |
aarch64-darwin emacsPackages.wren-mode | |
aarch64-linux linuxPackages_6_1_hardened.ax99100 | |
x86_64-darwin haskellPackages.either | |
aarch64-darwin python311Packages.geocachingapi | |
x86_64-darwin python311Packages.mypy-boto3-shield | |
aarch64-darwin plasma5Packages.kpeople | |
aarch64-linux replay-sorcery | |
x86_64-linux haskellPackages.HTF | |
aarch64-linux gpgme | |
aarch64-linux haskellPackages.pipeclip | |
aarch64-linux haskellPackages.persistent-pagination | |
x86_64-darwin haskellPackages.timeless | |
x86_64-linux chickenPackages_5.chickenEggs.srfi-105 | |
x86_64-darwin pngout | |
aarch64-linux emacsPackages.pov-mode | |
x86_64-darwin liquid-dsp | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.libbrotlienc | |
aarch64-linux python311Packages.mypy-boto3-migrationhubstrategy | |
aarch64-darwin emacsPackages.protobuf-mode | |
aarch64-linux haskellPackages.net-mqtt | |
aarch64-darwin haskellPackages.wcwidth | |
aarch64-darwin perl536Packages.LogDispatchFileRotate | |
aarch64-darwin python311Packages.deebot-client | |
aarch64-darwin python311Packages.mypy-boto3-kafkaconnect | |
x86_64-linux haskellPackages.elf | |
x86_64-linux haskellPackages.std | |
aarch64-darwin python312Packages.gumath | |
x86_64-linux cyan | |
aarch64-linux linuxKernel.packages.linux_6_7_hardened.mwprocapture | |
aarch64-darwin lua53Packages.luaposix | |
x86_64-darwin haskellPackages.json-to-haskell | |
aarch64-darwin modsecurity_standalone | |
aarch64-darwin perl536Packages.MooXTypesMooseLikeNumeric | |
aarch64-linux perl538Packages.SoftwareLicense | |
aarch64-linux python311Packages.wasmer-compiler-llvm | |
x86_64-darwin haskellPackages.timeit | |
x86_64-linux charybdis | |
aarch64-darwin haskellPackages.monad-hash | |
aarch64-darwin oauth2c | |
aarch64-linux paml | |
x86_64-darwin tabula | |
aarch64-darwin matlab-language-server | |
x86_64-darwin python311Packages.deebot-client | |
x86_64-darwin emacsPackages.vscode-icon | |
aarch64-darwin python311Packages.libgpiod | |
x86_64-linux plasma5Packages.ki18n | |
x86_64-linux libsForQt5.konqueror | |
aarch64-darwin python312Packages.adax | |
aarch64-linux python311Packages.awkward-cpp | |
aarch64-darwin python311Packages.itemdb | |
aarch64-darwin haskellPackages.servant-xstatic | |
x86_64-linux haskellPackages.digamma | |
aarch64-linux libxml2 | |
x86_64-linux haskellPackages.richenv | |
x86_64-linux bloom | |
aarch64-darwin entt | |
aarch64-linux ares | |
x86_64-darwin acme-client | |
x86_64-linux postgresql16JitPackages.pg_relusage | |
x86_64-darwin python312Packages.pymysensors | |
aarch64-linux emacsPackages.ivy-omni-org | |
x86_64-darwin rubyPackages_3_3.tilt | |
x86_64-darwin ansible-language-server | |
aarch64-linux htmx-lsp | |
aarch64-darwin postgresqlJitPackages.lantern | |
x86_64-linux emacsPackages.aurora-config-mode | |
aarch64-linux python311Packages.oauth | |
x86_64-linux python312Packages.odfpy | |
aarch64-linux mypy | |
aarch64-linux rubyPackages_3_1.listen | |
x86_64-linux haskellPackages.persistent-typed-db | |
x86_64-darwin vimPlugins.nvim-ale-diagnostic | |
x86_64-darwin haskellPackages.zxcvbn-hs | |
x86_64-linux gnomeExtensions.ziionext | |
x86_64-linux lwc | |
aarch64-linux dejsonlz4 | |
x86_64-linux acme-sh | |
x86_64-linux python311Packages.pycosat | |
x86_64-linux rubyPackages_3_2.pathutil | |
aarch64-linux tests.hardeningFlags-clang.fortify3ExplicitDisabledDoesntDisableFortify | |
aarch64-linux webrtc-audio-processing_0_3 | |
x86_64-linux haskellPackages.falsify | |
x86_64-linux labctl | |
aarch64-darwin emacsPackages.discover-js2-refactor | |
x86_64-linux python311Packages.tinyobjloader-py | |
aarch64-linux emacsPackages.conllu-mode | |
x86_64-darwin haskellPackages.flac-picture | |
aarch64-darwin libyaml | |
x86_64-linux python312Packages.pyvirtualdisplay | |
aarch64-linux perl538Packages.Razor2ClientAgent | |
aarch64-linux python311Packages.pypillowfight | |
aarch64-darwin haskellPackages.opentelemetry-wai | |
x86_64-darwin python312Packages.amcrest | |
x86_64-darwin perl538Packages.StringInterpolateNamed | |
x86_64-darwin python312Packages.types-aiobotocore-firehose | |
aarch64-linux emacsPackages.grails | |
aarch64-linux emacsPackages.creds | |
aarch64-linux linuxPackages_5_15_hardened.rtl88x2bu | |
aarch64-linux symengine | |
x86_64-linux python312Packages.tabview | |
aarch64-linux vimPlugins.increment-activator | |
aarch64-darwin python312Packages.py3nvml | |
x86_64-linux bsnes-hd | |
aarch64-linux atlauncher | |
x86_64-linux python312Packages.tensorboard-plugin-wit | |
x86_64-linux linuxKernel.packages.linux_5_4.wireguard | |
aarch64-darwin python312Packages.kivy-garden | |
aarch64-linux linuxKernel.packages.linux_lqx.decklink | |
aarch64-darwin python311Packages.click-aliases | |
aarch64-linux php83 | |
x86_64-linux minecraftServers.vanilla-1-19 | |
x86_64-darwin python312Packages.elastic-apm | |
x86_64-darwin emacsPackages.auto-dictionary | |
x86_64-linux gst_all_1.gst-plugins-ugly | |
x86_64-darwin rubyPackages_3_3.cocoapods-expert-difficulty | |
x86_64-linux py-spy | |
aarch64-darwin tcat | |
x86_64-darwin emacsPackages.ivy-rtags | |
x86_64-linux haskellPackages.prometheus-metrics-ghc | |
aarch64-linux python311Packages.overrides | |
aarch64-darwin python311Packages.clickgen | |
x86_64-darwin perl538Packages.IOPrompt | |
x86_64-darwin python311Packages.pytzdata | |
x86_64-darwin python311Packages.reretry | |
x86_64-darwin python311Packages.foolscap | |
aarch64-darwin libretro.picodrive | |
x86_64-linux python311Packages.capstone | |
aarch64-darwin python311Packages.types-aiobotocore-stepfunctions | |
x86_64-linux python312Packages.bitcoin-utils-fork-minimal | |
aarch64-darwin python312Packages.google | |
aarch64-linux haskellPackages.github | |
x86_64-linux emacsPackages.selectrum-prescient | |
x86_64-darwin haskellPackages.gi-cairo-render | |
aarch64-darwin lua53Packages.luasnip | |
aarch64-darwin grc | |
aarch64-darwin haskellPackages.cassava-generic | |
aarch64-linux ratpoints | |
x86_64-darwin emacsPackages.org-ai | |
x86_64-linux haskellPackages.spatial-math | |
aarch64-linux haskellPackages.tomland | |
x86_64-darwin perl538Packages.ConfigSimple | |
aarch64-darwin haskellPackages.shapes | |
x86_64-linux flutterPackages.v3_16 | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.starlark | |
x86_64-linux vocal | |
x86_64-darwin iroh | |
aarch64-darwin emacsPackages.ietf-docs | |
x86_64-linux python312Packages.rich-argparse-plus | |
x86_64-darwin python312Packages.openrgb-python | |
aarch64-darwin libsForQt5.qt5.qtvirtualkeyboard | |
aarch64-darwin prometheus-bird-exporter | |
x86_64-darwin python312Packages.somfy-mylink-synergy | |
x86_64-linux perl538Packages.SDL | |
aarch64-darwin gh | |
x86_64-linux haskellPackages.yesod-routes-flow | |
aarch64-darwin python312Packages.asgiref | |
x86_64-linux emacsPackages.dummyparens | |
aarch64-linux stdman | |
x86_64-darwin haskellPackages.dataflower | |
aarch64-darwin rubyPackages_3_1.faraday | |
x86_64-linux home-assistant-component-tests.renault | |
aarch64-linux rubyPackages_3_2.hashie | |
x86_64-darwin yaydl | |
x86_64-darwin perl536Packages.TextWordDiff | |
x86_64-linux enlightenment.efl | |
x86_64-linux haskellPackages.schema | |
x86_64-darwin python312Packages.youseedee | |
x86_64-linux smartdeblur | |
aarch64-linux pam_mount | |
x86_64-linux svnfs | |
aarch64-darwin vimPlugins.neon | |
aarch64-linux shrikhand | |
x86_64-darwin python311Packages.editables | |
x86_64-linux haskellPackages.map-classes | |
aarch64-linux gnuplot_aquaterm | |
aarch64-linux python311Packages.ush | |
aarch64-darwin gromacsDouble | |
aarch64-darwin haskellPackages.base64-string | |
x86_64-darwin plasma5Packages.qt5.qtx11extras | |
x86_64-linux snis | |
x86_64-darwin wily | |
x86_64-darwin i3 | |
aarch64-darwin emacsPackages.gscholar-bibtex | |
x86_64-darwin rubyPackages_3_3.sinatra | |
aarch64-linux chickenPackages_5.chickenEggs.chicken-belt | |
aarch64-darwin python311Packages.aws-lambda-builders | |
aarch64-darwin perl536Packages.URITemplate | |
x86_64-darwin ubi_reader | |
aarch64-linux haskellPackages.lsp-test | |
aarch64-darwin python311Packages.python-engineio | |
x86_64-linux ansi | |
aarch64-darwin hunspellDicts.en-ca | |
x86_64-darwin haskellPackages.amazonka-workmailmessageflow | |
aarch64-darwin python311Packages.aiodns | |
aarch64-linux bazel_4 | |
aarch64-darwin emacsPackages.python-insert-docstring | |
aarch64-linux python311Packages.sphinx-markdown-parser | |
aarch64-linux knot-dns | |
aarch64-linux regripper | |
aarch64-linux chickenPackages_5.chickenEggs.continuations | |
aarch64-darwin emacsPackages.auto-correct | |
x86_64-darwin haskellPackages.pandoc | |
x86_64-linux perl536Packages.MathProvablePrime | |
x86_64-linux doomretro | |
x86_64-darwin i3lock-blur | |
aarch64-linux emacsPackages.lsp-tailwindcss | |
x86_64-darwin python311Packages.pylatex | |
x86_64-linux odroid-xu3-bootloader | |
x86_64-linux docbook2x | |
x86_64-darwin speexdsp | |
aarch64-linux haskellPackages.arbor-datadog | |
x86_64-linux linuxPackages_6_5_hardened.isgx | |
x86_64-linux monkeysAudio | |
aarch64-darwin haskellPackages.data-ordlist | |
x86_64-linux emacsPackages.pomodoro | |
x86_64-darwin python312Packages.eyed3 | |
aarch64-linux python312Packages.pydeconz | |
x86_64-linux aitrack | |
aarch64-darwin emacsPackages.function-args | |
aarch64-linux perl536Packages.MaxMindDBReader | |
aarch64-linux perl538Packages.PodWeaver | |
x86_64-linux rubyPackages_3_3.rpam2 | |
x86_64-linux haskellPackages.binary-ieee754 | |
aarch64-linux perl538Packages.DataVisitor | |
x86_64-linux crypto-tracker | |
aarch64-darwin python311Packages.adax | |
x86_64-linux rubyPackages_3_3.actiontext | |
x86_64-linux emacsPackages.flex-autopair | |
x86_64-darwin python312Packages.konnected | |
x86_64-linux python311Packages.threat9-test-bed | |
x86_64-darwin emacsPackages.ivy-rich | |
aarch64-darwin starboard | |
x86_64-linux veryfasttree | |
aarch64-linux python312Packages.annotated-types | |
x86_64-darwin nginxQuic | |
x86_64-darwin python311Packages.ge25519 | |
x86_64-linux haskellPackages.haskell-gettext | |
aarch64-darwin emacsPackages.oberon | |
x86_64-linux thumbdrives | |
x86_64-darwin emacsPackages.swoop | |
x86_64-darwin haskellPackages.genvalidity-hspec-aeson | |
aarch64-darwin emacsPackages.excorporate | |
aarch64-linux mate.engrampa | |
x86_64-linux python312Packages.pymvglive | |
aarch64-darwin haskellPackages.amazonka-networkmanager | |
x86_64-darwin emacsPackages.pebble-mode | |
aarch64-linux libreoffice-fresh-unwrapped | |
aarch64-darwin rubyPackages.connection_pool | |
x86_64-linux python311Packages.sonarr | |
aarch64-darwin haskellPackages.papa-x-export | |
x86_64-linux python312Packages.aiostream | |
x86_64-linux python312Packages.libais | |
aarch64-darwin emacsPackages.anki-vocabulary | |
aarch64-linux emacsPackages.dylan | |
x86_64-linux gnomeExtensions.faustus-tuf-controls | |
aarch64-linux openscad-lsp | |
x86_64-linux libretro.mgba | |
aarch64-linux postgresql15Packages.pg_auto_failover | |
x86_64-darwin emacsPackages.extend-dnd | |
aarch64-linux rpg-cli | |
x86_64-linux rubyPackages_3_1.ruby-lsp | |
x86_64-linux starry | |
x86_64-darwin dq | |
aarch64-linux emacsPackages.bibretrieve | |
x86_64-linux emacsPackages.git-attr | |
x86_64-linux haskellPackages.faster-megaparsec | |
aarch64-darwin stubby | |
aarch64-linux haskellPackages.x11-xim | |
aarch64-linux llvmPackages_14.clang | |
aarch64-darwin gnome.four-in-a-row | |
x86_64-darwin haskellPackages.doclayout | |
aarch64-darwin emacsPackages.mpdel | |
aarch64-linux haskellPackages.context-resource | |
x86_64-linux vimPlugins.vim-numbertoggle | |
x86_64-darwin haskellPackages.kdesrc-build-profiles | |
aarch64-darwin perl536Packages.ArchiveExtract | |
aarch64-darwin haskellPackages.cast | |
aarch64-darwin python311Packages.pyplaato | |
aarch64-darwin anystyle-cli | |
x86_64-linux rubyPackages.jekyll-spaceship | |
aarch64-darwin perl538Packages.MailMessage | |
aarch64-linux python312Packages.kbcstorage | |
x86_64-linux home-assistant-component-tests.influxdb | |
aarch64-darwin emacsPackages.cquery | |
x86_64-darwin plasma5Packages.syndication | |
x86_64-linux nuweb | |
aarch64-darwin python312Packages.btsmarthub-devicelist | |
aarch64-linux eask | |
x86_64-linux linuxPackages_5_4_hardened.vmm_clock | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.xi | |
aarch64-linux soil | |
aarch64-linux python311Packages.decorator | |
aarch64-darwin python311Packages.flask-wtf | |
aarch64-linux python312Packages.stups-fullstop | |
x86_64-darwin mediainfo | |
x86_64-linux synfigstudio | |
aarch64-darwin xorg.libXrandr | |
x86_64-linux emacsPackages.persist | |
x86_64-darwin python311Packages.youtube-dl | |
aarch64-linux theLoungePlugins.themes.nord | |
x86_64-darwin python311Packages.sh | |
aarch64-linux gnome2.gtkglext | |
aarch64-linux python312Packages.tlv8 | |
x86_64-darwin haskellPackages.terminal-punch | |
x86_64-linux python311Packages.opentelemetry-proto | |
aarch64-linux ghostscriptX | |
x86_64-darwin python312Packages.formulaic | |
x86_64-linux uniscribe | |
x86_64-darwin perl538Packages.TestRunPluginColorSummary | |
x86_64-darwin postgresql16JitPackages.pg_auto_failover | |
x86_64-linux python312Packages.camel-converter | |
aarch64-darwin emacsPackages.pip-requirements | |
aarch64-linux python312Packages.python-oauth2 | |
x86_64-darwin vimPlugins.coc-neco | |
aarch64-linux gerbil | |
x86_64-linux cddl | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.wayland-client | |
x86_64-linux python311Packages.doc8 | |
aarch64-linux llvmPackages_16.clangUseLLVM | |
x86_64-linux haskellPackages.concurrent-output | |
x86_64-linux python312Packages.dashing | |
aarch64-darwin haskellPackages.pa-error-tree | |
aarch64-linux emacsPackages.kivy-mode | |
x86_64-darwin haskellPackages.mbox-utility | |
aarch64-darwin zsh-history-to-fish | |
aarch64-linux xjobs | |
aarch64-darwin haskellPackages.string-interpolate | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.clojure | |
x86_64-darwin xorg.xconsole | |
x86_64-darwin perl538Packages.MooseXParamsValidate | |
x86_64-linux mac-fdisk | |
aarch64-darwin haskellPackages.selfrestart | |
x86_64-linux emacsPackages.killer | |
x86_64-darwin python312Packages.captcha | |
aarch64-linux uptime-kuma | |
x86_64-linux perl538Packages.XMLRSS | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.rtl8192eu | |
aarch64-linux rar2fs | |
x86_64-linux llvmPackages_12.clang-manpages | |
x86_64-darwin vimPlugins.tex-conceal-vim | |
x86_64-linux linuxKernel.packages.linux_libre.hid-tmff2 | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.xpm | |
x86_64-darwin python311Packages.pscript | |
aarch64-linux emacsPackages.mmm-mode | |
aarch64-darwin qcdnum | |
aarch64-darwin python312Packages.sphinx-argparse | |
aarch64-darwin plasma5Packages.kapidox | |
aarch64-linux perl538Packages.BusinessHours | |
aarch64-linux python311Packages.simpful | |
x86_64-linux haskellPackages.pager | |
aarch64-linux halloy | |
x86_64-linux feishu | |
x86_64-darwin emacsPackages.copyit | |
x86_64-darwin postgresql14JitPackages.pg_hll | |
x86_64-linux fparser | |
aarch64-darwin haskellPackages.nyan-interpolation-core | |
aarch64-linux python312Packages.openturns | |
aarch64-darwin python311Packages.pandoc-attributes | |
aarch64-linux python312Packages.pyskyqremote | |
x86_64-darwin haskellPackages.jsonifier | |
x86_64-linux python312Packages.mypy-boto3-payment-cryptography | |
x86_64-linux linuxPackages_5_10_hardened.ivsc-driver | |
aarch64-darwin libvirt-glib | |
aarch64-linux python312Packages.milksnake | |
aarch64-linux bob | |
x86_64-linux lua54Packages.moonscript | |
x86_64-linux linuxKernel.packages.linux_6_5_hardened.apfs | |
aarch64-linux emacsPackages.ivy-xref | |
x86_64-linux mitmproxy | |
aarch64-darwin vimPlugins.dashboard-nvim | |
x86_64-darwin emacsPackages.awscli-capf | |
aarch64-darwin python311Packages.inscriptis | |
aarch64-darwin python311Packages.types-aiobotocore-es | |
aarch64-darwin plasma5Packages.qtnetworkauth | |
x86_64-darwin gotop | |
x86_64-linux magnetophonDSP.LazyLimiter | |
x86_64-darwin theLoungePlugins.themes.chord | |
aarch64-darwin luajitPackages.luaunit | |
aarch64-linux haskellPackages.monad-var | |
aarch64-darwin emacsPackages.zone-select | |
x86_64-darwin codesearch | |
aarch64-linux python311Packages.wfuzz | |
x86_64-linux rubyPackages_3_2.opus-ruby | |
aarch64-darwin python311Packages.pygit2 | |
x86_64-linux openjdk11_headless | |
x86_64-linux qadwaitadecorations-qt6 | |
aarch64-linux jsoncons | |
x86_64-linux haskellPackages.eliminators | |
x86_64-linux tmuxp | |
x86_64-linux emacsPackages.elscreen-mew | |
x86_64-darwin haskellPackages.wai-middleware-json-errors | |
aarch64-linux haskellPackages.sized-wrapper-aeson | |
aarch64-linux haskellPackages.Only | |
x86_64-linux lipl | |
aarch64-darwin emacsPackages.imake | |
x86_64-linux perl538Packages.EmailAddressList | |
x86_64-darwin haskellPackages.libnix | |
aarch64-darwin rcon | |
x86_64-darwin python312Packages.mypy-boto3-billingconductor | |
aarch64-darwin emacsPackages.molecule | |
x86_64-darwin lld_13 | |
aarch64-linux python311Packages.pyaudio | |
x86_64-darwin python311Packages.vivisect | |
x86_64-linux python311Packages.types-aiobotocore-chime-sdk-voice | |
x86_64-linux postgresql13JitPackages.pg_hll | |
x86_64-darwin python312Packages.types-aiobotocore-appfabric | |
aarch64-linux python311Packages.pytest-test-utils | |
x86_64-linux emacsPackages.synosaurus | |
aarch64-linux libmusicbrainz3 | |
x86_64-linux haskellPackages.css-text | |
aarch64-darwin uxplay | |
aarch64-linux perl538Packages.FilesysDf | |
aarch64-darwin frankenphp | |
x86_64-darwin perl538Packages.EmailSimple | |
aarch64-linux haskellPackages.esqueleto-compat | |
x86_64-darwin python311Packages.mypy-boto3-lambda | |
aarch64-linux suricata | |
aarch64-darwin timetagger_cli | |
aarch64-linux emacsPackages.ace-jump-mode | |
aarch64-linux vimPlugins.vim-python-pep8-indent | |
x86_64-darwin python311Packages.rchitect | |
x86_64-linux python311Packages.python-gnupg | |
aarch64-linux emacsPackages.ob-hy | |
aarch64-linux emacsPackages.go-fill-struct | |
aarch64-darwin trurl | |
x86_64-linux linuxPackages_hardened.it87 | |
aarch64-linux python311Packages.dbus-deviation | |
aarch64-darwin perl536Packages.NetSMTPSSL | |
x86_64-darwin python311Packages.types-aiobotocore-opsworkscm | |
x86_64-linux emacsPackages.register-list | |
x86_64-darwin python312Packages.btest | |
x86_64-linux linuxKernel.packages.linux_5_10.vm-tools | |
x86_64-darwin emacsPackages.maruo-macro-mode | |
x86_64-linux ldm | |
aarch64-darwin fantasque-sans-mono | |
x86_64-darwin readline82 | |
x86_64-darwin tnef | |
aarch64-linux emacsPackages.molar-mass | |
x86_64-darwin emacsPackages.sexp-diff | |
aarch64-linux mockgen | |
aarch64-linux ilspycmd | |
aarch64-linux vimPlugins.magma-nvim-goose | |
aarch64-darwin wezterm | |
aarch64-darwin python312Packages.shippai | |
x86_64-linux linuxPackages_xanmod_latest.batman_adv | |
aarch64-linux chickenPackages_5.chickenEggs.awful-static-pages | |
x86_64-linux zsh-vi-mode | |
aarch64-darwin python312Packages.peppercorn | |
x86_64-linux python312Packages.py-tes | |
x86_64-linux emacsPackages.standard-dirs | |
x86_64-darwin carp | |
aarch64-darwin bwidget | |
aarch64-linux plasma5Packages.knights | |
aarch64-darwin python311Packages.thriftpy2 | |
x86_64-linux python312Packages.mypy-boto3-ecr | |
aarch64-linux python312Packages.types-aiobotocore-sms | |
aarch64-linux lua51Packages.cjson | |
x86_64-linux python312Packages.foundationdb71 | |
x86_64-linux linuxKernel.packages.linux_6_7_hardened.isgx | |
aarch64-linux sqlboiler-crdb | |
x86_64-darwin lethe | |
aarch64-darwin python311Packages.json-home-client | |
aarch64-darwin python311Packages.types-aiobotocore-sdb | |
x86_64-linux mbtileserver | |
aarch64-darwin haskellPackages.shellify | |
x86_64-linux python312Packages.django-stubs | |
aarch64-darwin sqlitecpp | |
aarch64-darwin python312Packages.pycddl | |
aarch64-linux ibus-engines.hangul | |
x86_64-darwin hunspellDicts.de_CH | |
aarch64-darwin haskellPackages.shakespeare-i18n | |
x86_64-linux rocmPackages_5.rocm-device-libs | |
aarch64-linux python311Packages.tank-utility | |
aarch64-linux python312Packages.hikvision | |
aarch64-linux buildpack | |
x86_64-linux haskellPackages.deburr | |
x86_64-linux aspellDicts.be | |
aarch64-linux emacsPackages.cats | |
x86_64-darwin python311Packages.lazy | |
x86_64-darwin bashup-events44 | |
aarch64-darwin kytea | |
aarch64-darwin python312Packages.pyscaffoldext-django | |
x86_64-darwin emacsPackages.ivy-omni-org | |
aarch64-linux emacsPackages.ibuffer-rcirc | |
x86_64-linux flannel | |
aarch64-darwin haskellPackages.proquint | |
aarch64-linux python312Packages.gtts | |
aarch64-darwin python312Packages.auroranoaa | |
aarch64-darwin tectonic | |
x86_64-linux python311Packages.btrfsutil | |
aarch64-linux binutils | |
x86_64-linux python312Packages.eiswarnung | |
x86_64-darwin python312Packages.snowflake-sqlalchemy | |
x86_64-linux emacsPackages.xref | |
aarch64-linux libinstpatch | |
aarch64-darwin emacsPackages.dakrone-theme | |
x86_64-darwin python311Packages.hcs-utils | |
x86_64-darwin mdslides | |
aarch64-darwin haskellPackages.hls-explicit-record-fields-plugin | |
x86_64-linux orbiton | |
x86_64-linux xmlindent | |
x86_64-darwin python312Packages.testresources | |
aarch64-darwin python312Packages.slpp | |
aarch64-linux emacsPackages.scpaste | |
x86_64-linux sc68 | |
x86_64-darwin haskellPackages.string-interpreter | |
aarch64-linux linuxPackages.lkrg | |
x86_64-linux vimPlugins.telescope-ui-select-nvim | |
x86_64-linux perl538Packages.TestTrap | |
x86_64-linux xteddy | |
x86_64-linux python311Packages.packaging | |
aarch64-linux crystfel | |
aarch64-linux linuxPackages_6_5_hardened.apfs | |
x86_64-linux perl538Packages.TieEncryptedHash | |
aarch64-linux postgresql15Packages.wal2json | |
aarch64-darwin python311Packages.pypcap | |
x86_64-darwin html2text | |
x86_64-darwin haskellPackages.servant-match | |
x86_64-linux python311Packages.types-freezegun | |
aarch64-darwin dnsmonster | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.libsass | |
x86_64-linux linuxKernel.packages.linux_5_15.hyperv-daemons | |
aarch64-linux python311Packages.py-libzfs | |
x86_64-darwin emacsPackages.php-boris | |
aarch64-linux haskellPackages.tesla | |
x86_64-darwin python312Packages.debianbts | |
aarch64-darwin emacsPackages.pushover | |
aarch64-darwin python311Packages.beautifulsoup4 | |
x86_64-linux linuxKernel.packages.linux_5_10.systemtap | |
x86_64-linux csound-manual | |
x86_64-darwin python311Packages.neoteroi-mkdocs | |
aarch64-darwin python312Packages.spyder-kernels | |
aarch64-darwin indradb-client | |
aarch64-darwin perl536Packages.CacheKyotoTycoon | |
x86_64-darwin python312Packages.asciimatics | |
aarch64-darwin terraform-plugin-docs | |
x86_64-darwin emacsPackages.syntax-subword | |
x86_64-darwin icestorm | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-41 | |
aarch64-darwin emacsPackages.ix | |
aarch64-linux fityk | |
x86_64-darwin python312Packages.exitcode | |
x86_64-linux python311Packages.dazl | |
aarch64-darwin graphite2 | |
x86_64-darwin python312Packages.pyuptimerobot | |
aarch64-darwin wxSVG | |
aarch64-linux linuxKernel.packages.linux_latest_libre.linux-gpib | |
x86_64-linux perl538Packages.AlienBuild | |
x86_64-darwin python311Packages.airtouch4pyapi | |
x86_64-darwin python311Packages.pyliblo | |
aarch64-darwin emacsPackages.s3ed | |
aarch64-linux raspberrypi-eeprom | |
aarch64-darwin diesel-cli | |
x86_64-darwin emacsPackages.llm | |
aarch64-linux svxlink | |
aarch64-linux rubyPackages_3_2.certified | |
aarch64-darwin nixfmt-rfc-style | |
x86_64-linux raylib | |
x86_64-darwin haskellPackages.pandoc-cli | |
aarch64-linux vimPlugins.nvim-jqx | |
aarch64-linux linuxKernel.packages.linux_xanmod.netatop | |
aarch64-linux pdfstudio2021 | |
aarch64-linux emacsPackages.arduino-mode | |
x86_64-linux python311Packages.hkavr | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.nct6687d | |
x86_64-linux vimPlugins.html5-vim | |
x86_64-linux tests.checkpointBuildTools | |
x86_64-linux graphw00f | |
x86_64-linux gnomeExtensions.blur-my-shell | |
aarch64-linux postgresql12Packages.pg_partman | |
aarch64-linux python311Packages.orange-widget-base | |
x86_64-darwin python311Packages.pytest-error-for-skips | |
aarch64-linux python312Packages.aliyun-python-sdk-config | |
x86_64-darwin haskellPackages.tw | |
aarch64-darwin python312Packages.expects | |
x86_64-linux rubyPackages.rdoc | |
aarch64-darwin python312Packages.dwdwfsapi | |
x86_64-darwin qt6.qtwebsockets | |
aarch64-darwin haskellPackages.text-cp437 | |
aarch64-linux vimPlugins.neotest-jest | |
x86_64-darwin haskellPackages.filters-basic | |
x86_64-darwin python311Packages.mailchecker | |
x86_64-linux vscode-extensions.ms-vscode.cmake-tools | |
aarch64-darwin python312Packages.reedsolo | |
x86_64-darwin igrep | |
aarch64-linux python311Packages.pydelijn | |
aarch64-linux wpscan | |
aarch64-linux autorandr | |
aarch64-linux kodiPackages.visualization-pictureit | |
x86_64-darwin haskellPackages.boxes | |
x86_64-darwin haskellPackages.gi-gio | |
aarch64-darwin perl536Packages.StringSimilarity | |
aarch64-darwin booster | |
aarch64-linux python312Packages.uasiren | |
x86_64-linux haskellPackages.acme-circular-containers | |
aarch64-linux emacsPackages.helm-phpunit | |
x86_64-linux buildbot-ui | |
aarch64-linux httptunnel | |
x86_64-darwin python312Packages.types-aiobotocore-personalize | |
x86_64-linux emacsPackages.minimap | |
aarch64-darwin emacsPackages.org-clock-split | |
aarch64-linux python311Packages.python-rabbitair | |
aarch64-darwin perl536Packages.FileUtil | |
aarch64-darwin chickenPackages_5.chickenEggs.http-session | |
x86_64-linux python311Packages.pdoc | |
aarch64-linux perl536Packages.PodLaTeX | |
aarch64-linux haskellPackages.statestack | |
aarch64-linux perl536Packages.FileCheckTree | |
aarch64-darwin kermit-terminal | |
x86_64-linux unifi7 | |
aarch64-darwin python311Packages.aliyun-python-sdk-cdn | |
aarch64-linux haskellPackages.caching-vault | |
aarch64-linux vocproc | |
aarch64-linux cardo | |
x86_64-darwin haskellPackages.threads | |
x86_64-darwin python311Packages.pybravia | |
aarch64-linux unused_deps | |
x86_64-linux yubico-pam | |
x86_64-darwin python311Packages.radon | |
aarch64-linux emacsPackages.dracula-theme | |
aarch64-linux electron_11-bin | |
x86_64-linux highfive | |
x86_64-linux python312Packages.primer3 | |
aarch64-darwin octosql | |
aarch64-linux st | |
aarch64-linux gping | |
x86_64-linux python311Packages.geometric | |
aarch64-darwin mvebu64boot | |
x86_64-linux python312Packages.ed25519 | |
x86_64-linux linuxKernel.packages.linux_6_1.nvidia_x11_vulkan_beta_open | |
x86_64-darwin python312Packages.libpurecool | |
x86_64-linux lsix | |
x86_64-linux haskellPackages.twitter-conduit | |
x86_64-darwin llvmPackages_13.libcxxStdenv | |
x86_64-linux cldr-annotations | |
aarch64-darwin coqPackages.mathcomp-fingroup | |
aarch64-linux linuxPackages_5_10_hardened.ddcci-driver | |
aarch64-linux haskellPackages.refinery | |
x86_64-darwin ubuntu_font_family | |
aarch64-darwin emacsPackages.ob-raku | |
x86_64-darwin haskellPackages.hslua-cli | |
x86_64-darwin python311Packages.zope-filerepresentation | |
x86_64-linux python312Packages.atenpdu | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.bcc | |
x86_64-linux python311Packages.napari-console | |
x86_64-darwin python311Packages.mplfinance | |
aarch64-darwin python311Packages.pynuki | |
x86_64-darwin haskellPackages.swisstable | |
x86_64-linux python311Packages.types-aiobotocore-amplifybackend | |
aarch64-darwin haskellPackages.timestamp-subprocess-lines | |
x86_64-linux emacsPackages.format-all | |
x86_64-linux haskellPackages.ghc-tcplugin-api | |
aarch64-darwin minify | |
aarch64-darwin python311Packages.pylev | |
x86_64-darwin emacsPackages.ace-jump-mode | |
x86_64-darwin python312Packages.isoduration | |
aarch64-linux haskellPackages.DistanceTransform | |
x86_64-linux gcc_multi | |
x86_64-darwin haskellPackages.rfc1413-types | |
aarch64-darwin perl538Packages.TestTrailingSpace | |
aarch64-linux emacsPackages.company-wordfreq | |
aarch64-linux home-assistant-component-tests.watttime | |
aarch64-linux haskellPackages.opentheory-fibonacci | |
x86_64-linux pc | |
x86_64-linux linuxPackages_6_7_hardened.netatop | |
x86_64-darwin python312Packages.limitlessled | |
aarch64-darwin haskellPackages.reliable-io | |
x86_64-linux python312Packages.types-aiobotocore-support-app | |
aarch64-linux renoise | |
aarch64-linux amberol | |
aarch64-linux haskellPackages.c14n | |
x86_64-darwin janusgraph | |
aarch64-linux emacsPackages.flycheck-raku | |
x86_64-darwin python312Packages.pymilter | |
x86_64-linux linuxKernel.packages.linux_zen.new-lg4ff | |
aarch64-linux cargo-modules | |
aarch64-linux python312Packages.azure-eventgrid | |
aarch64-linux arduinoOTA | |
x86_64-darwin haskellPackages.system-argv0 | |
x86_64-darwin python311Packages.types-aiobotocore-sagemaker | |
x86_64-linux libirecovery | |
aarch64-darwin topgrade | |
x86_64-linux libretro.snes9x2002 | |
aarch64-linux plasma5Packages.kcrash | |
x86_64-linux python312Packages.arabic-reshaper | |
x86_64-linux python311Packages.starlette | |
aarch64-darwin python311Packages.amqp | |
aarch64-darwin python311Packages.pyannote-database | |
aarch64-darwin aspellDicts.en-science | |
aarch64-darwin python311Packages.opytimark | |
aarch64-darwin saxon_9-he | |
aarch64-linux chickenPackages_5.chickenEggs.openssl | |
x86_64-linux python311Packages.types-aiobotocore-kinesis-video-media | |
aarch64-darwin python312Packages.pgpy | |
aarch64-darwin perl536Packages.XMLCanonicalizeXML | |
x86_64-darwin aravis | |
x86_64-linux tests.hardeningFlags-clang.stackProtectorRedisabledEnv | |
aarch64-linux zwave-js-server | |
x86_64-linux toil | |
aarch64-linux vimPlugins.utl-vim | |
x86_64-linux rictydiminished-with-firacode | |
aarch64-linux python311Packages.niaclass | |
aarch64-darwin lcov | |
aarch64-linux oguri | |
aarch64-linux cum | |
x86_64-linux perl536Packages.MooseXAttributeChained | |
x86_64-darwin nuttcp | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.vmware | |
aarch64-darwin luajitPackages.lua-toml | |
aarch64-linux python311Packages.drawilleplot | |
aarch64-linux python312Packages.pep8 | |
x86_64-linux haskellPackages.taggy | |
x86_64-darwin haskellPackages.lens-family-th | |
x86_64-linux bayescan | |
aarch64-linux home-assistant-component-tests.unifiprotect | |
aarch64-darwin haskellPackages.gi-harfbuzz | |
x86_64-linux somebar | |
x86_64-darwin emacsPackages.voca-builder | |
aarch64-darwin python311Packages.pygogo | |
x86_64-linux python312Packages.dash-core-components | |
aarch64-linux haskellPackages.xpathdsv | |
aarch64-linux linuxPackages_latest-libre.nvidia_x11_production_open | |
x86_64-linux linuxPackages_6_6_hardened.rtw88 | |
aarch64-darwin python312Packages.epc | |
x86_64-linux libretro.mame2000 | |
x86_64-darwin python312Packages.iapws | |
x86_64-linux python312Packages.netmiko | |
x86_64-linux lattice-diamond | |
aarch64-linux python312Packages.crccheck | |
x86_64-linux otpclient | |
aarch64-darwin lua51Packages.lua-resty-openssl | |
aarch64-linux mate.mate-polkit | |
x86_64-linux tree-sitter-grammars.tree-sitter-lua | |
x86_64-linux linuxPackages_lqx.zfsUnstable | |
aarch64-darwin rss-bridge | |
aarch64-darwin emacsPackages.django-commands | |
x86_64-darwin nfd | |
aarch64-linux haskellPackages.sdl-try-drivers | |
aarch64-linux linuxKernel.packages.linux_5_10.rtl8189fs | |
x86_64-linux llvmPackages_16.bintoolsNoLibc | |
aarch64-linux haskellPackages.tell | |
aarch64-darwin luaPackages.lua-term | |
aarch64-darwin haskellPackages.hsmisc | |
x86_64-darwin aften | |
x86_64-darwin emacsPackages.geiser-chibi | |
x86_64-darwin openssh_gssapi | |
aarch64-linux auto-multiple-choice | |
aarch64-darwin chickenPackages_5.chickenEggs.json | |
aarch64-linux python312Packages.flask-pymongo | |
x86_64-darwin rs | |
aarch64-linux python312Packages.catppuccin | |
aarch64-darwin python311Packages.ufmt | |
x86_64-linux python311Packages.aiohttp-socks | |
aarch64-linux openscenegraph | |
x86_64-linux emacsPackages.rec-mode | |
aarch64-darwin python311Packages.awesomeversion | |
aarch64-darwin haskellPackages.acme-cadre | |
aarch64-linux oneshot | |
x86_64-darwin libmng | |
x86_64-linux python312Packages.nameparser | |
aarch64-darwin python311Packages.pypytools | |
aarch64-darwin libavif | |
x86_64-darwin python312Packages.py-multicodec | |
aarch64-darwin python311Packages.notify-events | |
aarch64-linux haskellPackages.hspec-test-framework | |
aarch64-linux maude | |
x86_64-darwin emacsPackages.tab-bar-echo-area | |
x86_64-linux veriT | |
aarch64-linux vimPlugins.thesaurus_query-vim | |
x86_64-darwin python312Packages.social-auth-core | |
aarch64-darwin tests.cuda.cudaPackages_11.saxpy | |
aarch64-linux emacsPackages.hayoo | |
x86_64-linux emacsPackages.denote-refs | |
x86_64-darwin vimPlugins.vim-agda | |
aarch64-darwin python311Packages.linien-common | |
x86_64-linux lomiri.u1db-qt | |
aarch64-linux emacsPackages.pyim-wbdict | |
x86_64-linux haskellPackages.DistanceUnits | |
aarch64-darwin emacsPackages.skeletor | |
aarch64-linux loc | |
aarch64-darwin fmt_9 | |
aarch64-linux python311Packages.types-aiobotocore-ram | |
aarch64-linux python312Packages.azure-mgmt-recoveryservices | |
aarch64-linux haskellPackages.xmonad-utils | |
x86_64-darwin haskellPackages.graph-trace | |
x86_64-linux python312Packages.glfw | |
x86_64-darwin rubyPackages_3_2.cocoapods-try | |
aarch64-linux par2cmdline | |
x86_64-darwin haskellPackages.uniform-fileio | |
x86_64-linux bruteforce-luks | |
x86_64-linux perl536Packages.AppSt | |
aarch64-linux haskellPackages.amazonka-apigateway | |
x86_64-linux emacsPackages.lua-mode | |
x86_64-linux python311Packages.cloudsmith-api | |
x86_64-linux postgresqlPackages.pg_hll | |
aarch64-linux python311Packages.pysigma-backend-qradar | |
aarch64-linux tarmac | |
x86_64-darwin postgresql16JitPackages.plpgsql_check | |
x86_64-linux python312Packages.aioruckus | |
x86_64-linux python312Packages.pyramid | |
aarch64-darwin python311Packages.pdftotext | |
aarch64-linux perl538Packages.XMLLibXMLSimple | |
x86_64-linux emacsPackages.zencoding-mode | |
x86_64-darwin python312Packages.mypy-boto3-verifiedpermissions | |
aarch64-darwin vimPlugins.urlview-nvim | |
aarch64-darwin perl538Packages.ApacheSession | |
x86_64-darwin libgee | |
aarch64-linux emacsPackages.mw-thesaurus | |
aarch64-darwin libretro.bsnes-hd | |
aarch64-darwin python312Packages.pycatch22 | |
x86_64-darwin vc_0_7 | |
aarch64-linux perl538Packages.IOAIO | |
aarch64-darwin chickenPackages_5.chickenEggs.system-information | |
aarch64-linux python311Packages.types-aiobotocore-iot | |
aarch64-darwin gridlock | |
aarch64-linux python311Packages.rtsp-to-webrtc | |
x86_64-linux haskellPackages.wedding-announcement | |
aarch64-linux linuxKernel.kernels.linux_libre | |
x86_64-linux haskellPackages.file-modules | |
x86_64-darwin haskellPackages.microc | |
x86_64-darwin python312Packages.py-scrypt | |
aarch64-linux linuxPackages_hardened.prl-tools | |
aarch64-linux glooctl | |
x86_64-linux python312Packages.isbnlib | |
x86_64-darwin emacsPackages.ox-epub | |
aarch64-linux rubyPackages_3_3.dotenv | |
aarch64-linux haskellPackages.amazonka-maciev2 | |
aarch64-darwin python312Packages.google-cloud-texttospeech | |
x86_64-linux libsForQt5.dragon | |
aarch64-linux linuxPackages_5_10_hardened.qc71_laptop | |
x86_64-linux haskellPackages.bugsnag-yesod | |
aarch64-darwin gnss-share | |
x86_64-linux python311Packages.pypca | |
x86_64-darwin emacsPackages.firefox-javascript-repl | |
aarch64-linux emacsPackages.know-your-http-well | |
aarch64-darwin carbon-now-cli | |
x86_64-linux oelint-adv | |
x86_64-darwin emacsPackages.parseclj | |
aarch64-darwin emacsPackages.which-key | |
x86_64-linux python311Packages.python-u2flib-host | |
aarch64-linux gnome.networkmanager-iodine | |
aarch64-darwin python312Packages.flask-marshmallow | |
x86_64-darwin lua54Packages.tl | |
aarch64-linux haskellPackages.hp2html | |
x86_64-darwin python311Packages.termstyle | |
x86_64-linux python311Packages.autoflake | |
x86_64-linux emacsPackages.helm-chronos | |
x86_64-linux emacsPackages.sentex | |
x86_64-linux par2cmdline | |
x86_64-linux perl536Packages.FileType | |
aarch64-linux alpine | |
aarch64-linux python311Packages.pagelabels | |
x86_64-darwin nedit | |
x86_64-linux python312Packages.bitcoinlib | |
aarch64-darwin zydis | |
x86_64-linux python311Packages.kicad | |
aarch64-darwin hunspellDicts.it_IT | |
x86_64-linux haskellPackages.psql-helpers | |
x86_64-darwin python312Packages.percol | |
x86_64-linux ttylog | |
aarch64-darwin python311Packages.pycmarkgfm | |
aarch64-linux chickenPackages_5.chickenEggs.srfi-145 | |
x86_64-linux python312Packages.mypy-boto3-groundstation | |
x86_64-darwin python311Packages.cirq-core | |
aarch64-darwin python312Packages.mpd2 | |
aarch64-darwin llvmPackages_16.llvm-manpages | |
aarch64-linux libcanberra_kde | |
x86_64-linux linuxKernel.packages.linux_5_15.nvidia_x11_stable_open | |
x86_64-linux deepin.dde-file-manager | |
aarch64-linux python311Packages.gpaw | |
aarch64-darwin emacsPackages.org-listcruncher | |
x86_64-linux llvmPackages_17.clangNoCompilerRt | |
x86_64-darwin rubyPackages_3_2.iconv | |
aarch64-darwin rubyPackages_3_1.activemodel | |
aarch64-darwin python312Packages.mypy-boto3-kinesisvideo | |
aarch64-linux python312Packages.promise | |
aarch64-linux haskellPackages.lhs2tex | |
aarch64-darwin qrcp | |
aarch64-linux scons_4_1_0 | |
x86_64-darwin haskellPackages.termcolor | |
aarch64-linux perl538Packages.SysCpuAffinity | |
x86_64-darwin python311Packages.django-sites | |
aarch64-linux rubyPackages_3_2.ast | |
x86_64-linux haskellPackages.servant-client | |
aarch64-linux emacsPackages.magit-org-todos | |
aarch64-linux plasma5Packages.qt5.qtsystems | |
aarch64-darwin python312Packages.rchitect | |
aarch64-linux haskellPackages.FindBin | |
aarch64-linux chickenPackages_5.chickenEggs.remote-mailbox | |
x86_64-linux emacsPackages.dired-du | |
aarch64-linux python311Packages.foolscap | |
x86_64-linux linuxPackages_hardened.r8168 | |
x86_64-linux haskellPackages.amazonka-pinpoint-sms-voice-v2 | |
x86_64-darwin jboss_mysql_jdbc | |
aarch64-darwin python312Packages.sortedcollections | |
aarch64-darwin python312Packages.envoy-reader | |
x86_64-darwin haskellPackages.autodocodec-schema | |
x86_64-linux deepin.udisks2-qt5 | |
aarch64-darwin python312Packages.types-aiobotocore-forecast | |
aarch64-linux python311Packages.boost-histogram | |
aarch64-darwin gitui | |
aarch64-linux python312Packages.attrdict | |
x86_64-linux python312Packages.libredwg | |
aarch64-darwin emacsPackages.auto-complete-clang | |
aarch64-linux plasma5Packages.qmlbox2d | |
aarch64-darwin retext | |
x86_64-linux linuxPackages_5_15_hardened.lttng-modules | |
aarch64-darwin commonsFileUpload | |
aarch64-darwin perl536Packages.FennecLite | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.ax99100 | |
x86_64-darwin perl536Packages.curry | |
x86_64-darwin python311Packages.jupyter-sphinx | |
aarch64-linux emacsPackages.ewmctrl | |
aarch64-darwin libkrb5 | |
aarch64-linux reshape | |
x86_64-linux haskellPackages.recaptcha | |
x86_64-linux libfakekey | |
aarch64-linux home-assistant-component-tests.bond | |
aarch64-darwin luajitPackages.luadbi-mysql | |
aarch64-linux lua51Packages.rest-nvim | |
x86_64-darwin python312Packages.robotframework-databaselibrary | |
aarch64-darwin emacsPackages.esqlite-helm | |
aarch64-linux chickenPackages_5.chickenEggs.zshbrev | |
aarch64-linux python312Packages.bandcamp-api | |
x86_64-darwin tthsum | |
x86_64-linux linuxKernel.packages.linux_lqx.mbp2018-bridge-drv | |
x86_64-darwin python311Packages.flask-versioned | |
x86_64-linux sbclPackages.cl-libuv | |
aarch64-linux python312Packages.rivet | |
aarch64-linux xorg.fontbh100dpi | |
x86_64-linux linuxPackages_hardened.can-isotp | |
aarch64-linux perl538Packages.TestTempDirTiny | |
x86_64-linux emacsPackages.backline | |
x86_64-darwin emacsPackages.elfeed-autotag | |
x86_64-linux python311Packages.zcbor | |
aarch64-linux linuxKernel.packages.linux_6_7.virtio_vmmci | |
aarch64-darwin unclutter-xfixes | |
x86_64-linux chickenPackages_5.chickenEggs.srfi-144 | |
aarch64-darwin perl536Packages.GetoptTabular | |
aarch64-linux python311Packages.limiter | |
aarch64-linux linuxKernel.packages.linux_6_5_hardened.virtio_vmmci | |
aarch64-linux pdftag | |
x86_64-linux python312Packages.fontfeatures | |
aarch64-linux xcb-imdkit | |
aarch64-darwin chickenPackages_5.chickenEggs.egg-tarballs | |
x86_64-darwin python312Packages.arc4 | |
x86_64-darwin python312Packages.hkavr | |
aarch64-darwin python311Packages.lexilang | |
x86_64-linux autotalent | |
aarch64-linux python312Packages.cmake | |
x86_64-linux postgresql13JitPackages.pg_ed25519 | |
x86_64-linux perl538Packages.IOSocketINET6 | |
aarch64-linux emacsPackages.geiser-chez | |
aarch64-darwin agedu | |
x86_64-linux perl536Packages.TestAggregate | |
aarch64-linux python311Packages.pyfftw | |
x86_64-darwin discord-development | |
x86_64-darwin python311Packages.zope-configuration | |
x86_64-linux gawkextlib.abort | |
x86_64-darwin haskellPackages.if-instance | |
aarch64-darwin python312Packages.mypy-boto3-iot1click-projects | |
x86_64-darwin haskellPackages.sysinfo | |
x86_64-linux python312Packages.phx-class-registry | |
aarch64-darwin merriweather | |
x86_64-linux haskellPackages.hls-qualify-imported-names-plugin | |
aarch64-linux python311Packages.timelib | |
x86_64-darwin chickenPackages_5.chickenEggs.disjoint-set | |
x86_64-darwin python311Packages.gehomesdk | |
aarch64-linux python311Packages.pygount | |
x86_64-linux python312Packages.pyrate-limiter | |
x86_64-darwin temporal | |
aarch64-linux haskellPackages.persistent-spatial | |
x86_64-linux python311Packages.pypugjs | |
aarch64-linux python311Packages.elementpath | |
x86_64-darwin haskellPackages.ghc-lib-parser | |
x86_64-darwin perl538Packages.HTMLGumbo | |
x86_64-linux perl538Packages.PodParser | |
x86_64-darwin haskellPackages.tz | |
aarch64-linux perl538Packages.IPCSignal | |
x86_64-linux haskellPackages.cryptohash-sha1 | |
x86_64-darwin python311Packages.flask-dramatiq | |
aarch64-darwin python311Packages.mxnet | |
x86_64-linux gnomeExtensions.icinga-checker | |
x86_64-darwin python312Packages.django-cacheops | |
x86_64-darwin emacsPackages.auto-org-md | |
aarch64-darwin buildbot-plugins.react-console-view | |
x86_64-linux sequoia-chameleon-gnupg | |
aarch64-darwin bdf2sfd | |
aarch64-darwin python311Packages.pysigma-pipeline-crowdstrike | |
aarch64-linux perl536Packages.NetSNMP | |
aarch64-darwin vimPlugins.cmp-nvim-lsp-signature-help | |
aarch64-linux microplane | |
aarch64-linux python312Packages.azure-mgmt-scheduler | |
x86_64-linux liblinear | |
x86_64-linux emacsPackages.home-end | |
x86_64-linux bark | |
x86_64-darwin haskellPackages.lsp-test | |
aarch64-darwin udpx | |
x86_64-darwin emacsPackages.northcode-theme | |
x86_64-linux enlightenment.ecrire | |
x86_64-linux dwarf-fortress-packages.dwarf-therapist | |
aarch64-darwin gerbil | |
x86_64-darwin nlojet | |
x86_64-linux python311Packages.i3-py | |
x86_64-darwin rubyPackages_3_3.rb-inotify | |
aarch64-linux newtonwars | |
x86_64-darwin perl536Packages.HTTPTinyCache | |
x86_64-darwin python311Packages.pyqtgraph | |
aarch64-linux libvpx_1_8 | |
aarch64-darwin python311Packages.lief | |
x86_64-linux haskellPackages.aws-sns-verify | |
x86_64-darwin chickenPackages_5.chickenEggs.spiffy-cookies | |
x86_64-linux vimPlugins.citruszest-nvim | |
x86_64-darwin python311Packages.pyheif | |
aarch64-linux python311Packages.minio | |
x86_64-linux haskellPackages.lifted-async | |
x86_64-darwin haskellPackages.pgm | |
aarch64-darwin python311Packages.oauth | |
x86_64-linux dedup | |
x86_64-linux q | |
x86_64-linux vimPlugins.hare-vim | |
aarch64-darwin chickenPackages_5.chickenEggs.getopt-utils | |
aarch64-darwin python312Packages.samsungtvws | |
x86_64-linux emacsPackages.modtime-skip-mode | |
x86_64-darwin ghostunnel | |
aarch64-linux rubyPackages.ruby2_keywords | |
x86_64-darwin python311Packages.translatehtml | |
x86_64-linux bingo | |
x86_64-linux xorg.libpciaccess | |
aarch64-linux python311Packages.mypy-boto3-xray | |
aarch64-linux python311Packages.cypherpunkpay | |
aarch64-darwin python311Packages.py-radix-sr | |
x86_64-linux multimarkdown | |
aarch64-linux rubyPackages.faraday-net_http | |
aarch64-darwin hsd | |
x86_64-linux sbclPackages.fset | |
x86_64-linux python311Packages.cirq-google | |
aarch64-linux python311Packages.mobly | |
x86_64-linux libmanette | |
x86_64-linux emacsPackages.eloud | |
aarch64-linux python311Packages.rply | |
x86_64-darwin python312Packages.pysimplegui | |
x86_64-linux nxpmicro-mfgtools | |
x86_64-linux tell-me-your-secrets | |
x86_64-linux yojimbo | |
x86_64-linux linuxPackages.framework-laptop-kmod | |
x86_64-darwin perl536Packages.CpanelJSONXS | |
aarch64-linux haskellPackages.blaze-builder | |
aarch64-linux python311Packages.pyclipper | |
aarch64-linux linuxKernel.packages.linux_xanmod.gasket | |
x86_64-linux emacsPackages.showtip | |
aarch64-darwin python311Packages.types-aiobotocore-auditmanager | |
aarch64-darwin perl538Packages.BusinessISBN | |
x86_64-darwin python312Packages.update-checker | |
x86_64-darwin python311Packages.azure-mgmt-servicelinker | |
x86_64-darwin emacsPackages.hl-sentence | |
x86_64-linux rippled-validator-keys-tool | |
aarch64-linux haskellPackages.th-letrec | |
aarch64-linux emacsPackages.abl-mode | |
x86_64-linux python311Packages.pdoc-pyo3-sample-library | |
aarch64-darwin retro-gtk | |
x86_64-darwin python311Packages.httpx-socks | |
x86_64-linux emacsPackages.evil | |
x86_64-linux haskellPackages.spacecookie | |
aarch64-linux python312Packages.pytest-httpx | |
x86_64-darwin gnu-shepherd | |
aarch64-darwin emacsPackages.popup-complete | |
x86_64-darwin python311Packages.treelib | |
aarch64-linux xob | |
aarch64-darwin python311Packages.typed-ast | |
aarch64-linux python311Packages.intellifire4py | |
x86_64-darwin llvmPackages_17.compiler-rt-libc | |
x86_64-darwin haskellPackages.pid | |
x86_64-linux python312Packages.bleak-esphome | |
x86_64-linux rubyPackages_3_1.jekyll-titles-from-headings | |
aarch64-darwin libmhash | |
x86_64-darwin peergos | |
x86_64-darwin emacsPackages.buffer-flip | |
x86_64-darwin python311Packages.gatt | |
aarch64-linux haskellPackages.cabal-install | |
aarch64-darwin plasma5Packages.qtgraphicaleffects | |
x86_64-linux haskellPackages.lens-witherable | |
x86_64-linux python311Packages.cfscrape | |
aarch64-darwin podman | |
x86_64-linux octavePackages.control | |
x86_64-linux python311Packages.rnginline | |
aarch64-darwin haskellPackages.hdaemonize | |
x86_64-darwin vimPlugins.cmp-look | |
aarch64-linux kodiPackages.pvr-iptvsimple | |
x86_64-darwin gradescope-submit | |
aarch64-linux schemaspy | |
x86_64-darwin python311Packages.multi-key-dict | |
x86_64-linux edir | |
aarch64-linux suitesparse-graphblas | |
aarch64-darwin terraform-providers.skytap | |
x86_64-linux haskellPackages.serialise-uuid | |
aarch64-linux cmakeWithGui | |
aarch64-darwin llvmPackages_17.lld | |
aarch64-darwin renode-dts2repl | |
aarch64-darwin haskellPackages.final | |
x86_64-darwin edwood | |
x86_64-linux python311Packages.setuptools-rust | |
x86_64-linux rubyPackages_3_3.jekyll-watch | |
aarch64-darwin badrobot | |
aarch64-darwin emacsPackages.helm-flycheck | |
x86_64-linux python311Packages.mypy-boto3-opensearch | |
aarch64-darwin emacsPackages.ergoemacs-mode | |
x86_64-darwin python311Packages.arnparse | |
aarch64-darwin python312Packages.pyrate-limiter | |
aarch64-darwin python312Packages.jsonpatch | |
aarch64-darwin haskellPackages.fizz-buzz | |
aarch64-linux llvm_11 | |
x86_64-darwin haskellPackages.gi-poppler | |
aarch64-darwin perl538Packages.RegexpAssemble | |
aarch64-linux kafkactl | |
x86_64-linux python311Packages.aggdraw | |
aarch64-darwin python311Packages.embedding-reader | |
aarch64-darwin gnome.hitori | |
x86_64-linux python311Packages.opencv4 | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.fusion | |
x86_64-linux python312Packages.libpyfoscam | |
x86_64-linux rubyPackages.cairo | |
x86_64-linux appstream | |
aarch64-darwin haskellPackages.wai-middleware-metrics | |
x86_64-linux xplayer | |
x86_64-linux perl536Packages.YAML | |
x86_64-linux wineWow64Packages.staging | |
x86_64-darwin python311Packages.python-arango | |
aarch64-darwin emacsPackages.current-word-highlight | |
aarch64-linux linuxKernel.packages.linux_zen.linux-gpib | |
x86_64-linux linuxKernel.packages.linux_6_5_hardened.rtl88xxau-aircrack | |
x86_64-linux emacsPackages.cern-root-mode | |
aarch64-linux emacsPackages.writeroom-mode | |
aarch64-linux python311Packages.types-aiobotocore-mediapackage | |
aarch64-linux texliveInfraOnly | |
aarch64-darwin lua53Packages.digestif | |
aarch64-linux postgresql12Packages.pg_ed25519 | |
aarch64-darwin perl536Packages.SubUplevel | |
aarch64-darwin python312Packages.dataset | |
x86_64-darwin python312Packages.envisage | |
x86_64-darwin python311Packages.python-oauth2 | |
x86_64-darwin python312Packages.pdf2image | |
x86_64-linux python311Packages.audible | |
x86_64-linux linuxKernel.packages.linux_6_1.asus-ec-sensors | |
aarch64-darwin emacsPackages.grizzl | |
aarch64-darwin ginac | |
aarch64-darwin tenderness | |
aarch64-darwin haskellPackages.parallel | |
aarch64-darwin emacsPackages.setup | |
aarch64-linux python311Packages.py-deprecate | |
x86_64-linux python311Packages.aioextensions | |
aarch64-linux python311Packages.pyscaffoldext-travis | |
aarch64-darwin uuu | |
x86_64-linux linuxPackages_6_5_hardened.gcadapter-oc-kmod | |
aarch64-linux python312Packages.libusbsio | |
x86_64-linux emacsPackages.chinese-yasdcv | |
aarch64-linux python312Packages.zope-dottedname | |
aarch64-linux webanalyze | |
x86_64-linux algolia-cli | |
x86_64-linux auto-cpufreq | |
aarch64-linux python312Packages.typepy | |
aarch64-darwin haskellPackages.multimap | |
aarch64-darwin perl538Packages.AuthenSASLSASLprep | |
aarch64-darwin kissfft | |
x86_64-darwin vimPlugins.omnisharp-extended-lsp-nvim | |
aarch64-darwin python312Packages.markupsafe | |
aarch64-darwin emacsPackages.indent-lint | |
aarch64-linux libva-utils | |
x86_64-linux srtrelay | |
aarch64-darwin python311Packages.factory-boy | |
x86_64-linux haskellPackages.hw-excess | |
aarch64-linux perl538Packages.ListAllUtils | |
x86_64-darwin emacsPackages.diff-hl | |
aarch64-linux litmus | |
x86_64-linux rubyPackages.indieweb-endpoints | |
x86_64-linux blackmagic-desktop-video | |
aarch64-linux FIL-plugins | |
x86_64-darwin haskellPackages.writer-cps-exceptions | |
aarch64-darwin libretro.beetle-pcfx | |
x86_64-darwin perl536Packages.TestFileShareDir | |
x86_64-linux python311Packages.nextdns | |
aarch64-darwin haskellPackages.dynamic-graph | |
x86_64-linux haskellPackages.test-framework-th | |
x86_64-darwin matrix-sdk-crypto-nodejs-0_1_0-beta_3 | |
x86_64-darwin mopidy-mpd | |
aarch64-darwin python311Packages.here-transit | |
aarch64-linux python312Packages.envoy-utils | |
aarch64-linux rubyPackages.cocoapods-testing | |
aarch64-linux perl538Packages.LEOCHARREDebug | |
x86_64-linux emacsPackages.org-timeblock | |
aarch64-linux rubyPackages_3_2.jekyll-gist | |
aarch64-darwin perl536Packages.DataUtil | |
aarch64-linux python311Packages.import-expression | |
x86_64-darwin python312Packages.azure-mgmt-subscription | |
aarch64-darwin haskellPackages.token-limiter-concurrent | |
aarch64-linux linuxKernel.packages.linux_6_7.evdi | |
x86_64-darwin python312Packages.types-aiobotocore-route53-recovery-cluster | |
aarch64-linux rdma-core | |
x86_64-linux haskellPackages.dependent-sum-aeson-orphans | |
x86_64-linux actdiag | |
aarch64-linux python311Packages.dlinfo | |
aarch64-darwin python311Packages.wavefile | |
x86_64-linux rubyPackages_3_3.jemoji | |
x86_64-darwin emacsPackages.stylus-mode | |
x86_64-darwin haskellPackages.amazonka-ram | |
x86_64-darwin haskellPackages.hs-opentelemetry-exporter-in-memory | |
x86_64-linux postgresqlJitPackages.pg_ivm | |
aarch64-linux python311Packages.iso8601 | |
aarch64-darwin bsync | |
aarch64-darwin perl538Packages.StringInterpolateNamed | |
x86_64-linux terraform-providers.azuread | |
x86_64-linux haskell.compiler.ghc865Binary | |
x86_64-linux python312Packages.deep-translator | |
x86_64-linux spandsp | |
x86_64-linux python311Packages.baron | |
x86_64-linux haskellPackages.lazy-search | |
aarch64-darwin python312Packages.mypy-boto3-comprehendmedical | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-141 | |
aarch64-linux postgresql12Packages.postgis | |
x86_64-linux python311Packages.xgboost | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.eds | |
aarch64-darwin python312Packages.types-aiobotocore-autoscaling-plans | |
aarch64-darwin python312Packages.types-aiobotocore-events | |
aarch64-linux adms | |
x86_64-darwin ktop | |
aarch64-darwin haskellPackages.proc-net | |
x86_64-darwin python312Packages.mkdocs-jupyter | |
x86_64-darwin haskellPackages.rabocsv2qif | |
x86_64-linux emacsPackages.sunrise-commander | |
aarch64-linux SDL_compat | |
x86_64-linux xorg.imake | |
x86_64-linux rubyPackages_3_1.scrypt | |
x86_64-darwin lua52Packages.luaunit | |
x86_64-linux marwaita-peppermint | |
x86_64-darwin haskell.compiler.native-bignum.ghc928 | |
aarch64-linux isomd5sum | |
x86_64-linux haskellPackages.DataVersion | |
aarch64-linux clickable | |
x86_64-linux python312Packages.pytest-httpbin | |
aarch64-darwin emacsPackages.math-tex-convert | |
aarch64-linux python312Packages.jsonnet | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.devicetree | |
x86_64-linux perl538Packages.POSIXAtFork | |
x86_64-darwin python311Packages.keyrings-passwordstore | |
x86_64-darwin vimPlugins.LanguageTool-nvim | |
aarch64-darwin emacsPackages.ssh | |
aarch64-darwin goose | |
aarch64-linux nixos-bgrt-plymouth | |
x86_64-darwin perl536Packages.TestDifferences | |
x86_64-darwin python311Packages.aiomisc | |
aarch64-linux qmplay2 | |
aarch64-darwin bcg729 | |
aarch64-linux home-assistant-component-tests.transport_nsw | |
aarch64-darwin python311Packages.whisper | |
x86_64-linux python312Packages.sacrebleu | |
aarch64-linux haskellPackages.vector-mmap | |
x86_64-linux pulseaudio | |
x86_64-darwin python312Packages.progressbar2 | |
x86_64-darwin vimPlugins.zenburn | |
x86_64-darwin python311Packages.pcpp | |
x86_64-linux python312Packages.piccolo-theme | |
x86_64-linux haskellPackages.gnuidn | |
x86_64-linux llvmPackages_14.stdenv | |
aarch64-linux alsa-lib | |
aarch64-linux perl536Packages.StringUtil | |
x86_64-darwin home-assistant-custom-components.govee-lan | |
x86_64-darwin rubyPackages_3_3.ruby-vips | |
x86_64-darwin emacsPackages.flycheck-dogma | |
x86_64-linux perl538Packages.CatalystPluginFormValidatorSimple | |
aarch64-linux python311Packages.varint | |
aarch64-linux synaesthesia | |
aarch64-linux gap-full | |
aarch64-darwin haskellPackages.async-extra | |
aarch64-darwin python311Packages.uptime-kuma-monitor | |
aarch64-linux luaPackages.lrexlib-posix | |
x86_64-darwin haskellPackages.bytestring-mmap | |
aarch64-linux postgresql12JitPackages.timescaledb-apache | |
x86_64-darwin perl536Packages.DataCompactReadonly | |
x86_64-darwin perl538Packages.PlackMiddlewareSession | |
x86_64-darwin haskellPackages.number-show | |
x86_64-linux haskellPackages.streaming-utils | |
aarch64-darwin cirrus-cli | |
aarch64-linux emacsPackages.scheme-complete | |
x86_64-darwin python311Packages.debian | |
aarch64-linux rubyPackages_3_3.snappy | |
aarch64-linux haskellPackages.x86-64bit | |
x86_64-darwin vimPlugins.space-vim | |
x86_64-linux linuxKernel.packages.linux_6_7_hardened.ax99100 | |
aarch64-linux emacsPackages.mint-mode | |
aarch64-darwin python311Packages.versioningit | |
x86_64-darwin python311Packages.vncdo | |
aarch64-darwin python312Packages.uamqp | |
aarch64-linux chiaki4deck | |
aarch64-linux vimPlugins.neotest-pest | |
aarch64-linux python311Packages.kivy-garden | |
aarch64-darwin pspg | |
aarch64-linux python312Packages.django_4 | |
aarch64-darwin haskellPackages.aws-xray-client-wai | |
x86_64-darwin rubyPackages_3_2.net-pop | |
x86_64-linux linuxPackages_5_4_hardened.ax99100 | |
x86_64-linux btc-rpc-explorer | |
aarch64-darwin wasm-bindgen-cli | |
aarch64-linux python311Packages.monero | |
x86_64-linux wob | |
aarch64-linux chickenPackages_5.chickenEggs.srfi-78 | |
aarch64-darwin python311Packages.djangorestframework-dataclasses | |
x86_64-darwin python311Packages.sentence-transformers | |
aarch64-linux emacsPackages.dist-file-mode | |
x86_64-linux emacsPackages.org-random-todo | |
x86_64-linux python311Packages.fastdiff | |
aarch64-linux gops | |
x86_64-linux emacsPackages.anki-editor-view | |
x86_64-darwin haskellPackages.ObjectName | |
aarch64-darwin python312Packages.faiss | |
x86_64-linux tarsnap | |
aarch64-darwin haskellPackages.amazonka-certificatemanager-pca | |
aarch64-darwin haskellPackages.email-validate | |
aarch64-darwin vimPlugins.winshift-nvim | |
aarch64-darwin emacsPackages.mark-yank | |
aarch64-darwin python312Packages.black | |
x86_64-linux python312Packages.types-aiobotocore-fms | |
x86_64-darwin python311Packages.pytorch-metric-learning | |
x86_64-linux python312Packages.pyschedule | |
aarch64-darwin python312Packages.datasets | |
aarch64-linux perl538Packages.ReturnValue | |
x86_64-linux python311Packages.actdiag | |
aarch64-linux haskellPackages.unpacked-maybe-text | |
x86_64-darwin python312Packages.httpcore | |
aarch64-linux perl536Packages.Wx | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.liquidtux | |
aarch64-darwin emacsPackages.orgit | |
aarch64-linux python311Packages.isosurfaces | |
aarch64-linux home-assistant-component-tests.aranet | |
aarch64-linux haskellPackages.annotated-exception | |
aarch64-linux antlr | |
x86_64-linux perl536Packages.ApacheSession | |
x86_64-linux comfortaa | |
aarch64-linux structorizer | |
x86_64-linux python311Packages.ormar | |
aarch64-darwin python311Packages.xkcdpass | |
x86_64-linux crumbs | |
x86_64-darwin epstool | |
x86_64-linux python311Packages.jsonstreams | |
aarch64-linux pretendard-gov | |
aarch64-linux python312Packages.dufte | |
aarch64-linux emacsPackages.el-init-viewer | |
aarch64-darwin python311Packages.curve25519-donna | |
x86_64-darwin emacsPackages.scala-ts-mode | |
aarch64-linux gomacro | |
x86_64-darwin python311Packages.funcsigs | |
aarch64-darwin prometheus-sabnzbd-exporter | |
aarch64-darwin python311Packages.mike | |
x86_64-linux python311Packages.types-aiobotocore-sagemaker-edge | |
aarch64-darwin emacsPackages.packed | |
x86_64-darwin postgresql14Packages.pg_cron | |
x86_64-linux db | |
aarch64-darwin perl536Packages.MooXSingleton | |
aarch64-darwin theLoungePlugins.themes.hexified | |
x86_64-linux vimPlugins.gv-vim | |
x86_64-linux haskellPackages.amazonka-clouddirectory | |
x86_64-darwin catch | |
x86_64-darwin apacheHttpdPackages.mod_python | |
x86_64-linux dbmate | |
x86_64-linux emacsPackages.ring-mode | |
aarch64-linux markdown-anki-decks | |
aarch64-darwin python311Packages.ufo2ft | |
x86_64-darwin haskellPackages.language-c-quote | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.openrazer | |
x86_64-darwin python312Packages.mypy-boto3-apigateway | |
aarch64-linux python311Packages.bsuite | |
x86_64-linux rubyPackages_3_1.keystone-engine | |
aarch64-linux haskellPackages.gi-cogl | |
x86_64-darwin perl538Packages.TestWarn | |
x86_64-linux rubyPackages_3_1.cocoapods-git_url_rewriter | |
x86_64-darwin metabigor | |
aarch64-linux emacsPackages.helm-org-rifle | |
aarch64-darwin haskellPackages.hercules-ci-api-agent | |
aarch64-linux python312Packages.luqum | |
x86_64-darwin emacsPackages.proxy-mode | |
x86_64-darwin geolite-legacy | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.asus-wmi-sensors | |
x86_64-darwin emacsPackages.alt-codes | |
x86_64-linux kanata | |
aarch64-darwin llvmPackages.stdenv | |
aarch64-linux wgautomesh | |
aarch64-darwin python312Packages.types-aiobotocore-firehose | |
aarch64-darwin python311Packages.protobuf | |
aarch64-linux tiledb | |
aarch64-linux linuxKernel.packages.linux_lqx.netatop | |
x86_64-linux haskellPackages.cdeps | |
x86_64-linux haskellPackages.indexed-extras | |
x86_64-linux sympow | |
aarch64-darwin perl536Packages.TestLeakTrace | |
aarch64-linux btanks | |
x86_64-linux perl538Packages.StringMkPasswd | |
x86_64-darwin python311Packages.warcio | |
x86_64-darwin emacsPackages.scratch-palette | |
aarch64-linux haskellPackages.md5 | |
x86_64-linux ccd2iso | |
x86_64-darwin castnow | |
x86_64-linux aspellDicts.hu | |
x86_64-darwin s3fs | |
x86_64-darwin vimPlugins.telescope-ultisnips-nvim | |
x86_64-linux plasma5Packages.qt5.qtserialbus | |
x86_64-linux haskellPackages.mighty-metropolis | |
aarch64-darwin wireguard-vanity-keygen | |
aarch64-darwin haskellPackages.typeof | |
x86_64-linux linuxquota | |
aarch64-linux python311Packages.faust-cchardet | |
x86_64-linux python312Packages.mypy-boto3-codeguru-security | |
aarch64-darwin haskellPackages.amazonka-dynamodb-streams | |
aarch64-darwin dijo | |
x86_64-darwin killerbee | |
x86_64-darwin emacsPackages.outline-magic | |
x86_64-linux python311Packages.smbus2 | |
aarch64-linux python312Packages.htseq | |
aarch64-linux perl538Packages.HTTPHeaderParserXS | |
aarch64-darwin perl536Packages.StructDumb | |
x86_64-darwin emacsPackages.groovy-imports | |
x86_64-linux emacsPackages.spacebar | |
x86_64-linux python311Packages.types-aiobotocore-swf | |
x86_64-linux python312Packages.graphql-relay | |
aarch64-linux apostrophe | |
aarch64-linux luajitPackages.std-normalize | |
x86_64-linux lxd-unwrapped | |
x86_64-linux perl538Packages.BotTraining | |
x86_64-darwin python311Packages.pyyardian | |
x86_64-darwin clubhouse-cli | |
aarch64-linux gn | |
x86_64-linux vimPlugins.tokyonight-nvim | |
aarch64-linux dapper | |
x86_64-darwin ctx | |
aarch64-linux python312Packages.zigpy-xbee | |
aarch64-linux python312Packages.meson-python | |
x86_64-linux python311Packages.jaraco-functools | |
x86_64-darwin python311Packages.yangson | |
x86_64-darwin tcpcrypt | |
aarch64-linux visualvm | |
x86_64-darwin python311Packages.tasklib | |
aarch64-linux git-secrets | |
aarch64-darwin haskell.compiler.native-bignum.ghc963 | |
x86_64-darwin vimPlugins.vim-sayonara | |
aarch64-darwin libretro.snes9x2005-plus | |
aarch64-darwin roadrunner | |
aarch64-linux fallout-ce | |
aarch64-linux postgresqlPackages.tsearch_extras | |
aarch64-darwin emacsPackages.caroline-theme | |
aarch64-darwin emacsPackages.flycheck-checkbashisms | |
x86_64-linux haskellPackages.api-tools | |
aarch64-darwin python311Packages.jsonpath-ng | |
aarch64-darwin haskellPackages.hlint | |
aarch64-darwin marwaita-pop_os | |
aarch64-darwin curtail | |
aarch64-linux luajitPackages.binaryheap | |
aarch64-darwin haskellPackages.stable-marriage | |
x86_64-darwin vimPlugins.popup-nvim | |
aarch64-linux linuxKernel.packages.linux_libre.new-lg4ff | |
x86_64-darwin haskellPackages.generic-optics-lite | |
x86_64-linux haskellPackages.if-instance | |
aarch64-darwin emacsPackages.gif-screencast | |
x86_64-darwin haskellPackages.th-strict-compat | |
x86_64-linux surelog | |
aarch64-darwin go-bindata | |
x86_64-linux haskellPackages.twirp | |
aarch64-darwin directx-shader-compiler | |
x86_64-linux home-assistant-component-tests.analytics | |
x86_64-linux python312Packages.s3-credentials | |
x86_64-darwin haskellPackages.patch | |
aarch64-darwin haskellPackages.sized-wrapper-text | |
aarch64-linux melonDS | |
x86_64-linux emacsPackages.ameba | |
aarch64-linux haskellPackages.amazonka-ssm-contacts | |
x86_64-linux wlay | |
x86_64-linux emacsPackages.erc-image | |
aarch64-linux sgt-puzzles | |
x86_64-linux gnomeExtensions.always-indicator | |
aarch64-darwin emacsPackages.elpa-deploy | |
aarch64-darwin haskellPackages.gi-gst | |
x86_64-linux emacsPackages.cff | |
aarch64-darwin gretl | |
x86_64-darwin chickenPackages_5.chickenEggs.message-digest-primitive | |
aarch64-linux llvmPackages_9.libunwind | |
x86_64-linux optifinePackages.optifine_1_10 | |
aarch64-linux vimPlugins.skim | |
aarch64-darwin qt6Packages.qtwebsockets | |
x86_64-darwin frotz | |
x86_64-darwin libretro.picodrive | |
x86_64-darwin emacsPackages.mykie | |
aarch64-darwin lxqt.lxqt-archiver | |
aarch64-darwin vimPlugins.nvim-dap-virtual-text | |
aarch64-linux anytone-emu | |
aarch64-linux mbqn | |
x86_64-linux linuxPackages.fanout | |
x86_64-linux metamath | |
x86_64-darwin perl536Packages.HTTPLite | |
aarch64-linux noise-repellent | |
x86_64-darwin unify | |
aarch64-linux waon | |
aarch64-linux postgresql16JitPackages.smlar | |
x86_64-linux emacsPackages.xelb | |
aarch64-darwin emacsPackages.nezburn-theme | |
aarch64-darwin perl536Packages.YAMLPP | |
x86_64-darwin node-glob | |
x86_64-darwin hexyl | |
aarch64-linux home-assistant-component-tests.fan | |
aarch64-darwin vimPlugins.vim-lsp-cxx-highlight | |
aarch64-darwin python312Packages.log-symbols | |
aarch64-linux llvmPackages_16.clang-manpages | |
aarch64-linux emacsPackages.quilt | |
aarch64-darwin python311Packages.types-aiobotocore-identitystore | |
aarch64-linux home-assistant-component-tests.renault | |
aarch64-darwin haskellPackages.amazonka-mediastore-dataplane | |
aarch64-darwin python312Packages.mullvad-api | |
x86_64-linux python311Packages.imutils | |
x86_64-darwin python312Packages.uonet-request-signer-hebe | |
aarch64-linux haskell.compiler.native-bignum.ghc945 | |
x86_64-darwin python312Packages.weconnect | |
x86_64-darwin tests.cc-wrapper.llvmTests.llvmPackages_15.clang | |
x86_64-darwin haskellPackages.om-show | |
aarch64-darwin emacsPackages.counsel-tramp | |
x86_64-darwin soil | |
aarch64-linux sysvtools | |
aarch64-darwin python311Packages.apscheduler | |
x86_64-darwin python311Packages.miauth | |
x86_64-linux haskellPackages.x11-xim | |
aarch64-darwin haskellPackages.hasktorch-types-th | |
aarch64-darwin rubyPackages_3_2.hitimes | |
x86_64-darwin python312Packages.compressai | |
x86_64-darwin haskellPackages.uri-bytestring-aeson | |
x86_64-darwin rubyPackages_3_1.actionview | |
x86_64-darwin perl536Packages.EmailAbstract | |
x86_64-linux python311Packages.diff-match-patch | |
x86_64-linux rubyPackages.nap | |
aarch64-darwin perl538Packages.MooseXGetopt | |
aarch64-linux haskellPackages.free-vector-spaces | |
aarch64-linux perl538Packages.BFlags | |
x86_64-linux python312Packages.e3-core | |
x86_64-darwin google-java-format | |
aarch64-linux python311Packages.nbmerge | |
aarch64-linux kermit | |
x86_64-darwin chickenPackages_5.chickenEggs.skiplists | |
aarch64-darwin python311Packages.zope-deprecation | |
aarch64-linux haskellPackages.bytestring-builder | |
aarch64-linux postgresqlPackages.hypopg | |
x86_64-darwin perl538Packages.DataValidateIP | |
aarch64-darwin perl538Packages.EncodeNewlines | |
x86_64-darwin haskellPackages.double-conversion | |
x86_64-linux emacsPackages.fetch | |
x86_64-linux python312Packages.ovoenergy | |
aarch64-darwin vimPlugins.utl-vim | |
x86_64-darwin emacsPackages.evil-lion | |
aarch64-linux plasma5Packages.keysmith | |
x86_64-darwin perl538Packages.DBIxSearchBuilder | |
aarch64-linux haskellPackages.hw-mquery | |
x86_64-linux haskellPackages.gasp | |
aarch64-linux chickenPackages_5.chickenEggs.gl-math | |
aarch64-darwin emacsPackages.cacoo | |
aarch64-darwin python312Packages.regress | |
x86_64-darwin emacsPackages.sakura-theme | |
x86_64-linux haskellPackages.setops | |
x86_64-darwin vimPlugins.vim-jsdoc | |
aarch64-linux doclifter | |
aarch64-darwin python311Packages.django-pglocks | |
aarch64-darwin haskellPackages.vec | |
aarch64-linux telegraf | |
x86_64-linux dejavu_fontsEnv | |
aarch64-linux python312Packages.pytest-plt | |
x86_64-darwin chickenPackages_5.chickenEggs.simple-logger | |
aarch64-darwin libcomps | |
x86_64-linux emacsPackages.propfont-mixed | |
x86_64-darwin python311Packages.sqlparse | |
x86_64-darwin emacsPackages.transfer-sh | |
aarch64-linux renderizer | |
x86_64-linux powershell | |
x86_64-darwin strip-nondeterminism | |
x86_64-linux perl536Packages.AnyEventAIO | |
aarch64-darwin kubecolor | |
x86_64-darwin python312Packages.types-aiobotocore-sagemaker-geospatial | |
aarch64-linux haskellPackages.Shrub | |
x86_64-darwin curie | |
aarch64-linux hunspellDicts.fr-moderne | |
x86_64-darwin vimPlugins.tabby-nvim | |
aarch64-linux python311Packages.scrapy-splash | |
x86_64-linux hax11 | |
x86_64-linux chickenPackages_5.chickenEggs.ck-macros | |
x86_64-darwin durden | |
aarch64-darwin python311Packages.mypy-boto3-appintegrations | |
aarch64-linux python311Packages.mypy-boto3-apigateway | |
x86_64-darwin haskellPackages.cryptostore | |
aarch64-linux perl536Packages.TestMockClass | |
x86_64-darwin llvmPackages_11.clang-unwrapped | |
x86_64-darwin python312Packages.tago | |
x86_64-darwin haskellPackages.gnuidn | |
aarch64-linux aml | |
aarch64-linux openiscsi | |
x86_64-darwin advancecomp | |
x86_64-darwin python312Packages.types-aiobotocore-memorydb | |
aarch64-linux python312Packages.metakernel | |
x86_64-linux chickenPackages_5.chickenEggs.nrepl | |
aarch64-linux python312Packages.colorspacious | |
aarch64-darwin numix-gtk-theme | |
aarch64-linux python311Packages.peft | |
x86_64-linux python312Packages.pydruid | |
aarch64-linux gsocket | |
x86_64-linux php83Packages.phing | |
aarch64-linux linuxKernel.packages.linux_hardened.rtl8812au | |
x86_64-linux python312Packages.pychm | |
aarch64-linux gh-cal | |
aarch64-linux gImageReader | |
x86_64-darwin haskellPackages.hie-compat | |
x86_64-darwin python312Packages.google-auth-oauthlib | |
aarch64-linux libinjection | |
x86_64-darwin python311Packages.pytest-helpers-namespace | |
x86_64-darwin emacsPackages.ppp | |
x86_64-darwin perl536Packages.LEOCHARRECLI | |
x86_64-darwin python312Packages.requests-http-signature | |
x86_64-linux vscode-extensions.bierner.markdown-mermaid | |
x86_64-linux python311Packages.types-aiobotocore-ses | |
aarch64-linux gupnp-tools | |
x86_64-linux metastore | |
x86_64-darwin python311Packages.mypy-boto3-cloudwatch | |
aarch64-darwin python311Packages.types-aiobotocore-guardduty | |
aarch64-darwin python312Packages.kajiki | |
aarch64-darwin python312Packages.requirements-detector | |
x86_64-darwin icdiff | |
x86_64-linux dynein | |
x86_64-linux python311Packages.mypy-boto3-mediapackage | |
aarch64-darwin python311Packages.oci | |
x86_64-linux libavc1394 | |
aarch64-darwin haskellPackages.graphula | |
aarch64-linux xtreemfs | |
aarch64-linux gnupg-pkcs11-scd | |
x86_64-linux squishyball | |
aarch64-linux perl536Packages.NetCIDRLite | |
x86_64-darwin fermyon-spin | |
aarch64-linux python311Packages.ipytablewidgets | |
x86_64-darwin haskellPackages.jose-jwt | |
aarch64-linux python312Packages.chacha20poly1305 | |
aarch64-linux haskellPackages.ws-chans | |
x86_64-darwin haskellPackages.data-default-instances-unordered-containers | |
aarch64-linux xfce.gigolo | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.libpulse-simple | |
aarch64-linux robustirc-bridge | |
aarch64-darwin emacsPackages.omni-kill | |
x86_64-linux vscode-extensions.mgt19937.typst-preview | |
x86_64-linux emacsPackages.elpher | |
aarch64-linux haskellPackages.ghc-stdin | |
x86_64-linux python311Packages.qpsolvers | |
x86_64-linux python312Packages.anyconfig | |
x86_64-linux linuxKernel.packages.linux_xanmod.evdi | |
x86_64-linux emacsPackages.ini-mode | |
x86_64-darwin fig2dev | |
x86_64-darwin python312Packages.libpyvivotek | |
x86_64-linux tectonic | |
aarch64-linux plasma5Packages.attica | |
x86_64-linux haskellPackages.lhs2html | |
aarch64-linux python311Packages.pysimplegui | |
aarch64-darwin haskellPackages.safe-failure | |
x86_64-linux sbclPackages.cl-utilities | |
aarch64-darwin python311Packages.pytest-param-files | |
x86_64-linux linuxPackages.ax99100 | |
aarch64-linux haskellPackages.comonad-extras | |
x86_64-linux perl536Packages.DataInteger | |
x86_64-linux python312Packages.livelossplot | |
aarch64-linux haskellPackages.unboxing-vector | |
x86_64-darwin chickenPackages_5.chickenEggs.moremacros | |
x86_64-darwin gjs | |
aarch64-linux emacsPackages.metal-archives-shopping-list | |
aarch64-darwin python312Packages.rfc6555 | |
x86_64-darwin omni-gtk-theme | |
aarch64-linux haskellPackages.katip-wai | |
aarch64-darwin zpix-pixel-font | |
x86_64-linux python311Packages.us | |
aarch64-darwin perl538Packages.HTMLForm | |
aarch64-linux python312Packages.pyduke-energy | |
aarch64-darwin python311Packages.termgraph | |
x86_64-darwin vimPlugins.increment-activator | |
x86_64-darwin emacsPackages.search-web | |
aarch64-darwin python311Packages.hstspreload | |
x86_64-darwin python311Packages.simplehound | |
aarch64-darwin perl538Packages.StringShellQuote | |
x86_64-linux prodigal | |
aarch64-linux python312Packages.pyhamcrest | |
x86_64-linux gnomeExtensions.gtile | |
aarch64-linux vue | |
aarch64-darwin haskellPackages.math-functions | |
aarch64-linux haskellPackages.text-show | |
aarch64-linux alure2 | |
aarch64-darwin python311Packages.mypy-boto3-iotdeviceadvisor | |
aarch64-linux rubyPackages.simplecov-html | |
x86_64-linux tree-sitter-grammars.tree-sitter-scss | |
aarch64-darwin python311Packages.types-retry | |
aarch64-darwin python312Packages.grappelli-safe | |
aarch64-linux python312Packages.sarge | |
x86_64-linux haskellPackages.hls-test-utils | |
aarch64-darwin chickenPackages_5.chickenEggs.msgpack | |
x86_64-linux emacsPackages.dired-imenu | |
aarch64-linux dirb | |
x86_64-darwin haskellPackages.persistent-qq | |
aarch64-linux postgres-lsp | |
x86_64-darwin rubyPackages.git | |
aarch64-linux haskellPackages.circ | |
x86_64-linux libva1-minimal | |
aarch64-darwin postgresql16Packages.pgaudit | |
aarch64-darwin haskellPackages.quick-generator | |
aarch64-darwin haskellPackages.rio | |
x86_64-linux python311Packages.pykaleidescape | |
aarch64-linux emacsPackages.ytdious | |
x86_64-linux mopidy-mpd | |
x86_64-linux perl538Packages.SoftwareLicenseCCpack | |
x86_64-darwin python312Packages.pydbus | |
x86_64-linux python311Packages.mypy-boto3-autoscaling | |
x86_64-linux senpai | |
aarch64-darwin vimPlugins.emmet-vim | |
x86_64-darwin python311Packages.bravado-core | |
aarch64-darwin python312Packages.wavinsentio | |
x86_64-darwin lua54Packages.luassert | |
aarch64-linux odp-dpdk | |
aarch64-linux openblasCompat | |
aarch64-linux mono6 | |
aarch64-darwin figlet | |
x86_64-linux audaciousQt5 | |
aarch64-darwin qm-dsp | |
aarch64-darwin mailctl | |
x86_64-darwin swig2 | |
aarch64-darwin kcgi | |
aarch64-linux libsoup_3 | |
x86_64-darwin vimPlugins.oxocarbon-nvim | |
aarch64-linux python312Packages.python-registry | |
x86_64-darwin python312Packages.pyxiaomigateway | |
x86_64-linux cd-hit | |
aarch64-linux perl536Packages.TestPod | |
aarch64-darwin emacsPackages.pcap-mode | |
aarch64-linux python311Packages.glcontext | |
aarch64-linux rubyPackages_3_2.ffi-rzmq-core | |
x86_64-linux python311Packages.georss-tfs-incidents-client | |
aarch64-linux perl536Packages.TextvFileasData | |
aarch64-darwin python312Packages.anywidget | |
x86_64-linux fllog | |
aarch64-darwin prometheus-nextcloud-exporter | |
aarch64-linux python311Packages.anyconfig | |
x86_64-linux wayland-utils | |
x86_64-linux haxePackages.hxnodejs_6 | |
x86_64-linux linuxPackages_6_7_hardened.rtl8188eus-aircrack | |
x86_64-linux freeimage | |
x86_64-linux aml | |
aarch64-linux linuxPackages_5_4_hardened.jool | |
x86_64-linux haskellPackages.amazonka-elastictranscoder | |
aarch64-linux linuxPackages_6_5_hardened.linux-gpib | |
x86_64-linux rubyPackages_3_2.execjs | |
aarch64-linux aptdec | |
aarch64-linux postgresqlPackages.pg_hll | |
aarch64-darwin postgresql13JitPackages.repmgr | |
aarch64-linux python311Packages.lightgbm | |
x86_64-linux imgurbash2 | |
aarch64-darwin haskellPackages.pagure | |
x86_64-linux perl536Packages.CatalystAuthenticationCredentialHTTP | |
aarch64-darwin rubyPackages_3_2.sawyer | |
x86_64-darwin vimPlugins.eva01-vim | |
x86_64-linux python312Packages.types-aiobotocore-license-manager-user-subscriptions | |
aarch64-linux haskellPackages.lift-type | |
x86_64-darwin asciidoc-full-with-plugins | |
x86_64-linux rfkill_udev | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.lenovo-legion-module | |
aarch64-linux haskellPackages.ua-parser | |
aarch64-linux python311Packages.crownstone-uart | |
aarch64-linux emacsPackages.orthodox-christian-new-calendar-holidays | |
x86_64-linux linuxKernel.packages.linux_6_7_hardened.stdenv | |
x86_64-darwin emacsPackages.pretty-mode | |
x86_64-linux emacsPackages.slack | |
aarch64-darwin haskellPackages.Spock-digestive | |
x86_64-darwin python312Packages.types-enum34 | |
x86_64-linux perl538Packages.UUIDURandom | |
x86_64-darwin fast-ssh | |
x86_64-darwin crystal_1_8 | |
aarch64-linux haskellPackages.webdriver | |
aarch64-linux haskellPackages.text-render | |
x86_64-linux linuxPackages_6_6_hardened.system76 | |
aarch64-darwin python311Packages.cmake | |
aarch64-darwin python311Packages.hyperframe | |
aarch64-linux zktree | |
aarch64-linux python311Packages.growattserver | |
aarch64-linux python311Packages.stripe | |
x86_64-darwin python312Packages.python-technove | |
aarch64-linux haskellPackages.primitive-serial | |
x86_64-linux haskellPackages.yesod-form-bootstrap4 | |
x86_64-linux linuxPackages_latest.nvidia_x11_stable_open | |
x86_64-darwin emacsPackages.py-smart-operator | |
x86_64-darwin python311Packages.sendgrid | |
x86_64-darwin python312Packages.dj-database-url | |
x86_64-darwin haskellPackages.cursor | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.MagickWand | |
x86_64-darwin python312Packages.vobject | |
x86_64-linux vesktop | |
x86_64-darwin python311Packages.iapws | |
x86_64-linux emacsPackages.levenshtein | |
x86_64-darwin emacsPackages.ob-p5js | |
x86_64-linux haskell.compiler.ghc947 | |
aarch64-darwin haskellPackages.comonad-transformers | |
aarch64-darwin fio | |
aarch64-darwin rubyPackages_3_1.sqlite3 | |
x86_64-darwin python312Packages.cligj | |
aarch64-darwin perl536Packages.StringCompareConstantTime | |
x86_64-linux emacsPackages.blox | |
aarch64-darwin asdf_3_3 | |
aarch64-linux perl538Packages.BotTrainingMegaHAL | |
x86_64-linux vimPlugins.coc-spell-checker | |
x86_64-darwin hyprpicker | |
aarch64-linux coqPackages.mathcomp-infotheo | |
x86_64-linux clash-meta | |
aarch64-linux haskellPackages.dhall-openapi | |
aarch64-darwin litecoin | |
aarch64-linux libretro.ppsspp | |
aarch64-linux python311Packages.typeguard | |
aarch64-linux python311Packages.dissect-hypervisor | |
x86_64-linux yakut | |
aarch64-darwin openssh_gssapi | |
aarch64-darwin emacsPackages.i3bar | |
x86_64-linux perl538Packages.OpenAPIClient | |
x86_64-linux aria2 | |
x86_64-linux php | |
aarch64-linux haskellPackages.hopfield-networks | |
x86_64-darwin haskellPackages.migrant-hdbc | |
x86_64-darwin emacsPackages.org-outlook | |
x86_64-darwin reshape | |
aarch64-darwin perl536Packages.MooseXTypesStringlike | |
aarch64-linux adoptopenjdk-hotspot-bin-8 | |
aarch64-linux guile-aspell | |
x86_64-linux perl536Packages.PPIxUtilities | |
aarch64-linux linuxKernel.packages.linux_6_1.usbip | |
aarch64-linux snapper | |
aarch64-darwin vobsub2srt | |
x86_64-darwin python311Packages.upcloud-api | |
x86_64-darwin vimPlugins.mason-lspconfig-nvim | |
aarch64-darwin emacsPackages.org-bookmarks-extractor | |
aarch64-darwin haskellPackages.api-field-json-th | |
x86_64-darwin haskellPackages.bitarray | |
x86_64-linux libretro.play | |
x86_64-linux mono4 | |
aarch64-linux python311Packages.python-doi | |
aarch64-darwin haskellPackages.fixed | |
x86_64-linux roboto | |
aarch64-darwin rubocop | |
aarch64-darwin pnpm-lock-export | |
aarch64-darwin lint-staged | |
x86_64-darwin aspellDicts.sc | |
x86_64-darwin python311Packages.coincurve | |
x86_64-darwin hunspellDicts.hu-hu | |
x86_64-darwin python311Packages.pywatchman | |
x86_64-darwin qbec | |
aarch64-darwin client-ip-echo | |
aarch64-linux waffle | |
aarch64-linux emacsPackages.ranger | |
x86_64-darwin python312Packages.sphinx-autobuild | |
x86_64-linux python312Packages.duckduckgo-search | |
x86_64-linux emacsPackages.flyspell-popup | |
aarch64-darwin perl538Packages.BHooksEndOfScope | |
x86_64-darwin sshx-server | |
aarch64-darwin hocr-tools | |
aarch64-darwin python312Packages.quantiphy-eval | |
x86_64-linux sweethome3d.application | |
x86_64-darwin python312Packages.xlrd | |
x86_64-darwin hunspellDicts.pl-pl | |
x86_64-darwin haskellPackages.libssh2 | |
aarch64-linux haskellPackages.hist-pl-transliter | |
aarch64-linux python311Packages.cogapp | |
x86_64-linux python311Packages.python-pam | |
x86_64-darwin perl538Packages.PodWeaver | |
x86_64-linux haskellPackages.ipython-kernel | |
x86_64-linux python312Packages.jaraco-text | |
aarch64-darwin protoc-gen-prost-serde | |
aarch64-linux python312Packages.aqualogic | |
aarch64-darwin python312Packages.pydantic-core | |
x86_64-darwin rubyPackages_3_3.ruby_parser | |
aarch64-linux emacsPackages.wgrep-pt | |
aarch64-linux harmonia | |
aarch64-darwin haskellPackages.shake-bench | |
x86_64-linux xorg.xdriinfo | |
x86_64-linux python312Packages.iammeter | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.nct6687d | |
x86_64-linux cudaPackages_11.cutensor_1_6 | |
x86_64-darwin libdsk | |
aarch64-linux python312Packages.twitter-common-dirutil | |
aarch64-darwin python311Packages.lyricwikia | |
x86_64-linux python312Packages.prov | |
x86_64-linux python312Packages.types-aiobotocore-privatenetworks | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.ddcci-driver | |
x86_64-linux gnome.gnome-klotski | |
aarch64-linux linuxKernel.packages.linux_hardened.zfs | |
x86_64-linux python312Packages.minidb | |
aarch64-linux haskellPackages.canteven-config | |
x86_64-linux python312Packages.messagebird | |
x86_64-linux python311Packages.flask-babelex | |
aarch64-linux python311Packages.entry-points-txt | |
x86_64-linux linuxKernel.packages.linux_hardened.fanout | |
aarch64-darwin haskellPackages.progress | |
x86_64-darwin emacsPackages.html5-schema | |
aarch64-darwin qt6Packages.qtwebview | |
aarch64-linux perl536Packages.ModuleBuildPluggablePPPort | |
aarch64-linux plasma5Packages.qt5.qtlocation | |
aarch64-darwin snowcat | |
x86_64-darwin libbgcode | |
x86_64-linux lua54Packages.luasec | |
x86_64-darwin rubyPackages_3_1.jekyll-favicon | |
x86_64-linux python311Packages.repeated-test | |
x86_64-linux kor | |
aarch64-darwin sphinx-serve | |
aarch64-darwin mopidy-spotify | |
x86_64-linux xv | |
x86_64-darwin emacsPackages.list-packages-ext | |
aarch64-linux haskellPackages.vector-split | |
x86_64-linux gnomeExtensions.primary-input-on-lockscreen | |
x86_64-linux plzip | |
aarch64-darwin haskellPackages.xml-extractors | |
x86_64-darwin python312Packages.property-manager | |
x86_64-linux python311Packages.types-aiobotocore-docdb | |
aarch64-darwin fswatch | |
x86_64-linux emacsPackages.smeargle | |
aarch64-linux hunspellDicts.sv_SE | |
x86_64-linux python312Packages.mypy-boto3-codestar | |
x86_64-linux advcpmv | |
aarch64-darwin haskellPackages.control-bool | |
aarch64-linux dnscrypt-proxy | |
x86_64-darwin perl538Packages.libnet | |
x86_64-linux rubyPackages_3_1.pastel | |
aarch64-linux plasma5Packages.libkgapi | |
aarch64-linux moonfire-nvr | |
x86_64-linux python311Packages.ninja | |
aarch64-linux python311Packages.ukkonen | |
aarch64-darwin virt-manager | |
aarch64-darwin qt6Packages.qtquicktimeline | |
x86_64-linux linuxKernel.packages.linux_6_7.tuxedo-keyboard | |
aarch64-linux luaPackages.luarocks | |
aarch64-linux vimPlugins.clipboard-image-nvim | |
aarch64-linux emacsPackages.org-pomodoro | |
aarch64-darwin libchamplain | |
aarch64-linux haskellPackages.prettyprinter-graphviz | |
x86_64-linux python311Packages.transmission-rpc | |
aarch64-linux python312Packages.hepmc3 | |
aarch64-linux python311Packages.galario | |
x86_64-darwin asciinema | |
x86_64-linux faraday-cli | |
aarch64-linux python311Packages.sdds | |
aarch64-linux monocypher | |
aarch64-linux python311Packages.types-aiobotocore-resourcegroupstaggingapi | |
aarch64-linux plasma5Packages.plasma-sdk | |
x86_64-linux python311Packages.mypy-boto3-kinesis-video-webrtc-storage | |
aarch64-darwin emacsPackages.ydk-mode | |
aarch64-darwin oras | |
x86_64-darwin emacsPackages.mindre-theme | |
x86_64-linux kodiPackages.mediacccde | |
aarch64-darwin theLoungePlugins.plugins.closepms | |
x86_64-linux mustache-hpp | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.SoapySDR | |
x86_64-linux emacsPackages.objed | |
x86_64-linux openjdk21_headless | |
aarch64-linux performous | |
x86_64-linux themix-gui | |
aarch64-linux zstxtns-utils | |
x86_64-linux goconst | |
aarch64-linux linuxPackages_latest.bcc | |
aarch64-linux CuboCore.corehunt | |
x86_64-linux emacsPackages.docsim | |
x86_64-linux polybarFull | |
aarch64-linux onedrivegui | |
x86_64-linux python312Packages.flask-session | |
aarch64-linux koka | |
x86_64-darwin rubyPackages_3_1.mime-types | |
aarch64-darwin python311Packages.ripe-atlas-sagan | |
aarch64-darwin emacsPackages.company-inf-ruby | |
aarch64-darwin perl538Packages.DirSelf | |
aarch64-linux libharu | |
x86_64-linux python311Packages.unicode-slugify | |
aarch64-darwin tests.cc-wrapper.llvmTests.llvmPackages_14.clang | |
x86_64-darwin emacsPackages.org-ml | |
aarch64-linux python312Packages.typer | |
aarch64-linux linuxKernel.packages.linux_6_5_hardened.cryptodev | |
aarch64-linux taler-merchant | |
x86_64-darwin postgresql14Packages.pg_relusage | |
x86_64-linux emacsPackages.ess-R-data-view | |
aarch64-linux python312Packages.mypy-boto3-marketplace-catalog | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.cue | |
x86_64-linux lemmy-server | |
x86_64-linux emacsPackages.perl-doc | |
aarch64-linux spfft | |
x86_64-linux emacsPackages.kmacro-x | |
aarch64-darwin honcho | |
x86_64-darwin python311Packages.mesonpep517 | |
aarch64-darwin luajitPackages.coxpcall | |
x86_64-darwin python312Packages.pycomfoconnect | |
aarch64-darwin stuntman | |
aarch64-darwin openpa | |
aarch64-darwin rman | |
aarch64-darwin geocode-glib_2 | |
x86_64-darwin python311Packages.pyserial-asyncio | |
x86_64-linux sumorobot-manager | |
x86_64-darwin weechatScripts.url_hint | |
aarch64-darwin haskellPackages.acme-cuteboy | |
aarch64-darwin emacsPackages.logms | |
x86_64-darwin emacsPackages.bpftrace-mode | |
x86_64-darwin perl538Packages.UnicodeString | |
x86_64-darwin python312Packages.zodbpickle | |
x86_64-linux chickenPackages_5.chickenEggs.fancypants | |
aarch64-darwin perl536Packages.NetTwitterLite | |
aarch64-linux haskellPackages.cabal-pkg-config-version-hook | |
x86_64-darwin haskellPackages.hreader | |
x86_64-linux linuxPackages_6_5_hardened.dddvb | |
aarch64-darwin perl536Packages.NetFreeDB | |
x86_64-linux python311Packages.pyqt5 | |
aarch64-linux python312Packages.dissect-target | |
aarch64-linux emacsPackages.elgrep | |
aarch64-linux python311Packages.pymoo | |
x86_64-darwin perl536Packages.MailIMAPClient | |
x86_64-darwin python311Packages.smtpdfix | |
aarch64-linux python312Packages.spotipy | |
x86_64-linux python311Packages.dpcontracts | |
aarch64-linux terraform-providers.alicloud | |
aarch64-darwin kodiPackages.svtplay | |
x86_64-darwin chickenPackages_5.chickenEggs.srfi-45 | |
x86_64-darwin legit | |
aarch64-linux lscolors | |
aarch64-darwin python312Packages.types-aiobotocore-appfabric | |
aarch64-darwin soundtouch | |
x86_64-linux emacsPackages.dogears | |
x86_64-linux python311Packages.mlt | |
aarch64-darwin haskellPackages.interpolatedstring-perl6 | |
aarch64-darwin llvmPackages_14.clangNoLibc | |
x86_64-darwin python311Packages.types-aiobotocore | |
aarch64-darwin haskellPackages.fibonacci | |
x86_64-linux python312Packages.django-polymorphic | |
aarch64-linux chickenPackages_5.chickenEggs.F-operator | |
x86_64-darwin python311Packages.sphinx-basic-ng | |
x86_64-linux linuxKernel.packages.linux_5_4.fwts-efi-runtime | |
aarch64-darwin picocom | |
x86_64-darwin update-dotdee | |
x86_64-darwin haskellPackages.ghc-syntax-highlighter | |
x86_64-linux vimPlugins.asyncomplete-tags-vim | |
aarch64-linux spark_3_4 | |
aarch64-linux uhexen2 | |
x86_64-linux pc-ble-driver | |
aarch64-linux vimPlugins.elixir-tools-nvim | |
x86_64-darwin perl538Packages.Testutf8 | |
x86_64-darwin otel-desktop-viewer | |
x86_64-darwin python311Packages.opentelemetry-exporter-prometheus | |
x86_64-linux gnomeExtensions.enhanced-osk | |
aarch64-darwin haskellPackages.secd | |
aarch64-linux python312Packages.pyspcwebgw | |
aarch64-linux haskellPackages.xeno | |
aarch64-linux matrix-appservice-slack | |
aarch64-darwin emacsPackages.github-elpa | |
aarch64-darwin htmltest | |
aarch64-linux powertop | |
x86_64-linux lua52Packages.argparse | |
x86_64-linux tests.writers.bin.perl | |
aarch64-linux python312Packages.frozenlist | |
x86_64-linux perl538Packages.TextDiff | |
aarch64-darwin perl538Packages.MIMECharset | |
aarch64-darwin perl538Packages.AlienBuildPluginDownloadGitLab | |
aarch64-linux python312Packages.pyopnsense | |
x86_64-linux perl538Packages.Imager | |
x86_64-darwin python312Packages.sqlalchemy-continuum | |
x86_64-linux plasma5Packages.nota | |
aarch64-darwin python312Packages.types-aiobotocore-workmailmessageflow | |
aarch64-darwin halloy | |
x86_64-darwin openexr_2 | |
x86_64-linux haskellPackages.symbols | |
aarch64-darwin haskellPackages.effectful-core | |
aarch64-linux gst123 | |
x86_64-linux linuxPackages_5_10_hardened.nvidia_x11_production_open | |
aarch64-linux hunspellDicts.es_PA | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.openafs_1_8 | |
x86_64-linux sbclPackages.cl-fuse | |
x86_64-linux xsok | |
aarch64-linux python311Packages.oauth2 | |
aarch64-darwin libretro.scummvm | |
x86_64-linux linuxKernel.packages.linux_6_1.netatop | |
x86_64-linux linuxKernel.packages.linux_hardened.openafs_1_8 | |
x86_64-linux haskellPackages.miso-from-html | |
x86_64-linux python311Packages.jdcal | |
x86_64-linux perl536Packages.NetServerCoro | |
aarch64-darwin emacsPackages.binky | |
aarch64-linux haskellPackages.amazonka-rolesanywhere | |
aarch64-linux plasma5Packages.knetwalk | |
x86_64-linux terminal-colors | |
x86_64-linux emacsPackages.helm-zhihu-daily | |
x86_64-linux python311Packages.genanki | |
aarch64-darwin emacsPackages.simple-paren | |
x86_64-linux python311Packages.pytrafikverket | |
aarch64-linux postgresqlJitPackages.pg_relusage | |
x86_64-linux vimPlugins.vim-markdown | |
x86_64-darwin haskellPackages.mersenne-random-pure64 | |
aarch64-linux emacsPackages.multishell | |
aarch64-darwin haskellPackages.org-mode | |
aarch64-darwin emacsPackages.waher-theme | |
aarch64-linux apparmor-profiles | |
aarch64-darwin python311Packages.image-go-nord | |
aarch64-linux oui | |
x86_64-darwin coursier | |
x86_64-linux vimPlugins.latex-box | |
x86_64-darwin haskellPackages.wedged | |
x86_64-linux zynaddsubfx-fltk | |
aarch64-darwin rubyPackages_3_1.reline | |
x86_64-linux emacsPackages.protobuf-mode | |
x86_64-linux transifex-cli | |
x86_64-darwin vimPlugins.stabilize-nvim | |
x86_64-linux vimPlugins.NrrwRgn | |
x86_64-linux xfce.xfce4-namebar-plugin | |
x86_64-linux apr | |
x86_64-darwin kubevirt | |
x86_64-linux haskellPackages.plot-light-examples | |
x86_64-darwin xorg.xdpyinfo | |
x86_64-darwin haskellPackages.genvalidity-property | |
x86_64-linux python312Packages.fasttext-predict | |
x86_64-linux twurl | |
aarch64-linux python311Packages.mypy-boto3-codecommit | |
aarch64-linux clingcon | |
aarch64-darwin gentium-book-basic | |
aarch64-linux perl536Packages.AlgorithmAnnotate | |
x86_64-linux python311Packages.azure-mgmt-datalake-nspkg | |
aarch64-linux vimPlugins.coc-rls | |
x86_64-linux way-displays | |
aarch64-darwin haskellPackages.futhark-server | |
x86_64-linux python311Packages.prettytable | |
x86_64-linux emacsPackages.ob-latex-as-png | |
aarch64-darwin py-spy | |
aarch64-darwin python311Packages.posix-ipc | |
aarch64-linux libayatana-appindicator | |
aarch64-linux bdsync | |
x86_64-linux autopanosiftc | |
aarch64-linux scalp | |
x86_64-darwin noto-fonts-cjk-sans | |
x86_64-linux python311Packages.omemo-dr | |
aarch64-darwin enum4linux-ng | |
x86_64-linux gamehub | |
aarch64-linux python311Packages.nextcloudmonitor | |
aarch64-linux python311Packages.aioprocessing | |
x86_64-darwin python312Packages.trio-websocket | |
x86_64-linux tree-sitter-grammars.tree-sitter-make | |
aarch64-darwin emacsPackages.flycheck-pony | |
aarch64-linux linuxPackages_6_5_hardened.r8125 | |
aarch64-darwin qt6.qtgrpc | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.godot_resource | |
x86_64-darwin haskellPackages.control-monad-exception-mtl | |
x86_64-darwin python312Packages.plotly | |
aarch64-linux home-assistant-component-tests.honeywell | |
x86_64-linux b43FirmwareCutter | |
x86_64-linux python311Packages.deform | |
x86_64-darwin emacsPackages.indent-lint | |
x86_64-linux linuxPackages_xanmod_stable.ena | |
x86_64-linux haskellPackages.atom-basic | |
aarch64-linux python312Packages.langchain-core | |
aarch64-darwin extra-cmake-modules | |
x86_64-linux python311Packages.mypy-boto3-neptune | |
aarch64-darwin doh-proxy-rust | |
x86_64-darwin nbxplorer | |
x86_64-darwin haskellPackages.th-bang-compat | |
x86_64-linux atlassian-confluence | |
aarch64-darwin haskellPackages.servant-exceptions-server | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.xcursor | |
x86_64-darwin haskellPackages.amazonka-gamesparks | |
aarch64-linux python312Packages.mdformat-nix-alejandra | |
aarch64-darwin dtools | |
x86_64-darwin lua52Packages.luasystem | |
aarch64-darwin python311Packages.google-generativeai | |
aarch64-darwin wayback-machine-archiver | |
aarch64-linux zsh-z | |
x86_64-linux vscode-extensions.ms-ceintl.vscode-language-pack-fr | |
x86_64-linux hassil | |
aarch64-linux python312Packages.aiosmb | |
aarch64-linux emacsPackages.emoji-recall | |
x86_64-linux haskellPackages.proteaaudio-sdl | |
aarch64-linux python311Packages.reorder-python-imports | |
aarch64-linux linuxPackages_6_7_hardened.stdenv | |
x86_64-linux python311Packages.pyrr | |
aarch64-linux perl536Packages.CookieXS | |
x86_64-darwin haskellPackages.aeson-extra | |
x86_64-linux python311Packages.firetv | |
aarch64-darwin emacsPackages.ewal-doom-themes | |
x86_64-darwin python311Packages.types-aiobotocore-voice-id | |
x86_64-linux autoreconfHook269 | |
x86_64-linux rubyPackages_3_2.cocoapods-fix-react-native | |
x86_64-linux swaywsr | |
x86_64-darwin rubyPackages.rexml | |
aarch64-darwin emacsPackages.omni-tags | |
aarch64-darwin perl536Packages.libintl-perl | |
x86_64-linux spooftooph | |
aarch64-linux xorg.xorgcffiles | |
aarch64-linux log4jcheck | |
aarch64-darwin python312Packages.pykoplenti | |
aarch64-linux age-plugin-ledger | |
x86_64-darwin haskellPackages.Histogram | |
x86_64-darwin rubyPackages_3_3.mini_magick | |
x86_64-linux microcom | |
x86_64-linux python312Packages.types-aiobotocore-pi | |
x86_64-linux networkminer | |
x86_64-linux emacsPackages.lspce | |
aarch64-darwin python311Packages.turnt | |
aarch64-linux python312Packages.nkdfu | |
x86_64-darwin python312Packages.pynose | |
x86_64-darwin perl538Packages.NetFrameLayerIPv6 | |
aarch64-darwin webdav | |
aarch64-linux enochecker-test | |
aarch64-linux emacsPackages.ebuku | |
x86_64-linux python312Packages.kivy | |
x86_64-darwin vimPlugins.SchemaStore-nvim | |
x86_64-linux vimPlugins.vim-jsx-typescript | |
x86_64-linux python311Packages.schemainspect | |
x86_64-darwin perl538Packages.AlienBaseModuleBuild | |
x86_64-darwin python311Packages.oldest-supported-numpy | |
aarch64-darwin python312Packages.extras | |
aarch64-darwin whereami | |
x86_64-linux terraform-providers.statuscake | |
x86_64-darwin hunspellDicts.en_US | |
x86_64-linux singular | |
aarch64-darwin perl536Packages.ArchiveLibarchive | |
aarch64-linux linuxPackages_xanmod_stable.cryptodev | |
aarch64-darwin python312Packages.flask-cors | |
x86_64-darwin emacsPackages.transient-extras | |
x86_64-linux gnu-pw-mgr | |
x86_64-darwin libgrss | |
aarch64-linux perl536Packages.PPIxQuoteLike | |
aarch64-darwin rubyPackages.simplecov-html | |
aarch64-linux python311Packages.py-sonic | |
x86_64-linux sqls | |
x86_64-linux wayfire | |
aarch64-darwin python311Packages.grequests | |
aarch64-darwin liblogging | |
x86_64-linux haskellPackages.Shu-thing | |
aarch64-darwin elasticsearchPlugins.search-guard | |
x86_64-darwin python311Packages.cwl-upgrader | |
aarch64-darwin haskellPackages.multifile | |
x86_64-linux tmuxPlugins.fingers | |
aarch64-linux haskellPackages.tensorflow-test | |
aarch64-linux python312Packages.aiohttp-zlib-ng | |
x86_64-linux perl538Packages.URISmartURI | |
x86_64-darwin rubyPackages.msgpack | |
aarch64-linux python311Packages.pyoctoprintapi | |
x86_64-darwin haskellPackages.network-info | |
x86_64-linux perl536Packages.SysSigAction | |
aarch64-linux bareboxTools | |
aarch64-darwin python311Packages.azure-mgmt-batch | |
x86_64-linux python312Packages.pyopencl | |
aarch64-linux python312Packages.types-aiobotocore-support | |
x86_64-linux power-profiles-daemon | |
x86_64-darwin confd | |
aarch64-darwin python311Packages.mypy-boto3-nimble | |
aarch64-linux linuxPackages_6_6_hardened.xpadneo | |
aarch64-darwin haskellPackages.yesod | |
aarch64-darwin python312Packages.numpy | |
aarch64-linux haskellPackages.validate-input | |
x86_64-darwin kde-gruvbox | |
aarch64-linux perl538Packages.DistZillaPluginReadmeMarkdownFromPod | |
aarch64-linux python312Packages.bond-async | |
x86_64-linux emacsPackages.stimmung-themes | |
aarch64-linux emacsPackages.treemacs-tab-bar | |
aarch64-linux home-assistant-component-tests.knx | |
aarch64-darwin surrealdb | |
x86_64-linux ravedude | |
x86_64-linux perl538Packages.URIdb | |
aarch64-linux perl536Packages.CryptPassphraseArgon2 | |
aarch64-darwin python311Packages.pyslim | |
aarch64-linux focuswriter | |
x86_64-linux rubyPackages_3_3.iconv | |
aarch64-darwin emacsPackages.bug-hunter | |
aarch64-darwin minetest | |
x86_64-darwin extra-cmake-modules | |
x86_64-darwin asciicam | |
x86_64-linux emacsPackages.git-grep | |
x86_64-darwin git-releaser | |
x86_64-linux plasma5Packages.kwallet-pam | |
x86_64-linux perl536Packages.MooXTypesMooseLike | |
x86_64-linux haskellPackages.strict-io | |
aarch64-linux llvm | |
aarch64-linux emacsPackages.yoshi-theme | |
aarch64-darwin python311Packages.binary | |
aarch64-darwin python312Packages.jupytext | |
aarch64-darwin haskellPackages.futhark-data | |
aarch64-linux cargo-cyclonedx | |
x86_64-darwin victor-mono | |
x86_64-linux libunicode | |
aarch64-darwin lua51Packages.luadbi-sqlite3 | |
aarch64-linux python311Packages.opentelemetry-instrumentation | |
aarch64-darwin apkleaks | |
aarch64-linux haskellPackages.hsx-jmacro | |
x86_64-linux linuxPackages_5_15_hardened.ithc | |
aarch64-linux haskellPackages.matrix-market-attoparsec | |
x86_64-darwin vimPlugins.fennel-vim | |
aarch64-darwin haskellPackages.hyphenate | |
x86_64-linux bitcoin | |
aarch64-darwin haskellPackages.amazonka-s3-encryption | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.ompi-c | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.Qt5Core | |
x86_64-darwin python312Packages.djangorestframework-camel-case | |
x86_64-linux luaformatter | |
x86_64-linux libphonenumber | |
x86_64-darwin vimPlugins.nvim-bufdel | |
aarch64-linux python311Packages.pykaleidescape | |
aarch64-linux wlprop | |
x86_64-darwin idrisPackages.hezarfen | |
aarch64-darwin python311Packages.liblzfse | |
x86_64-darwin rlottie | |
aarch64-linux vimPlugins.ncm2-tagprefix | |
x86_64-darwin postgresql12Packages.pgroonga | |
x86_64-darwin emacsPackages.company-irony-c-headers | |
x86_64-linux linuxPackages_5_10_hardened.zfsStable | |
aarch64-linux python312Packages.geoip2 | |
x86_64-darwin python312Packages.requests-unixsocket | |
aarch64-darwin haskellPackages.prettify | |
aarch64-darwin python311Packages.pyvera | |
aarch64-darwin lemon-graph | |
x86_64-darwin python312Packages.sdds | |
aarch64-linux linuxKernel.packages.linux_5_4.r8168 | |
x86_64-linux linuxPackages_5_4_hardened.mstflint_access | |
aarch64-darwin hunspellDictsChromium.en_GB | |
aarch64-darwin qt5.qtnetworkauth | |
aarch64-linux emacsPackages.koopa-mode | |
x86_64-linux emacsPackages.mybigword | |
x86_64-linux octavePackages.windows | |
x86_64-darwin haskellPackages.gi-json | |
x86_64-linux vimPlugins.surround-nvim | |
aarch64-darwin perl538Packages.ModuleBuildPluggablePPPort | |
aarch64-linux emacsPackages.flymake | |
x86_64-darwin rubyPackages_3_2.forwardable-extended | |
aarch64-darwin haskellPackages.lens-errors | |
x86_64-linux python311Packages.datadiff | |
x86_64-linux haskellPackages.sized-wrapper-text | |
aarch64-darwin haskellPackages.diffarray | |
x86_64-darwin llvmPackages_17.lldb | |
x86_64-darwin dotnetCorePackages.aspnetcore_6_0 | |
x86_64-linux emacsPackages.highlight-stages | |
aarch64-linux emacsPackages.ncl-mode | |
aarch64-linux lua51Packages.lgi | |
x86_64-darwin python312Packages.patrowl4py | |
x86_64-linux vscode-extensions.ms-ceintl.vscode-language-pack-it | |
aarch64-linux linuxPackages_latest-libre.nvidia_x11_vulkan_beta_open | |
aarch64-linux haskellPackages.pcre-light | |
x86_64-linux python312Packages.cryptolyzer | |
x86_64-darwin python311Packages.pyjwt | |
x86_64-linux rubyPackages_3_2.zeitwerk | |
x86_64-linux emacsPackages.nsis-mode | |
aarch64-darwin fast-ssh | |
aarch64-linux thiefmd | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.libecpg | |
aarch64-darwin python312Packages.pikepdf | |
x86_64-darwin python311Packages.sshpubkeys | |
aarch64-linux systemc | |
aarch64-linux packagekit | |
aarch64-linux linuxPackages_6_1_hardened.cryptodev | |
aarch64-darwin python311Packages.pyxeoma | |
x86_64-darwin emacsPackages.redshank | |
aarch64-darwin hassil | |
aarch64-darwin hwi | |
aarch64-linux haskellPackages.context-http-client | |
x86_64-linux owofetch | |
aarch64-linux python312Packages.a2wsgi | |
x86_64-linux emacsPackages.autotetris-mode | |
aarch64-darwin micro-httpd | |
aarch64-darwin git-fire | |
x86_64-linux python312Packages.sfrbox-api | |
x86_64-linux apacheHttpdPackages_2_4.mod_auth_mellon | |
x86_64-linux cudaPackages_11.cudatoolkit | |
x86_64-linux emacsPackages.helm-codesearch | |
x86_64-darwin python312Packages.f90nml | |
x86_64-linux python311Packages.pytest-spec | |
aarch64-linux quich | |
x86_64-linux haskellPackages.http-query | |
x86_64-darwin haskellPackages.fcf-containers | |
x86_64-darwin which | |
x86_64-darwin haskellPackages.dbus | |
x86_64-linux python311Packages.entry-points-txt | |
x86_64-linux python311Packages.ramlfications | |
x86_64-linux qt6.qtcharts | |
x86_64-darwin emacsPackages.edit-chrome-textarea | |
x86_64-linux python311Packages.authlib | |
aarch64-linux emacsPackages.python-cell | |
x86_64-darwin python311Packages.azure-mgmt-signalr | |
aarch64-linux plotutils | |
aarch64-linux haskellPackages.persistent-refs | |
aarch64-darwin terraform-providers.infoblox | |
x86_64-linux LibreArp-lv2 | |
aarch64-darwin python312Packages.altgraph | |
aarch64-linux humioctl | |
aarch64-linux gerbera | |
x86_64-darwin haskellPackages.elm-hybrid | |
aarch64-darwin emacsPackages.sly-macrostep | |
aarch64-darwin hunspellDicts.en_GB-ize | |
x86_64-darwin rubyPackages_3_2.digest-sha3 | |
aarch64-linux python311Packages.emborg | |
aarch64-linux python311Packages.pysaj | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.xone | |
aarch64-darwin haskellPackages.dns | |
x86_64-linux libtiger | |
aarch64-linux perl536Packages.LocaleMaketextLexicon | |
x86_64-darwin python311Packages.pydocumentdb | |
aarch64-darwin rubyPackages_3_3.irb | |
x86_64-darwin python311Packages.types-aiobotocore-wisdom | |
x86_64-darwin replxx | |
x86_64-darwin emacsPackages.clipetty | |
aarch64-linux wacomtablet | |
x86_64-linux python311Packages.lmcloud | |
aarch64-linux linuxPackages.veikk-linux-driver | |
aarch64-linux python312Packages.pydenticon | |
aarch64-linux emacsPackages.man-commands | |
aarch64-linux vimPlugins.awesome-vim-colorschemes | |
aarch64-linux genemichaels | |
aarch64-darwin haskellPackages.filtrable | |
aarch64-linux python312Packages.twitter-common-log | |
aarch64-darwin python312Packages.nodeenv | |
aarch64-linux linuxPackages_hardened.fanout | |
x86_64-darwin Fabric | |
aarch64-linux bloaty | |
aarch64-linux emacsPackages.yasnippet | |
aarch64-linux haskellPackages.slack-web | |
aarch64-linux haskellPackages.aivika-realtime | |
aarch64-linux emacsPackages.consult-flycheck | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.phc-intel | |
aarch64-linux emacsPackages.logpad | |
aarch64-linux emacsPackages.third-time | |
aarch64-darwin emacsPackages.eping | |
x86_64-linux mm-common | |
aarch64-darwin python312Packages.six | |
aarch64-darwin emacsPackages.slideview | |
aarch64-linux linuxPackages_latest-libre.nvidia_x11_production | |
aarch64-linux libfm | |
x86_64-darwin emacsPackages.build-status | |
x86_64-linux live555 | |
aarch64-darwin diff-so-fancy | |
x86_64-linux haskellPackages.old-locale | |
x86_64-darwin haskellPackages.grafdhall | |
x86_64-linux tokyotyrant | |
aarch64-darwin perl538Packages.TestRoutine | |
aarch64-darwin lua51Packages.coxpcall | |
aarch64-darwin plasma5Packages.kjobwidgets | |
aarch64-darwin python311Packages.pytest-xdist | |
aarch64-darwin python311Packages.parsedatetime | |
aarch64-linux emacsPackages.zk-index | |
x86_64-linux haskellPackages.semaphore-plus | |
x86_64-darwin corepack_18 | |
aarch64-darwin python311Packages.cf-xarray | |
x86_64-darwin emacsPackages.helm-core | |
aarch64-darwin python311Packages.mbstrdecoder | |
aarch64-darwin python312Packages.wagtail | |
aarch64-darwin perl536Packages.MySQLDiff | |
aarch64-darwin python311Packages.grpc-google-iam-v1 | |
x86_64-linux edgedb | |
x86_64-linux emacsPackages.transpose-mark | |
aarch64-linux perl536Packages.LogDispatchFileRotate | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.rtl8814au | |
x86_64-darwin emacsPackages.color-theme-tangotango | |
aarch64-darwin wtfis | |
x86_64-darwin rubyPackages_3_2.cocoapods-trunk | |
x86_64-linux phodav | |
aarch64-linux boohu | |
x86_64-linux gnomeExtensions.noannoyance-fork | |
aarch64-linux rubyPackages_3_2.rake | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.rtl8821ce | |
x86_64-linux python311Packages.gcovr | |
aarch64-linux python311Packages.types-freezegun | |
aarch64-darwin jansson | |
aarch64-linux auditwheel | |
aarch64-darwin rubyPackages_3_2.jekyll-mentions | |
x86_64-linux emacsPackages.bibretrieve | |
aarch64-darwin tunwg | |
x86_64-linux holo-build | |
aarch64-linux luaPackages.nvim-cmp | |
aarch64-darwin wfuzz | |
aarch64-linux tipp10 | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.heex | |
x86_64-linux haskellPackages.unleash-client-haskell | |
x86_64-darwin perl536Packages.ImageSize | |
aarch64-linux python311Packages.extractcode | |
x86_64-linux linuxPackages-libre.v4l2loopback | |
aarch64-linux tests.hardeningFlags.stackProtectorReenabledFromAllEnv | |
x86_64-linux emacsPackages.let-alist | |
aarch64-linux perl536Packages.FFIPlatypus | |
x86_64-linux yarn2nix | |
x86_64-linux emacsPackages.abs-mode | |
aarch64-linux python312Packages.google-cloud-monitoring | |
x86_64-darwin hunspellDictsChromium.en-gb | |
x86_64-darwin vsh | |
aarch64-linux haskellPackages.primecount | |
x86_64-linux kgt | |
aarch64-linux linuxPackages_xanmod_latest.vhba | |
aarch64-linux perl538Packages.MooXTypesMooseLikeNumeric | |
x86_64-darwin emacsPackages.insert-shebang | |
x86_64-linux haskellPackages.validity-scientific | |
aarch64-linux vimPlugins.vim-eighties | |
aarch64-darwin haskellPackages.tagged-identity | |
x86_64-linux turtle-build | |
aarch64-darwin haskellPackages.multiplate | |
x86_64-darwin zscroll | |
x86_64-darwin emacsPackages.writegood-mode | |
aarch64-darwin perl536Packages.DataFloat | |
aarch64-darwin python311Packages.courlan | |
x86_64-linux python311Packages.datashader | |
aarch64-darwin anki-sync-server | |
x86_64-darwin python311Packages.py-cpuinfo | |
x86_64-linux xorg.xorgcffiles | |
x86_64-darwin python311Packages.pilkit | |
aarch64-linux python311Packages.mypy-boto3-identitystore | |
aarch64-linux vimPlugins.cmp-pandoc-references | |
x86_64-linux inchi | |
aarch64-darwin haskellPackages.getopt-simple | |
aarch64-darwin python311Packages.xrootd | |
x86_64-linux python311Packages.setuptools-trial | |
x86_64-linux python311Packages.lzallright | |
aarch64-linux laurel | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.rust | |
aarch64-darwin emacsPackages.org-visibility | |
aarch64-linux fcp | |
aarch64-darwin haskellPackages.hashrename | |
x86_64-linux libportal-gtk4 | |
x86_64-linux python311Packages.knx-frontend | |
x86_64-linux dotnet-sdk | |
aarch64-linux nixdoc | |
aarch64-darwin python311Packages.ruyaml | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.rtl8188eus-aircrack | |
aarch64-linux haskellPackages.elm-bridge | |
x86_64-linux virtualboxHeadless | |
aarch64-darwin python311Packages.folium | |
x86_64-linux python312Packages.mutesync | |
aarch64-darwin python312Packages.types-aiobotocore-route53-recovery-cluster | |
x86_64-linux gnomeExtensions.screenshot-window-sizer | |
aarch64-linux smcroute | |
x86_64-linux emacsPackages.fix-input | |
aarch64-darwin python312Packages.rollbar | |
aarch64-darwin rshijack | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.forth | |
aarch64-darwin csv2svg | |
x86_64-linux gnomeExtensions.wallpaper-slideshow | |
aarch64-linux python311Packages.iocextract | |
x86_64-darwin haskellPackages.profiterole | |
aarch64-linux emacsPackages.gpr-mode | |
x86_64-darwin perl536Packages.CryptCBC | |
aarch64-linux haskellPackages.leveldb-haskell-fork | |
x86_64-linux apacheKafka_3_0 | |
aarch64-darwin emacsPackages.speedbar-git-respect | |
x86_64-linux inkscape-extensions.inkcut | |
x86_64-linux perl538Packages.LinguaTranslit | |
aarch64-darwin python312Packages.python-owasp-zap-v2-4 | |
x86_64-darwin perl536Packages.TestTime | |
aarch64-linux rubyPackages_3_3.e2mmap | |
aarch64-linux haskellPackages.ghc-vis | |
aarch64-linux linuxPackages_5_4_hardened.rtl8189es | |
x86_64-linux python312Packages.pyxlsb | |
x86_64-linux python311Packages.twitter-common-confluence | |
x86_64-linux lua53Packages.lua-resty-session | |
x86_64-linux boinctui | |
aarch64-linux bibata-extra-cursors | |
aarch64-linux vlan | |
x86_64-darwin xvidcore | |
x86_64-darwin python311Packages.audioread | |
aarch64-linux python311Packages.gql | |
x86_64-darwin emacsPackages.jira-markup-mode | |
x86_64-darwin python311Packages.ffmpeg-progress-yield | |
aarch64-darwin python312Packages.pytest-dependency | |
aarch64-linux lua51Packages.inspect | |
aarch64-darwin rubyPackages_3_2.jekyll-commonmark-ghpages | |
x86_64-linux perl538Packages.MathPrimeUtil | |
x86_64-linux rubyPackages_3_2.pg | |
aarch64-linux python312Packages.asyncsleepiq | |
aarch64-darwin python312Packages.pastedeploy | |
x86_64-darwin perl538Packages.YAMLOld | |
aarch64-linux python312Packages.pyevmasm | |
x86_64-linux perl536Packages.DevelCycle | |
aarch64-darwin python312Packages.types-aiobotocore-applicationcostprofiler | |
x86_64-linux ue4demos.card_game | |
x86_64-darwin dsq | |
aarch64-linux python312Packages.gcodepy | |
aarch64-darwin atlantis | |
aarch64-darwin go2tv | |
aarch64-darwin haskellPackages.network-transport | |
x86_64-darwin bgpq4 | |
x86_64-linux linuxKernel.packages.linux_5_4.new-lg4ff | |
aarch64-linux python311Packages.bme680 | |
x86_64-linux das_watchdog | |
x86_64-darwin haskellPackages.users | |
x86_64-linux bchunk | |
aarch64-linux emacsPackages.windresize | |
aarch64-linux python312Packages.mypy-boto3-glue | |
x86_64-darwin python312Packages.strict-rfc3339 | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.wayland-scanner | |
aarch64-darwin haskellPackages.haskeline-repl | |
x86_64-linux vimPlugins.nvim-tree-lua | |
aarch64-darwin python311Packages.okonomiyaki | |
aarch64-linux kodi-wayland | |
aarch64-linux promscale | |
aarch64-darwin perl536Packages.PodWrap | |
x86_64-linux showmethekey | |
aarch64-linux mtdutils | |
x86_64-darwin empty | |
x86_64-linux haskellPackages.show | |
aarch64-darwin haskellPackages.key-state | |
x86_64-linux python312Packages.pytest-error-for-skips | |
aarch64-darwin python312Packages.tinycss | |
aarch64-darwin radamsa | |
x86_64-darwin python311Packages.azure-batch | |
x86_64-darwin rubyPackages_3_3.psych | |
aarch64-darwin prometheus-sql-exporter | |
aarch64-linux ameba | |
x86_64-linux netbird-ui | |
aarch64-linux emacsPackages.vscdark-theme | |
x86_64-darwin python311Packages.allpairspy | |
aarch64-linux grpcurl | |
x86_64-darwin luaPackages.luabitop | |
aarch64-linux python311Packages.datashape | |
x86_64-linux cqrlog | |
aarch64-linux perl538Packages.NetOpenSSH | |
x86_64-darwin simgrid | |
aarch64-linux haskellPackages.MemoTrie | |
x86_64-darwin python312Packages.types-aiobotocore-elbv2 | |
x86_64-darwin rubyPackages_3_1.rack-session | |
aarch64-darwin dolt | |
x86_64-linux apfel | |
x86_64-linux hostname | |
aarch64-darwin haskellPackages.crypton-connection | |
x86_64-linux python312Packages.dataclass-factory | |
x86_64-linux haskellPackages.uulib | |
aarch64-linux vimv | |
aarch64-linux zsh-navigation-tools | |
x86_64-darwin perl536Packages.Appcpanminus | |
x86_64-linux perl538Packages.TestDeepJSON | |
aarch64-linux lomiri.deviceinfo | |
x86_64-linux haskellPackages.MonadPrompt | |
x86_64-darwin lua53Packages.xml2lua | |
aarch64-linux linuxKernel.packages.linux_5_4.lkrg | |
aarch64-linux emacsPackages.org2web | |
aarch64-linux emacsPackages.kubectx-mode | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.veikk-linux-driver | |
aarch64-linux rubyPackages.jekyll-mentions | |
x86_64-linux tes3cmd | |
x86_64-linux haskellPackages.wai-middleware-metrics | |
x86_64-linux haskellPackages.metro-transport-crypto | |
aarch64-linux libdnet | |
x86_64-darwin python312Packages.drawilleplot | |
x86_64-linux ctranslate2 | |
x86_64-linux linuxKernel.packages.linux_xanmod.system76-acpi | |
aarch64-darwin haskellPackages.haskell-src-exts-simple | |
aarch64-linux tix | |
x86_64-linux perl536Packages.MooseXGetopt | |
aarch64-linux emacsPackages.mini-echo | |
x86_64-darwin python312Packages.kaptan | |
aarch64-linux vimPlugins.rust-tools-nvim | |
aarch64-darwin emacsPackages.erblint | |
x86_64-darwin lua53Packages.lua-curl | |
aarch64-darwin python311Packages.setuptools-lint | |
aarch64-darwin python312Packages.types-aiobotocore-appstream | |
x86_64-darwin rubyPackages_3_2.json_pure | |
x86_64-linux vimPlugins.coc-pairs | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.kvmfr | |
x86_64-darwin python311Packages.pytablewriter | |
x86_64-darwin python311Packages.python-google-nest | |
aarch64-linux perl536Packages.HTMLForm | |
aarch64-linux python311Packages.demjson3 | |
aarch64-linux emacsPackages.stem | |
x86_64-linux python312Packages.lazr-config | |
aarch64-darwin shisho | |
x86_64-darwin vimPlugins.vim-tsx | |
aarch64-linux emacsPackages.steam | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.python | |
x86_64-darwin python312Packages.rtfunicode | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.lkrg | |
aarch64-darwin python312Packages.curio | |
aarch64-darwin emacsPackages.taskrunner | |
x86_64-darwin python311Packages.requestsexceptions | |
aarch64-darwin lxqt.qterminal | |
aarch64-darwin haskellPackages.tmp-proc | |
x86_64-linux linuxPackages_latest.fwts-efi-runtime | |
aarch64-linux libsForQt5.qt5.qttranslations | |
aarch64-darwin pc | |
aarch64-darwin python311Packages.pendulum | |
x86_64-darwin rubyPackages_3_1.camping | |
x86_64-darwin sx-go | |
aarch64-darwin perl538Packages.TextUnaccent | |
aarch64-linux _1oom | |
aarch64-darwin python311Packages.graphql-subscription-manager | |
x86_64-linux python311Packages.laundrify-aio | |
x86_64-linux haskellPackages.hasql | |
aarch64-linux python311Packages.pyownet | |
x86_64-linux sbt-with-scala-native | |
x86_64-linux mkp224o | |
aarch64-darwin emacs-all-the-icons-fonts | |
x86_64-linux python312Packages.lxml-stubs | |
x86_64-darwin python311Packages.confluent-kafka | |
x86_64-darwin haskellPackages.amazonka-savingsplans | |
x86_64-darwin python312Packages.winsspi | |
x86_64-darwin tracy | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.openafs_1_8 | |
aarch64-linux perl538Packages.SerealEncoder | |
x86_64-linux kbst | |
aarch64-linux cosign | |
x86_64-darwin emacsPackages.micromamba | |
x86_64-darwin perl536Packages.DevelChecklib | |
aarch64-linux python311Packages.quantities | |
x86_64-darwin python312Packages.sphinx-togglebutton | |
x86_64-linux chickenPackages_5.chickenEggs.arrays | |
aarch64-linux luaPackages.cldr | |
x86_64-darwin alterx | |
aarch64-linux mesa_glu | |
x86_64-linux gnome.gnome-online-miners | |
aarch64-linux haskellPackages.drinkery | |
x86_64-linux haskellPackages.linux-inotify | |
aarch64-linux haskellPackages.atmos-dimensional | |
aarch64-linux luajitPackages.nvim-nio | |
x86_64-linux typst-lsp | |
aarch64-darwin python311Packages.pygithub | |
x86_64-linux haskellPackages.cayenne-lpp | |
aarch64-linux python312Packages.cryptography | |
x86_64-darwin python312Packages.simplenote | |
aarch64-darwin pdf-parser | |
aarch64-linux perl536Packages.ArchiveAnyLite | |
aarch64-linux haskellPackages.bugzilla-redhat | |
aarch64-darwin functiontrace-server | |
aarch64-darwin xorg.libxkbfile | |
aarch64-darwin haskellPackages.fcf-family | |
x86_64-darwin luaPackages.luadbi-postgresql | |
x86_64-darwin python311Packages.gto | |
x86_64-darwin emacsPackages.youtube-sub-extractor | |
aarch64-darwin haskellPackages.text-manipulate | |
x86_64-linux timeshift | |
x86_64-darwin python312Packages.backoff | |
aarch64-darwin python312Packages.julius | |
x86_64-darwin haskellPackages.unique-logic | |
aarch64-linux linuxKernel.packages.linux_5_10.nct6687d | |
aarch64-linux python312Packages.livelossplot | |
x86_64-linux pinentry-emacs | |
x86_64-darwin python311Packages.uritools | |
x86_64-darwin python312Packages.oauth | |
x86_64-linux linuxKernel.packages.linux_zen.turbostat | |
aarch64-linux vimPlugins.coc-stylelint | |
x86_64-darwin python311Packages.types-aiobotocore-mobile | |
aarch64-linux haskellPackages.network-simple | |
aarch64-linux python312Packages.pykka | |
x86_64-linux haskellPackages.qrcode-core | |
aarch64-linux emacsPackages.pdfgrep | |
aarch64-darwin rubyPackages_3_2.multi_json | |
x86_64-linux mob | |
aarch64-darwin python311Packages.numdifftools | |
aarch64-linux python311Packages.munch | |
x86_64-darwin python312Packages.pytest-describe | |
x86_64-darwin vimPlugins.coc-python | |
aarch64-darwin emacsPackages.logos | |
x86_64-linux age-plugin-ledger | |
x86_64-linux sil-q | |
aarch64-linux emacsPackages.scrollkeeper | |
x86_64-linux waf-tester | |
aarch64-darwin python312Packages.adlfs | |
aarch64-linux linuxKernel.packages.linux_6_7.mstflint_access | |
x86_64-darwin python312Packages.symengine | |
x86_64-linux python312Packages.rpm | |
x86_64-darwin afuse | |
aarch64-linux python311Packages.plumbum | |
x86_64-linux python311Packages.zxing-cpp | |
aarch64-linux python311Packages.cairocffi | |
x86_64-linux luajitPackages.ldbus | |
x86_64-linux gdalMinimal | |
x86_64-linux rubyPackages_3_3.yard | |
aarch64-darwin elixir_1_16 | |
aarch64-linux octodns-providers.gandi | |
x86_64-linux qt6Packages.qt6gtk2 | |
aarch64-darwin backintime-common | |
aarch64-darwin perl538Packages.NetAsyncWebSocket | |
aarch64-darwin python312Packages.ansi | |
aarch64-linux tmuxPlugins.vim-tmux-focus-events | |
aarch64-linux mdbook-open-on-gh | |
aarch64-darwin perl538Packages.RPCEPCService | |
aarch64-darwin tecla | |
x86_64-linux lua54Packages.stdlib | |
aarch64-darwin vimPlugins.vim-javacomplete2 | |
aarch64-linux home-assistant-component-tests.airzone | |
x86_64-darwin emacsPackages.backline | |
x86_64-darwin python312Packages.twitchapi | |
x86_64-linux haskellPackages.gi-freetype2 | |
x86_64-linux python312Packages.tables | |
x86_64-darwin haskellPackages.amazonka-honeycode | |
x86_64-linux haskellPackages.mime | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.nqc | |
aarch64-linux vimPlugins.vim-cool | |
aarch64-linux isa-l | |
x86_64-linux emacsPackages.dired-hacks-utils | |
x86_64-darwin haskellPackages.Tic-Tac-Toe | |
x86_64-linux fm | |
x86_64-darwin aspellDicts.ca | |
x86_64-darwin bowtie2 | |
aarch64-linux imgui | |
x86_64-linux libytnef | |
x86_64-darwin llvmPackages_15.lldb | |
x86_64-linux perl538Packages.TestHexString | |
x86_64-darwin python312Packages.grpcio-gcp | |
aarch64-darwin python312Packages.sphinx-sitemap | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.kconfig | |
aarch64-linux python312Packages.pyramid-mako | |
x86_64-linux linuxPackages_zen.asus-wmi-sensors | |
aarch64-linux lizardfs | |
x86_64-darwin haskellPackages.servant-jsonrpc-server | |
x86_64-darwin haskellPackages.mmark-ext | |
x86_64-darwin organicmaps | |
aarch64-darwin buildah-unwrapped | |
x86_64-linux emacsPackages.paste-of-code | |
x86_64-linux libqtdbusmock | |
x86_64-linux place-cursor-at | |
aarch64-darwin python311Packages.pulsectl-asyncio | |
aarch64-darwin goxlr-utility | |
x86_64-darwin ytfzf | |
x86_64-darwin haskellPackages.hack-frontend-monadcgi | |
aarch64-darwin pandoc-include | |
aarch64-linux python311Packages.pyfxa | |
x86_64-darwin python312Packages.python-bidi | |
x86_64-linux vimPlugins.hlint-refactor-vim | |
aarch64-linux perl538Packages.GlibObjectIntrospection | |
aarch64-darwin python312Packages.univers | |
aarch64-darwin haskellPackages.hspec-core | |
aarch64-darwin plasma5Packages.qca | |
x86_64-linux haskellPackages.xtest | |
x86_64-darwin xnee | |
aarch64-darwin postgresql16Packages.pgtap | |
aarch64-linux haskellPackages.punycode | |
x86_64-linux yaup | |
aarch64-darwin python312Packages.sparqlwrapper | |
x86_64-linux perl538Packages.MooXAliases | |
x86_64-linux trickle | |
x86_64-darwin luarocks-nix | |
x86_64-darwin emacsPackages.shell-current-directory | |
aarch64-linux luaPackages.http | |
x86_64-darwin rubyPackages_3_3.actionpack | |
aarch64-darwin haskellPackages.hls-eval-plugin | |
aarch64-linux python311Packages.typeshed-client | |
x86_64-linux postgresql12JitPackages.plr | |
x86_64-linux postgresql14JitPackages.pg_cron | |
aarch64-linux func | |
aarch64-darwin haskellPackages.unit-constraint | |
x86_64-linux perl538Packages.ExtUtilsHelpers | |
x86_64-linux gnome.gnome-bluetooth_1_0 | |
aarch64-darwin python312Packages.doorbirdpy | |
x86_64-linux haskellPackages.netpbm | |
x86_64-linux nim1 | |
aarch64-linux suitesparse_5_3 | |
aarch64-linux python311Packages.ruamel-base | |
aarch64-linux emacsPackages.go-noisegate | |
x86_64-linux eternity | |
x86_64-linux pipectl | |
aarch64-linux haskellPackages.amazonka-autoscaling-plans | |
x86_64-darwin python312Packages.json5 | |
x86_64-linux emacsPackages.cider-decompile | |
aarch64-linux diffpdf | |
aarch64-linux dublin-traceroute | |
x86_64-darwin haskellPackages.HAppSHelpers | |
x86_64-darwin haskellPackages.simple-sendfile | |
x86_64-darwin postgresqlJitPackages.promscale_extension | |
aarch64-linux libsForQt5.kunitconversion | |
x86_64-darwin python312Packages.dissect-esedb | |
aarch64-linux haskellPackages.quickcheck-arbitrary-adt | |
aarch64-darwin python311Packages.translatehtml | |
x86_64-linux linuxKernel.packages.linux_5_15.system76-acpi | |
aarch64-darwin icon-library | |
x86_64-darwin mailhog | |
aarch64-darwin haskellPackages.atl | |
aarch64-darwin python312Packages.dungeon-eos | |
aarch64-linux emacsPackages.totp-auth | |
aarch64-linux python312Packages.scrap-engine | |
aarch64-linux perl538Packages.CatalystXScriptServerStarman | |
aarch64-darwin haskellPackages.sound-collage | |
aarch64-linux python312Packages.desktop-entry-lib | |
aarch64-linux dotnet-sdk_6 | |
x86_64-linux python311Packages.update-copyright | |
aarch64-linux emacsPackages.ssh-tunnels | |
x86_64-darwin llvmPackages_16.clang-unwrapped | |
x86_64-linux python312Packages.jupyter-contrib-core | |
aarch64-linux comby | |
x86_64-linux chickenPackages_5.chickenEggs.hopefully | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.fwts-efi-runtime | |
aarch64-darwin vexctl | |
aarch64-darwin python311Packages.types-aiobotocore-support | |
x86_64-linux rrootage | |
x86_64-darwin perl536Packages.WxGLCanvas | |
aarch64-linux rubyPackages.net-ssh | |
aarch64-linux emacsPackages.shanty-themes | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.lkrg | |
x86_64-linux perl538Packages.IOHandleUtil | |
x86_64-linux perl536Packages.TestLectroTest | |
x86_64-darwin perl538Packages.HTTPLite | |
aarch64-linux python312Packages.remarshal | |
aarch64-linux haskellPackages.clay | |
aarch64-darwin perl536Packages.CaptchaReCAPTCHA | |
aarch64-linux emacsPackages.cue-sheet-mode | |
x86_64-linux python312Packages.django-mptt | |
x86_64-linux libsForQt5.qt5.qtserialport | |
x86_64-darwin lil-pwny | |
x86_64-linux tusk | |
aarch64-darwin haskellPackages.iproute | |
aarch64-darwin python311Packages.aprslib | |
aarch64-darwin haskellPackages.LPFP-core | |
aarch64-darwin banner | |
aarch64-darwin python312Packages.unittest-data-provider | |
aarch64-linux perl536Packages.ClassMethodModifiers | |
x86_64-linux haskellPackages.path | |
aarch64-linux python311Packages.types-aiobotocore-elbv2 | |
x86_64-linux rubyPackages_3_2.claide | |
x86_64-darwin python311Packages.click-threading | |
x86_64-darwin jumpnbump | |
aarch64-darwin eigenlayer | |
aarch64-linux python312Packages.pybigwig | |
aarch64-darwin so | |
x86_64-darwin htslib | |
x86_64-linux haskellPackages.scalpel-core | |
x86_64-darwin haskellPackages.wai-util | |
aarch64-darwin emacsPackages.jest-test-mode | |
x86_64-darwin mcy | |
aarch64-darwin python312Packages.rst2pdf | |
x86_64-linux gnome.gnome-initial-setup | |
aarch64-linux perl538Packages.MathBaseConvert | |
aarch64-darwin postgresql14JitPackages.postgis | |
x86_64-linux python312Packages.google-cloud-vpc-access | |
x86_64-darwin aws-c-event-stream | |
aarch64-linux emacsPackages.geiser-stklos | |
aarch64-darwin python312Packages.python-gitlab | |
aarch64-linux emacsPackages.web-mode | |
x86_64-linux linuxKernel.packages.linux_lqx.virtio_vmmci | |
aarch64-darwin haskellPackages.lambdabot-irc-plugins | |
aarch64-linux coqPackages.CoLoR | |
aarch64-linux haskellPackages.streaming-histogram | |
x86_64-darwin luaPackages.middleclass | |
aarch64-darwin python311Packages.numpydoc | |
x86_64-linux emacsPackages.ox-ioslide | |
x86_64-linux zabbix60.proxy-pgsql | |
aarch64-darwin perl538Packages.SubUplevel | |
aarch64-darwin vala_0_56 | |
aarch64-darwin lua54Packages.luacov | |
aarch64-linux perl536Packages.RegexpCommontime | |
x86_64-darwin haskellPackages.edit-distance-vector | |
x86_64-linux haskellPackages.sandwich-quickcheck | |
x86_64-linux python312Packages.sipyco | |
aarch64-darwin emacsPackages.volatile-highlights | |
aarch64-linux paging-calculator | |
aarch64-linux python312Packages.django-ipware | |
aarch64-darwin python312Packages.digital-ocean | |
x86_64-darwin python312Packages.certbot-dns-cloudflare | |
aarch64-darwin perl536Packages.NetAmazonEC2 | |
aarch64-linux python312Packages.green | |
x86_64-darwin copier | |
aarch64-darwin dgsh | |
aarch64-darwin haskellPackages.data-dword | |
aarch64-darwin python312Packages.mypy-boto3-mediapackage-vod | |
x86_64-linux linuxKernel.packages.linux_latest_libre.rtl8821au | |
x86_64-darwin midi-trigger | |
x86_64-darwin perl536Packages.TreeSimpleVisitorFactory | |
x86_64-darwin mutt-ics | |
x86_64-darwin python312Packages.pure-protobuf | |
aarch64-darwin oldsindhi | |
aarch64-darwin virtualenv | |
x86_64-darwin vimPlugins.nix-develop-nvim | |
x86_64-darwin haskellPackages.X11-xshape | |
x86_64-darwin python312Packages.azure-mgmt-sqlvirtualmachine | |
x86_64-darwin haskellPackages.safe-gen | |
x86_64-darwin emacsPackages.ilist | |
x86_64-linux cudaPackages_11.nsight_systems | |
x86_64-linux hyprspace | |
aarch64-linux rubyPackages_3_3.jekyll-theme-dinky | |
aarch64-darwin emacsPackages.ceylon-mode | |
x86_64-darwin chickenPackages_5.chickenEggs.soil | |
x86_64-darwin python312Packages.mypy-boto3-docdb | |
x86_64-linux emacsPackages.digistar-mode | |
aarch64-darwin haskellPackages.hedgehog-optics | |
aarch64-darwin python311Packages.pytrafikverket | |
x86_64-linux mpw | |
x86_64-darwin perl538Packages.TestKwalitee | |
x86_64-darwin python311Packages.quamash | |
x86_64-linux bottom | |
x86_64-darwin pipe-rename | |
x86_64-darwin rocksdb | |
x86_64-linux pcimem | |
aarch64-linux python311Packages.distributed | |
aarch64-linux python312Packages.labelbox | |
x86_64-linux python312Packages.python-ecobee-api | |
aarch64-linux python311Packages.derpconf | |
x86_64-linux python311Packages.psycopg2cffi | |
x86_64-linux emacsPackages.webkit-color-picker | |
aarch64-darwin acorn | |
x86_64-linux perseus-cli | |
x86_64-darwin python312Packages.mypy-boto3-synthetics | |
aarch64-linux emacsPackages.clips-mode | |
x86_64-linux haskellPackages.air | |
x86_64-darwin python311Packages.pyqtdatavisualization | |
aarch64-darwin emacsPackages.evil-anzu | |
x86_64-linux python312Packages.opencontainers | |
aarch64-linux gssdp | |
aarch64-linux python312Packages.pyocr | |
aarch64-linux fulcrum | |
x86_64-linux python311Packages.transformers | |
aarch64-darwin emacsPackages.walkman | |
x86_64-linux pirate-get | |
aarch64-linux python311Packages.anitopy | |
aarch64-darwin vimPlugins.vim-swap | |
x86_64-linux python311Packages.multiprocess | |
aarch64-darwin emacsPackages.ample-regexps | |
x86_64-linux lite | |
aarch64-linux haskellPackages.pcf-font | |
aarch64-darwin kiterunner | |
aarch64-darwin fortran-fpm | |
x86_64-linux jcli | |
aarch64-darwin python312Packages.redis | |
aarch64-darwin mercury | |
x86_64-linux python312Packages.magic-filter | |
aarch64-darwin keepwn | |
x86_64-linux python312Packages.types-aiobotocore-dlm | |
aarch64-linux rubyPackages.gemoji | |
x86_64-linux linuxPackages_xanmod.ndiswrapper | |
x86_64-linux home-assistant-component-tests.google_travel_time | |
x86_64-darwin febio | |
x86_64-linux home-assistant-component-tests.yandextts | |
x86_64-darwin python311Packages.mypy-boto3-managedblockchain | |
aarch64-linux python311Packages.nbdime | |
x86_64-linux webmetro | |
x86_64-linux tests.cuda.cudaPackages.saxpy | |
aarch64-darwin vimPlugins.suda-vim | |
aarch64-darwin python311Packages.types-aiobotocore-ec2 | |
aarch64-linux haskellPackages.stack-yaml | |
aarch64-darwin python312Packages.flask-sslify | |
aarch64-linux emacsPackages.mood-line | |
aarch64-linux python311Packages.woob | |
x86_64-linux emacsPackages.orglink | |
x86_64-darwin emacsPackages.soria-theme | |
aarch64-linux prometheus-rabbitmq-exporter | |
x86_64-darwin perl536Packages.CanaryStability | |
x86_64-linux mypy | |
x86_64-darwin yuzuPackages.mlt | |
x86_64-darwin exif | |
aarch64-darwin haskellPackages.amazonka-kinesis-video-archived-media | |
x86_64-darwin python311Packages.karton-autoit-ripper | |
x86_64-darwin python311Packages.dm-env | |
x86_64-linux netbox_3_6 | |
x86_64-linux libretro.dosbox-pure | |
x86_64-darwin pretty-simple | |
x86_64-linux rpiboot | |
x86_64-darwin python312Packages.ms-cv | |
x86_64-darwin emacsPackages.comment-or-uncomment-sexp | |
aarch64-darwin python311Packages.opentelemetry-sdk | |
aarch64-darwin write-good | |
aarch64-linux php81Packages.castor | |
x86_64-linux linuxPackages_6_6_hardened.phc-intel | |
x86_64-linux mercury | |
aarch64-darwin xar | |
aarch64-linux python312Packages.oauth | |
aarch64-darwin prometheus-gitlab-ci-pipelines-exporter | |
aarch64-linux emacsPackages.ah | |
x86_64-darwin emacsPackages.spaceline-all-the-icons | |
aarch64-linux python312Packages.pymeteireann | |
x86_64-darwin luajitPackages.libluv | |
x86_64-linux haskellPackages.goldplate | |
aarch64-darwin python312Packages.mypy-boto3-vpc-lattice | |
aarch64-linux tests.testers.hasPkgConfigModules.openssl-has-openssl | |
x86_64-darwin emacsPackages.cider-eval-sexp-fu | |
aarch64-linux emacsPackages.mpdel-embark | |
aarch64-linux lash | |
x86_64-linux python311Packages.open-meteo | |
x86_64-linux tesseract4 | |
aarch64-linux tests.cuda.cudaPackages_11_6.saxpy | |
aarch64-linux haskellPackages.hsdns | |
x86_64-darwin perl536Packages.IOInterface | |
x86_64-darwin python312Packages.python-family-hub-local | |
aarch64-linux rabtap | |
aarch64-linux vimPlugins.neotest-python | |
x86_64-linux chickenPackages_5.chickenEggs.exif | |
x86_64-linux cglm | |
x86_64-linux python312Packages.opentelemetry-exporter-otlp-proto-http | |
aarch64-darwin babashka | |
x86_64-linux emacsPackages.face-explorer | |
x86_64-linux haskellPackages.MicroHs | |
aarch64-linux python311Packages.param | |
x86_64-linux haskellPackages.IntervalMap | |
x86_64-linux haskellPackages.amazonka-iotsecuretunneling | |
x86_64-linux python311Packages.strenum | |
x86_64-linux python312Packages.gvm-tools | |
aarch64-linux lemonade | |
x86_64-darwin suil | |
x86_64-linux haskellPackages.hschema-quickcheck | |
x86_64-linux liana | |
aarch64-linux qgit | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.c | |
aarch64-linux libsForQt5.eventviews | |
x86_64-linux emacsPackages.flames-of-freedom | |
x86_64-linux luaPackages.cassowary | |
x86_64-darwin vimPlugins.neco-look | |
aarch64-darwin jpcre2 | |
aarch64-darwin haskellPackages.simple-media-timestamp-attoparsec | |
x86_64-linux perl536Packages.MouseXGetopt | |
aarch64-linux emacsPackages.sparql-mode | |
x86_64-linux linuxPackages_latest.x86_energy_perf_policy | |
x86_64-darwin python312Packages.clintermission | |
aarch64-linux rubyPackages_3_1.colorator | |
aarch64-linux python312Packages.odfpy | |
x86_64-linux emacsPackages.edebug-inline-result | |
x86_64-linux alembic | |
x86_64-linux libmkv | |
aarch64-linux python312Packages.types-aiobotocore-payment-cryptography-data | |
x86_64-linux python312Packages.django-rq | |
x86_64-linux emacsPackages.keyword-search | |
x86_64-darwin python312Packages.eternalegypt | |
x86_64-linux linuxPackages_5_4_hardened.r8168 | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.x11 | |
aarch64-darwin python312Packages.aiokafka | |
aarch64-linux vimPlugins.gruvbox-nvim | |
aarch64-darwin haskellPackages.silkscreen | |
x86_64-linux perl536Packages.ClassInspector | |
aarch64-linux python312Packages.related | |
aarch64-darwin haskellPackages.sample-frame-np | |
x86_64-darwin python312Packages.ypy-websocket | |
aarch64-darwin codeberg-pages | |
x86_64-linux vimPlugins.targets-vim | |
x86_64-darwin hunspellDicts.es_PE | |
x86_64-darwin terraform-providers.ciscoasa | |
aarch64-linux python311Packages.tracerite | |
x86_64-darwin python311Packages.mypy-boto3-voice-id | |
x86_64-linux tests.vim.test_vim_with_vim_nix | |
x86_64-darwin python311Packages.openstacksdk | |
aarch64-linux reveal-md | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.authzed | |
x86_64-linux python311Packages.graphql-relay | |
x86_64-darwin emacsPackages.typescript-mode | |
x86_64-darwin libsieve | |
aarch64-darwin python311Packages.proton-vpn-logger | |
x86_64-darwin lziprecover | |
x86_64-darwin haskellPackages.themplate | |
x86_64-linux minecraft | |
aarch64-linux python311Packages.image-go-nord | |
x86_64-linux python311Packages.tensorly | |
aarch64-linux perl538Packages.TestPerlTidy | |
aarch64-linux notify-desktop | |
x86_64-darwin python311Packages.wyoming | |
aarch64-linux python311Packages.parver | |
aarch64-darwin haskellPackages.xml-picklers | |
x86_64-linux cconv | |
x86_64-linux haskellPackages.interchangeable | |
x86_64-linux plasma5Packages.plasma-nano | |
aarch64-linux haskellPackages.fortran-src | |
aarch64-darwin perl538Packages.ConvertASN1 | |
aarch64-linux python312Packages.pykmtronic | |
x86_64-darwin emacsPackages.flycheck-irony | |
x86_64-darwin emacsPackages.haxe-mode | |
aarch64-darwin maligned | |
x86_64-darwin emacsPackages.hoa-mode | |
aarch64-linux python311Packages.aiosasl | |
x86_64-linux merriweather-sans | |
aarch64-darwin perl538Packages.DateTimeFormatSQLite | |
x86_64-linux haskellPackages.since | |
aarch64-linux fn-cli | |
aarch64-linux perl536Packages.NetMPD | |
x86_64-linux haskellPackages.html-entity | |
x86_64-linux perl538Packages.CryptOpenSSLX509 | |
aarch64-darwin emacsPackages.org-static-blog | |
aarch64-darwin emacsPackages.emoji-display | |
aarch64-linux emacsPackages.company-inf-ruby | |
aarch64-darwin perl536Packages.URIFind | |
aarch64-darwin qrcodegen | |
x86_64-linux adoptopenjdk-jre-hotspot-bin-16 | |
aarch64-linux linuxPackages_custom_tinyconfig_kernel | |
x86_64-darwin python312Packages.future | |
x86_64-darwin haskellPackages.highlight | |
aarch64-linux linuxKernel.packages.linux_5_4.dpdk-kmods | |
x86_64-darwin emacsPackages.smog | |
aarch64-darwin buildbot-plugins.react-waterfall-view | |
x86_64-linux deluge-gtk | |
aarch64-linux haskellPackages.todo | |
aarch64-darwin lua53Packages.moonscript | |
x86_64-darwin python311Packages.mypy-boto3-finspace-data | |
x86_64-darwin python312Packages.sigtools | |
x86_64-linux haskellPackages.phonetic-languages-simplified-properties-array-common | |
x86_64-linux terraform-providers.aws | |
x86_64-darwin python312Packages.pulsectl-asyncio | |
x86_64-darwin haskellPackages.eventlog2html | |
aarch64-linux veryfasttree | |
aarch64-linux xmlroff | |
aarch64-linux postgresql12JitPackages.pgsodium | |
aarch64-darwin rubyPackages_3_2.jekyll-default-layout | |
aarch64-linux postgresql16JitPackages.pgroonga | |
aarch64-darwin python311Packages.remotezip | |
x86_64-darwin postgresql15JitPackages.pg_auto_failover | |
x86_64-darwin amule-web | |
x86_64-linux haskellPackages.calligraphy | |
x86_64-linux goffice | |
aarch64-linux haskellPackages.static-canvas | |
aarch64-darwin haskellPackages.hostname | |
x86_64-darwin llvmPackages_9.clang | |
aarch64-linux haskellPackages.machines | |
aarch64-darwin python311Packages.fastecdsa | |
aarch64-linux libsForQt5.qt5.qtdoc | |
aarch64-linux emacsPackages.unkillable-scratch | |
x86_64-darwin perl538Packages.X11Protocol | |
x86_64-linux python312Packages.vncdo | |
aarch64-linux flvstreamer | |
aarch64-darwin python311Packages.types-aiobotocore-rum | |
x86_64-linux luaPackages.luuid | |
aarch64-linux qogir-icon-theme | |
x86_64-linux haskellPackages.hamlet | |
x86_64-linux gnomeExtensions.simple-monitor | |
x86_64-darwin python312Packages.pipx | |
aarch64-linux spirit | |
aarch64-linux unshield | |
x86_64-linux libertinus | |
aarch64-darwin perl536Packages.TestWithoutModule | |
aarch64-linux perl536Packages.TestLeakTrace | |
x86_64-darwin haskellPackages.pipes-cellular-csv | |
aarch64-linux stdmanpages | |
aarch64-darwin haskellPackages.logstash | |
aarch64-linux lottieconverter | |
x86_64-linux boxxy | |
x86_64-linux haskellPackages.logic-TPTP | |
x86_64-darwin haskellPackages.escaped | |
aarch64-linux python311Packages.pydal | |
aarch64-linux emacsPackages.org-ros | |
aarch64-darwin python311Packages.scikit-optimize | |
aarch64-linux kmod | |
x86_64-linux nfstrace | |
aarch64-darwin skk-dicts | |
x86_64-linux linuxKernel.packages.linux_hardened.prl-tools | |
aarch64-darwin qoi | |
x86_64-linux haskellPackages.GLFW-b | |
x86_64-linux haskellPackages.ghcflags | |
x86_64-darwin python311Packages.rtfunicode | |
aarch64-linux haskellPackages.gitcache | |
aarch64-linux chickenPackages_5.chickenEggs.sandbox | |
x86_64-darwin haskellPackages.mssql-simple | |
x86_64-linux tulip | |
aarch64-linux anyk | |
x86_64-darwin haskellPackages.plotfont | |
aarch64-darwin python311Packages.pycddl | |
x86_64-linux python312Packages.vdf | |
x86_64-linux rubyPackages_3_1.mime-types | |
aarch64-darwin win2xcur | |
aarch64-linux haskellPackages.vector-sized | |
aarch64-darwin haskellPackages.amazonka-pinpoint | |
aarch64-darwin python312Packages.x11-hash | |
aarch64-darwin vollkorn | |
x86_64-darwin qt6Packages.qtlocation | |
aarch64-linux rubyPackages_3_2.kramdown | |
x86_64-linux haskellPackages.language-python | |
x86_64-linux python312Packages.datamodeldict | |
aarch64-darwin rubyPackages_3_2.atk | |
aarch64-linux llvmPackages_16.libclc | |
aarch64-darwin sqlite-analyzer | |
aarch64-linux python312Packages.result | |
aarch64-linux cni-plugin-flannel | |
aarch64-linux libsForQt5.calindori | |
aarch64-linux percona-xtrabackup_8_0 | |
x86_64-linux python312Packages.pyvcd | |
x86_64-linux llvmPackages_9.clangUseLLVM | |
x86_64-linux docker-compose_1 | |
aarch64-darwin emacsPackages.easy-hugo | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.qc71_laptop | |
aarch64-darwin haskellPackages.elf | |
aarch64-linux emacsPackages.unifdef | |
x86_64-linux haskellPackages.hidapi | |
x86_64-linux gnomeExtensions.sound-output-device-chooser | |
aarch64-linux perl536Packages.DigestPerlMD5 | |
aarch64-linux haskellPackages.hls-fourmolu-plugin | |
aarch64-linux python312Packages.asn1crypto | |
x86_64-linux flutter319 | |
x86_64-darwin python311Packages.mypy-boto3-securityhub | |
x86_64-linux apksigner | |
x86_64-linux entr | |
aarch64-darwin haskellPackages.glabrous | |
x86_64-darwin python312Packages.rflink | |
aarch64-linux opam-installer | |
x86_64-darwin postgresql13JitPackages.temporal_tables | |
aarch64-darwin bvi | |
aarch64-linux mitm6 | |
x86_64-darwin perl538Packages.DataCompactReadonly | |
aarch64-linux freefont_ttf | |
aarch64-linux libva-minimal | |
aarch64-linux python312Packages.smartypants | |
x86_64-darwin cbqn-replxx | |
aarch64-linux emacsPackages.recentf-ext | |
aarch64-linux emacsPackages.windsize | |
aarch64-darwin python312Packages.twine | |
x86_64-linux haskellPackages.immortal | |
aarch64-linux python312Packages.openerz-api | |
x86_64-linux rabtap | |
x86_64-darwin python312Packages.zope-schema | |
x86_64-linux linuxKernel.packages.linux_4_19.openafs_1_8 | |
aarch64-linux python311Packages.solo-python | |
x86_64-darwin rubyPackages_3_3.webmention | |
x86_64-linux deepin.dde-session-shell | |
x86_64-linux emacsPackages.gtk-pomodoro-indicator | |
x86_64-linux perl538Packages.StringErrf | |
x86_64-linux python311Packages.nltk | |
x86_64-linux haskellPackages.hlist | |
x86_64-linux socat | |
x86_64-darwin tabnine | |
aarch64-linux vimPlugins.ansible-vim | |
x86_64-linux haskellPackages.yamemo | |
aarch64-linux perl538Packages.Curses | |
x86_64-linux docker-sbom | |
x86_64-darwin haskellPackages.Tainted | |
x86_64-linux python312Packages.upnpy | |
x86_64-linux haskell.compiler.native-bignum.ghc946 | |
x86_64-darwin sonic-server | |
aarch64-linux python311Packages.nikola | |
aarch64-linux i3lock-blur | |
aarch64-linux dbus-glib | |
x86_64-linux breitbandmessung | |
x86_64-darwin python311Packages.azure-synapse-accesscontrol | |
aarch64-darwin upterm | |
x86_64-darwin perl538Packages.TestWWWMechanizePSGI | |
aarch64-darwin emacsPackages.pretty-hydra | |
x86_64-darwin gi-docgen | |
x86_64-darwin libxmlxx | |
aarch64-darwin perl536Packages.XMLSAX | |
aarch64-linux python312Packages.django-allauth-2fa | |
aarch64-darwin terraform-providers.baiducloud | |
aarch64-darwin python311Packages.cython | |
aarch64-linux linuxKernel.packages.linux_6_7_hardened.usbip | |
aarch64-darwin cakelisp | |
x86_64-linux lepton | |
x86_64-linux julia_110-bin | |
aarch64-darwin kpt | |
x86_64-linux gnome2.libIDL | |
aarch64-linux perl538Packages.NetCIDRLite | |
aarch64-linux linuxKernel.packages.linux_5_15.nvidia_x11_vulkan_beta_open | |
x86_64-darwin python312Packages.delegator-py | |
x86_64-linux luajitPackages.rocks-nvim | |
aarch64-linux alsa-firmware | |
aarch64-linux hypridle | |
aarch64-linux vimPlugins.neco-vim | |
aarch64-darwin cvsq | |
aarch64-darwin p4 | |
x86_64-linux notation | |
x86_64-linux haskellPackages.email-validator | |
aarch64-linux perl538Packages.ClassAccessorGrouped | |
x86_64-linux libretro.yabause | |
x86_64-darwin haskellPackages.hybrid-vectors | |
x86_64-linux scala_2_12 | |
x86_64-linux haskellPackages.dbus-hslogger | |
aarch64-linux python311Packages.autologging | |
aarch64-linux ogdf | |
aarch64-darwin python311Packages.types-aiobotocore-iot-data | |
aarch64-linux linuxPackages_xanmod_latest.v4l2loopback | |
x86_64-darwin python311Packages.clarabel | |
aarch64-linux python312Packages.enturclient | |
aarch64-darwin emacsPackages.outline-minor-faces | |
x86_64-linux python311Packages.primepy | |
x86_64-darwin python312Packages.dllogger | |
x86_64-darwin emacsPackages.evil-terminal-cursor-changer | |
x86_64-darwin knossosnet | |
aarch64-linux surelog | |
x86_64-linux home-assistant-component-tests.doorbird | |
aarch64-linux emacsPackages.ruby-compilation | |
x86_64-linux postgresqlPackages.pg_topn | |
aarch64-linux emacsPackages.tomelr | |
aarch64-linux python311Packages.cxxfilt | |
aarch64-darwin mcap-cli | |
x86_64-linux python311Packages.opensimplex | |
x86_64-linux libpfm | |
x86_64-darwin perl536Packages.CSSMinifier | |
aarch64-darwin python311Packages.testrepository | |
x86_64-linux sshx | |
x86_64-linux sudachi-rs | |
x86_64-darwin element | |
aarch64-linux timidity | |
aarch64-darwin haskellPackages.openal-ffi | |
x86_64-darwin rubyPackages_3_3.webrick | |
x86_64-linux linuxPackages_xanmod.liquidtux | |
x86_64-darwin python312Packages.wcag-contrast-ratio | |
aarch64-darwin haskellPackages.monad-peel | |
x86_64-linux fftwMpi | |
x86_64-linux gnomeExtensions.notification-banner-reloaded | |
x86_64-darwin luarocks | |
x86_64-darwin python312Packages.pytest-black | |
aarch64-darwin rubyPackages_3_3.jekyll-theme-architect | |
x86_64-linux perl538Packages.TestMinimumVersion | |
aarch64-linux orion | |
x86_64-linux pies | |
aarch64-darwin gh-screensaver | |
aarch64-darwin python311Packages.zope-hookable | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.veikk-linux-driver | |
aarch64-darwin prometheus-blackbox-exporter | |
x86_64-darwin llvmPackages.libcxxStdenv | |
x86_64-darwin vimPlugins.ncm2 | |
x86_64-linux haskellPackages.within | |
aarch64-darwin haskellPackages.reverse-arguments | |
aarch64-darwin db62 | |
aarch64-linux haskellPackages.bindings-potrace | |
aarch64-linux pipr | |
aarch64-darwin emacsPackages.erc | |
x86_64-linux sbclPackages.parse-number | |
aarch64-darwin python311Packages.phonopy | |
aarch64-darwin perl538Packages.StatisticsDistributions | |
x86_64-darwin theano | |
aarch64-darwin emacsPackages.project-abbrev | |
aarch64-darwin emacsPackages.evil-collection | |
aarch64-darwin perl538Packages.ClassAccessorLite | |
x86_64-linux perl536Packages.GitPurePerl | |
aarch64-darwin haskellPackages.scientific | |
aarch64-linux python312Packages.unittest-data-provider | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.gasket | |
x86_64-linux goresym | |
x86_64-linux volantes-cursors | |
aarch64-linux reviewdog | |
aarch64-linux terraform-providers.slack | |
x86_64-linux python311Packages.pyspice | |
x86_64-darwin emacsPackages.rails-log-mode | |
x86_64-darwin haskellPackages.scan | |
x86_64-linux python312Packages.brother-ql | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.xone | |
x86_64-darwin python311Packages.autoit-ripper | |
aarch64-linux openjade | |
aarch64-darwin emacsPackages.company-bibtex | |
x86_64-darwin vimPlugins.neotest-rspec | |
x86_64-linux librdf_redland | |
x86_64-linux emacsPackages.proxy-mode | |
x86_64-linux linuxPackages_latest-libre.gasket | |
x86_64-darwin emacsPackages.consult-eglot | |
x86_64-darwin emacsPackages.pdf-tools | |
aarch64-linux python311Packages.aioinflux | |
aarch64-darwin patchutils_0_4_2 | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.poppler-glib | |
aarch64-darwin python311Packages.mercadopago | |
x86_64-darwin python311Packages.pytest-postgresql | |
x86_64-linux python311Packages.snack | |
x86_64-linux python312Packages.mypy-boto3-globalaccelerator | |
aarch64-darwin chickenPackages_5.chickenEggs.rlimit | |
aarch64-linux emacsPackages.org-books | |
x86_64-linux scponly | |
x86_64-linux doc2go | |
aarch64-darwin python311Packages.apptools | |
aarch64-linux alfis | |
x86_64-linux emacsPackages.jump-char | |
x86_64-darwin recoll | |
x86_64-darwin python311Packages.amqp | |
x86_64-linux python311Packages.pure-python-adb-homeassistant | |
aarch64-darwin vimPlugins.vim-asterisk | |
x86_64-darwin perl536Packages.CatalystComponentInstancePerContext | |
x86_64-darwin modsecurity-crs | |
x86_64-darwin emacsPackages.editorconfig-domain-specific | |
aarch64-linux haskellPackages.gi-vte | |
aarch64-darwin python311Packages.mypy-boto3-redshift | |
aarch64-darwin emacsPackages.org-real | |
aarch64-linux strawberry-qt5 | |
x86_64-darwin flutterPackages.v3_16 | |
aarch64-darwin perl536Packages.RpcXML | |
x86_64-darwin haskellPackages.hasktorch-ffi-tests | |
aarch64-darwin lua53Packages.lpeg | |
x86_64-linux pong3d | |
aarch64-linux perl536Packages.SearchXapian | |
x86_64-darwin perl536Packages.LinkEmbedder | |
aarch64-darwin rs-git-fsmonitor | |
x86_64-linux perl536Packages.PathIteratorRule | |
aarch64-darwin haskellPackages.monad-extras | |
x86_64-darwin spoof-mac | |
x86_64-linux php82Extensions.ctype | |
x86_64-darwin haskellPackages.amazonka-route53-autonaming | |
x86_64-darwin aspellDicts.tl | |
x86_64-darwin python311Packages.unicode-slugify | |
aarch64-linux su-exec | |
aarch64-linux emacsPackages.go-autocomplete | |
x86_64-darwin emacsPackages.evil-multiedit | |
aarch64-linux python312Packages.mypy-boto3-workdocs | |
x86_64-linux postgresqlPackages.wal2json | |
x86_64-linux linuxKernel.packages.linux_6_5_hardened.ena | |
aarch64-linux python312Packages.pyusb | |
aarch64-darwin haskellPackages.nonempty-alternative | |
x86_64-darwin lua51Packages.lua-lsp | |
aarch64-darwin python312Packages.speaklater3 | |
x86_64-linux btrfs-assistant | |
x86_64-linux libsForQt5.k3b | |
x86_64-linux emacsPackages.anx-api | |
x86_64-linux python312Packages.nutils | |
x86_64-darwin python311Packages.mypy-boto3-waf-regional | |
aarch64-linux dmenu-rs | |
x86_64-linux kddockwidgets | |
aarch64-darwin rubyPackages_3_3.jekyll | |
aarch64-darwin lcalc | |
x86_64-darwin haskellPackages.type-level-kv-list-esqueleto | |
x86_64-darwin haskellPackages.rec-def | |
aarch64-linux perl536Packages.YAMLPP | |
aarch64-linux python312Packages.sipyco | |
x86_64-linux vscode-extensions.ms-toolsai.jupyter-renderers | |
aarch64-darwin disfetch | |
x86_64-linux vscode-extensions.tobiasalthoff.atom-material-theme | |
aarch64-linux python312Packages.github-webhook | |
x86_64-linux perl536Packages.TestUseAllModules | |
aarch64-linux haskellPackages.scheduler | |
x86_64-linux kubectl-example | |
aarch64-linux linuxKernel.packages.linux_libre.kvdo | |
x86_64-linux python311Packages.pyaml | |
x86_64-linux git-open | |
x86_64-linux haskellPackages.ecstasy | |
x86_64-darwin haskellPackages.data-as | |
aarch64-linux perl538Packages.TestCheckDeps | |
aarch64-linux haskellPackages.haspell | |
aarch64-darwin python312Packages.fastcache | |
x86_64-linux python311Packages.chalice | |
x86_64-darwin python312Packages.s2clientprotocol | |
aarch64-darwin enigma | |
aarch64-linux home-assistant-component-tests.panasonic_viera | |
x86_64-darwin emacsPackages.ycm | |
x86_64-linux browserpass | |
aarch64-darwin vimPlugins.neotest-python | |
aarch64-darwin haskellPackages.avif | |
x86_64-linux python312Packages.youtube-search-python | |
x86_64-darwin plasma5Packages.frameworkintegration | |
x86_64-linux docker-machine-kvm2 | |
x86_64-darwin luajitPackages.busted | |
aarch64-linux emacsPackages.minimal-session-saver | |
aarch64-linux python312Packages.pymemoize | |
aarch64-linux python312Packages.moat-ble | |
x86_64-linux ligolo-ng | |
x86_64-linux zulu21 | |
x86_64-linux vimPlugins.gen_tags-vim | |
x86_64-linux poethepoet | |
x86_64-linux libsForQt5.kwin | |
aarch64-darwin perl538Packages.TextUnidecode | |
x86_64-linux tnat64 | |
x86_64-linux gore | |
aarch64-linux perl536Packages.TextReflow | |
aarch64-darwin python311Packages.pagelabels | |
x86_64-linux python312Packages.pygreat | |
aarch64-linux python312Packages.cssselect | |
x86_64-darwin python312Packages.paho-mqtt | |
aarch64-darwin python312Packages.tellduslive | |
x86_64-linux pgtop | |
x86_64-linux home-assistant-component-tests.nexia | |
x86_64-darwin yuzuPackages.qtquickeffectmaker | |
x86_64-linux peroxide | |
aarch64-darwin python312Packages.pdoc-pyo3-sample-library | |
aarch64-linux perl536Packages.NetPatricia | |
x86_64-darwin perl538Packages.RegexpCommontime | |
x86_64-linux libsForQt5.qtdeclarative | |
x86_64-darwin perl538Packages.SysVirt | |
x86_64-linux mdsh | |
x86_64-linux perl538Packages.NetCIDR | |
x86_64-linux haskellPackages.dprox | |
x86_64-linux yuzuPackages.qtwebchannel | |
aarch64-darwin perl538Packages.HTMLTagCloud | |
x86_64-linux perl536Packages.TestUNIXSock | |
aarch64-linux python312Packages.ipywidgets | |
x86_64-linux rubyPackages.sqlite3 | |
x86_64-darwin emacsPackages.term-manager | |
aarch64-linux python312Packages.versioningit | |
aarch64-linux toast | |
aarch64-darwin prometheus-nginxlog-exporter | |
x86_64-linux emacsPackages.flymake-jshint | |
x86_64-darwin joomscan | |
x86_64-linux plasma5Packages.libkexiv2 | |
aarch64-linux python311Packages.et-xmlfile | |
aarch64-linux python312Packages.flask-elastic | |
x86_64-darwin cairo-lang | |
aarch64-darwin xorg.libXp | |
x86_64-darwin perl538Packages.AuthenHtpasswd | |
x86_64-linux cubeb | |
x86_64-linux python312Packages.hwi | |
x86_64-linux rubyPackages_3_2.jekyll-remote-theme | |
x86_64-linux linuxPackages_latest.kernel | |
x86_64-darwin mpvScripts.thumbnail | |
aarch64-linux python311Packages.rfc3339-validator | |
x86_64-darwin haskellPackages.polysemy-webserver | |
aarch64-linux haskellPackages.fizz-buzz | |
x86_64-linux rubyPackages_3_3.jekyll-theme-dinky | |
x86_64-linux haskellPackages.static-bytes | |
aarch64-linux emacsPackages.dianyou | |
x86_64-linux gnomeExtensions.running-apps-list | |
aarch64-linux nordic | |
aarch64-darwin centrifugo | |
x86_64-darwin python311Packages.qstylizer | |
x86_64-linux python311Packages.stack-data | |
aarch64-linux hexbinhex | |
x86_64-darwin python312Packages.lru-dict | |
x86_64-darwin python312Packages.tika-client | |
x86_64-darwin python312Packages.pyftpdlib | |
aarch64-linux haskellPackages.g4ip-prover | |
aarch64-linux pbzx | |
aarch64-darwin haskellPackages.cipher-rc5 | |
x86_64-linux linuxKernel.packages.linux_4_19.akvcam | |
aarch64-linux emacsPackages.grab-mac-link | |
x86_64-darwin ia-writer-quattro | |
aarch64-darwin python312Packages.amply | |
aarch64-darwin haskellPackages.hasbolt | |
aarch64-darwin iml | |
x86_64-linux xfce.ristretto | |
aarch64-darwin emacsPackages.distel-completion-lib | |
aarch64-linux rubyPackages.json_pure | |
x86_64-darwin haskellPackages.libvorbis | |
x86_64-linux netavark | |
aarch64-linux graph-cli | |
aarch64-linux haskellPackages.opentheory-primitive | |
aarch64-linux imapfilter | |
x86_64-darwin python312Packages.pilkit | |
aarch64-darwin haskellPackages.rio-prettyprint | |
aarch64-darwin aspellDicts.pt_PT | |
x86_64-darwin python311Packages.imagecodecs-lite | |
aarch64-linux perl536Packages.DataFormValidator | |
aarch64-linux seafile-server | |
x86_64-linux electron-cash | |
x86_64-darwin haskellPackages.crypto-pubkey-types | |
aarch64-linux qt6.qt3d | |
aarch64-darwin python312Packages.pydiscovergy | |
aarch64-darwin python312Packages.mypy | |
aarch64-linux haskellPackages.spooky | |
x86_64-linux python312Packages.multimethod | |
x86_64-linux emacsPackages.bufshow | |
aarch64-linux home-assistant-component-tests.api | |
x86_64-darwin emacsPackages.helm-chrome-history | |
aarch64-linux jackett | |
aarch64-linux emacsPackages.org2blog | |
aarch64-linux hadoop3 | |
aarch64-linux python312Packages.more-properties | |
x86_64-darwin python312Packages.python-fsutil | |
aarch64-darwin rubyPackages_3_2.forwardable-extended | |
x86_64-linux vimPlugins.ncm2-syntax | |
aarch64-linux wagyu | |
x86_64-linux plocate | |
x86_64-darwin theLoungePlugins.plugins.giphy | |
x86_64-linux python312Packages.pyodbc | |
aarch64-darwin python311Packages.types-aiobotocore-kinesis | |
aarch64-linux openjdk | |
aarch64-darwin libcello | |
aarch64-linux shelldap | |
x86_64-linux python311Packages.pem | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.eex | |
x86_64-darwin fpart | |
x86_64-darwin python312Packages.cx-freeze | |
x86_64-linux python312Packages.bluetooth-auto-recovery | |
x86_64-darwin yaup | |
aarch64-darwin emacsPackages.debpaste | |
x86_64-linux perl536Packages.JavaScriptValueEscape | |
aarch64-linux haskellPackages.free-vl | |
x86_64-darwin rubyPackages_3_2.jekyll-theme-slate | |
aarch64-darwin blackbox | |
aarch64-darwin emacsPackages.scad-mode | |
aarch64-darwin netease-music-tui | |
aarch64-darwin perl538Packages.Guard | |
aarch64-linux haskellPackages.amazonka-pricing | |
x86_64-linux emacsPackages.counsel-at-point | |
aarch64-darwin lua51Packages.rest-nvim | |
x86_64-linux gfxtablet | |
aarch64-darwin cozette | |
x86_64-darwin python311Packages.azure-mgmt-web | |
x86_64-linux python312Packages.pygsl | |
aarch64-linux shadowsocks-rust | |
x86_64-linux geoip | |
aarch64-darwin perl536Packages.EmailAddressXS | |
x86_64-linux python312Packages.notus-scanner | |
x86_64-darwin haskellPackages.github-rest | |
x86_64-darwin perl538Packages.PlackMiddlewareDeflater | |
x86_64-linux sbclPackages.clack | |
x86_64-linux python312Packages.types-aiobotocore-mobile | |
x86_64-linux wasmer | |
aarch64-linux python312Packages.pybloom-live | |
aarch64-linux rubyPackages_3_2.mime-types-data | |
x86_64-linux emacsPackages.nerd-icons-dired | |
aarch64-darwin haskellPackages.show | |
aarch64-linux haskellPackages.htsn-common | |
x86_64-darwin python312Packages.etebase-server | |
aarch64-linux helmfile | |
x86_64-darwin emacsPackages.flycheck-mmark | |
aarch64-linux perl538Packages.DateTimeFormatMySQL | |
aarch64-darwin cdist | |
x86_64-linux python311Packages.validphys2 | |
x86_64-darwin k40-whisperer | |
aarch64-darwin albatross | |
x86_64-linux haskellPackages.zot | |
aarch64-darwin tubekit | |
aarch64-linux djlint | |
x86_64-linux dex | |
x86_64-linux perl536Packages.CPANMini | |
x86_64-darwin oneshot | |
x86_64-darwin python311Packages.xkcdpass | |
aarch64-darwin emacsPackages.auto-complete-distel | |
aarch64-linux auth0-cli | |
x86_64-linux python311Packages.coreapi | |
aarch64-darwin hstsparser | |
aarch64-linux jpeg-archive | |
x86_64-linux python312Packages.dask-gateway-server | |
x86_64-darwin python311Packages.pyomo | |
aarch64-darwin python312Packages.python-ipware | |
x86_64-linux haskellPackages.temporary-resourcet | |
aarch64-linux silver-searcher | |
x86_64-darwin haskellPackages.amazonka-mediapackage | |
aarch64-linux python312Packages.dpcontracts | |
x86_64-darwin emacsPackages.ob-http | |
x86_64-darwin perl536Packages.TextLayout | |
x86_64-linux perl538Packages.GraphicsToolkitColor | |
x86_64-darwin python312Packages.wasmer | |
aarch64-darwin rubyPackages_3_2.rack | |
aarch64-darwin rubyPackages.html-pipeline | |
x86_64-linux rubyPackages_3_2.cocoapods-try | |
x86_64-darwin otel-cli | |
aarch64-darwin cargo-toml-lint | |
x86_64-linux postgresql14Packages.rum | |
aarch64-darwin terraform-providers.yandex | |
x86_64-linux linuxKernel.packages.linux_lqx.perf | |
x86_64-linux buck | |
x86_64-linux dbus-glib | |
x86_64-darwin mitmproxy | |
x86_64-linux postgresql13Packages.pg_cron | |
x86_64-darwin python312Packages.docx2txt | |
x86_64-linux perl536Packages.Encode | |
aarch64-linux rubyPackages_3_2.redis | |
x86_64-darwin perl536Packages.Inline | |
aarch64-linux emacsPackages.mini-header-line | |
aarch64-linux libei | |
x86_64-linux python312Packages.slowapi | |
aarch64-linux ubootNanoPCT4 | |
aarch64-darwin perl536Packages.ArrayCompare | |
x86_64-linux python311Packages.pytablewriter | |
x86_64-darwin zalgo | |
aarch64-linux rubyPackages.jekyll-theme-dinky | |
aarch64-linux linuxKernel.packages.linux_xanmod.akvcam | |
aarch64-darwin lua52Packages.rapidjson | |
x86_64-darwin python312Packages.mypy-boto3-iotsecuretunneling | |
aarch64-darwin perl538Packages.Starlet | |
x86_64-linux php83Extensions.gd | |
aarch64-darwin llvmPackages_12.clangNoLibc | |
aarch64-linux vimPlugins.vim-visualstar | |
aarch64-linux emacsPackages.vuiet | |
aarch64-darwin vimPlugins.vim-table-mode | |
aarch64-linux python311Packages.django_4 | |
x86_64-darwin emacsPackages.geoip | |
aarch64-linux appimagekit | |
x86_64-linux stack | |
aarch64-linux xorg.fontxfree86type1 | |
x86_64-linux haskellPackages.system-time-monotonic | |
x86_64-linux perl538Packages.ModuleFind | |
x86_64-darwin emacsPackages.brec-mode | |
aarch64-darwin perl538Packages.UNIVERSALisa | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.vue | |
x86_64-linux haskellPackages.kinds | |
x86_64-linux cudaPackagesGoogle.cuda_documentation | |
x86_64-darwin libbsd | |
aarch64-darwin perl538Packages.ClassReturnValue | |
aarch64-linux emacsPackages.fontaine | |
x86_64-linux python312Packages.meshlabxml | |
x86_64-linux emacsPackages.tide | |
x86_64-linux xc | |
x86_64-linux linuxPackages_6_7_hardened.rust-out-of-tree-module | |
aarch64-linux lua51Packages.luadbi-mysql | |
x86_64-darwin perl538Packages.DBDCSV | |
aarch64-linux unbound-full | |
x86_64-linux hred | |
aarch64-darwin vimPlugins.deoplete-fish | |
aarch64-darwin evolution-data-server | |
x86_64-linux dwarf-fortress-packages.legends-browser | |
x86_64-linux llvm_11 | |
aarch64-linux goss | |
x86_64-darwin perl536Packages.CPANMini | |
aarch64-linux python312Packages.django-vite | |
x86_64-linux volatility3 | |
x86_64-darwin python312Packages.sendgrid | |
x86_64-darwin vimPlugins.cmp-vimwiki-tags | |
aarch64-linux postgresql14JitPackages.plv8 | |
x86_64-linux rubyPackages_3_1.timeout | |
x86_64-darwin python311Packages.django-allauth | |
x86_64-linux liboauth | |
x86_64-darwin zpool-iostat-viz | |
aarch64-linux haskellPackages.atl | |
x86_64-linux haskellPackages.cpsa | |
x86_64-linux uefi-run | |
aarch64-linux ne | |
x86_64-darwin qcachegrind | |
x86_64-linux rubyPackages_3_2.dep-selector-libgecode | |
aarch64-darwin libretro.np2kai | |
aarch64-linux haskellPackages.ghc-tcplugin-api | |
aarch64-linux linuxPackages_6_7_hardened.nvidia_x11_vulkan_beta_open | |
aarch64-linux chromium | |
aarch64-darwin emacsPackages.desktop-registry | |
x86_64-linux symbiyosys | |
aarch64-darwin perl538Packages.X11Protocol | |
aarch64-linux home-assistant-component-tests.lawn_mower | |
x86_64-darwin python311Packages.py65 | |
aarch64-darwin python312Packages.yfinance | |
x86_64-linux itchiodl | |
x86_64-darwin python311Packages.pillowfight | |
aarch64-linux haskellPackages.pointed | |
x86_64-linux linuxPackages_6_7_hardened.rtl88x2bu | |
x86_64-linux gnomeExtensions.maccy-menu | |
aarch64-linux emacsPackages.dr-racket-like-unicode | |
x86_64-darwin emacsPackages.md-readme | |
x86_64-linux python311Packages.xlrd | |
x86_64-darwin elasticsearchPlugins.analysis-kuromoji | |
x86_64-linux linuxPackages_6_5_hardened.hyperv-daemons | |
x86_64-darwin haskellPackages.digraph | |
x86_64-darwin darwin.moltenvk | |
x86_64-linux home-assistant-component-tests.ovo_energy | |
aarch64-linux terraform-providers.utils | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.rtl8821cu | |
aarch64-darwin python311Packages.types-aiobotocore-workspaces | |
x86_64-linux citrix_workspace_23_09_0 | |
aarch64-linux emacsPackages.yapfify | |
x86_64-linux libykclient | |
x86_64-linux haskellPackages.async-ajax | |
aarch64-linux linuxKernel.packages.linux_6_5_hardened.decklink | |
aarch64-darwin gp2c | |
x86_64-linux rnp | |
aarch64-darwin postgresql14JitPackages.pgaudit | |
aarch64-darwin unnaturalscrollwheels | |
aarch64-darwin perl538Packages.EncodeEUCJPASCII | |
x86_64-darwin rubyPackages_3_3.github-pages | |
aarch64-darwin llvmPackages_16.clangNoCompilerRtWithLibc | |
x86_64-darwin haskellPackages.refined | |
x86_64-linux source-serif-pro | |
aarch64-linux vimPlugins.deoplete-greek | |
aarch64-linux haskellPackages.cubicbezier | |
aarch64-darwin nux | |
x86_64-linux perl538Packages.DigestJHash | |
aarch64-darwin iosevka-comfy.comfy-motion | |
x86_64-linux python312Packages.velbus-aio | |
aarch64-linux python311Packages.azure-mgmt-compute | |
aarch64-linux deepin.deepin-pdfium | |
aarch64-darwin haskellPackages.amazonka-personalize-runtime | |
x86_64-darwin direwolf | |
x86_64-linux python311Packages.mypy-boto3-sms-voice | |
aarch64-linux rubyPackages_3_3.httpclient | |
aarch64-linux emacsPackages.flymake-kondor | |
aarch64-linux haskell.compiler.native-bignum.ghc928 | |
x86_64-linux rubyPackages.actioncable | |
x86_64-linux python312Packages.solc-select | |
x86_64-darwin emacsPackages.urlenc | |
x86_64-linux emacsPackages.company-quickhelp-terminal | |
x86_64-darwin haskellPackages.shake | |
aarch64-linux gst_all_1.gst-plugins-ugly | |
aarch64-darwin python312Packages.packet-python | |
x86_64-linux vimPlugins.vim-haskell-module-name | |
x86_64-darwin python312Packages.tlslite-ng | |
x86_64-darwin emacsPackages.ivy-dired-history | |
x86_64-darwin alfis-nogui | |
x86_64-linux emacsPackages.php-scratch | |
x86_64-darwin python312Packages.poetry-core | |
aarch64-linux haskellPackages.raw-strings-qq | |
aarch64-linux rubyPackages_3_3.pry | |
aarch64-linux python311Packages.crcmod | |
x86_64-darwin haskellPackages.hix | |
aarch64-linux rocmPackages_5.mivisionx-cpu | |
x86_64-linux gnomeExtensions.grand-theft-focus | |
x86_64-darwin rubyPackages_3_1.sexp_processor | |
aarch64-darwin rubyPackages_3_1.simpleidn | |
x86_64-linux python311Packages.flask-pymongo | |
x86_64-linux emacsPackages.my-repo-pins | |
x86_64-linux eternal-terminal | |
aarch64-linux plasma5Packages.qtdatavis3d | |
aarch64-linux ibus-theme-tools | |
aarch64-linux emacsPackages.earthfile-mode | |
x86_64-linux tagparser | |
aarch64-darwin emacsPackages.abl-mode | |
x86_64-linux haskellPackages.opentheory-primitive | |
aarch64-darwin webalizer | |
x86_64-darwin vimPlugins.coc-go | |
x86_64-linux plasma5Packages.pulseaudio-qt | |
aarch64-linux tofi | |
aarch64-darwin python312Packages.sentry-sdk | |
x86_64-darwin python311Packages.zope-hookable | |
x86_64-darwin emacsPackages.jenkins | |
x86_64-linux python311Packages.types-aiobotocore-devicefarm | |
x86_64-darwin emacsPackages.impatient-showdown | |
aarch64-linux wily | |
aarch64-darwin gtest | |
aarch64-darwin haskellPackages.monads-fd | |
x86_64-linux emacsPackages.jam-mode | |
aarch64-linux sonobus | |
x86_64-linux python311Packages.pysbd | |
x86_64-darwin fehlstart | |
aarch64-linux fira-code | |
x86_64-darwin python311Packages.asana | |
aarch64-darwin perl536Packages.BusinessHours | |
x86_64-linux chickenPackages_5.chickenEggs.srfi-66 | |
aarch64-darwin python311Packages.deprecated | |
x86_64-darwin python311Packages.hyperscan | |
aarch64-linux python311Packages.rtp | |
aarch64-darwin perl538Packages.JavaScriptMinifierXS | |
x86_64-linux python312Packages.xkbcommon | |
aarch64-darwin rubyPackages_3_1.rubocop-ast | |
x86_64-darwin python312Packages.virtkey | |
aarch64-linux zs-apc-spdu-ctl | |
x86_64-linux haskellPackages.hourglass-orphans | |
aarch64-darwin perl536Packages.PodParser | |
x86_64-darwin python311Packages.mistune | |
x86_64-darwin python311Packages.wifi | |
aarch64-darwin haskellPackages.sequence-formats | |
aarch64-linux haskellPackages.fec | |
x86_64-linux emacsPackages.ob-prolog | |
x86_64-linux emacsPackages.hungry-delete | |
x86_64-darwin python312Packages.freetype-py | |
x86_64-darwin prometheus-collectd-exporter | |
aarch64-linux python311Packages.django-tastypie | |
aarch64-darwin python312Packages.pyviz-comms | |
x86_64-linux vscode-extensions.disneystreaming.smithy | |
x86_64-darwin python311Packages.piccolo-theme | |
x86_64-linux open-interpreter | |
aarch64-darwin xprite-editor | |
aarch64-linux emacsPackages.consult-recoll | |
x86_64-linux haskellPackages.unix-simple | |
x86_64-darwin python311Packages.click-spinner | |
x86_64-linux stxxl | |
aarch64-darwin audible-cli | |
x86_64-linux wikicurses | |
x86_64-linux python312Packages.django-paintstore | |
x86_64-linux gnomeExtensions.debian-linux-updates-indicator | |
aarch64-darwin perl538Packages.TieRefHash | |
x86_64-linux rubyPackages.pry-doc | |
aarch64-linux haskellPackages.haskell-dap | |
x86_64-linux python312Packages.certomancer | |
aarch64-linux emacsPackages.tsort | |
x86_64-linux numactl | |
aarch64-linux linuxPackages_6_5_hardened.openafs | |
x86_64-darwin perl536Packages.ShellCommand | |
aarch64-linux emacsPackages.org-tree-slide-pauses | |
aarch64-linux aspellDicts.ar | |
aarch64-linux byobu | |
x86_64-linux berry | |
aarch64-linux linuxPackages_6_7_hardened.mba6x_bl | |
aarch64-linux postgresql12Packages.plpgsql_check | |
aarch64-linux python312Packages.xmind | |
aarch64-linux chickenPackages_5.chickenEggs.pseudo-meta-egg-info | |
aarch64-darwin redocly-cli | |
x86_64-darwin python312Packages.yacs | |
x86_64-linux haskellPackages.roots | |
x86_64-darwin python311Packages.ipwhois | |
aarch64-linux nss_ldap | |
aarch64-linux haskellPackages.concur-core | |
x86_64-darwin rubyPackages.jekyll-theme-primer | |
x86_64-linux haskellPackages.karver | |
aarch64-darwin perl538Packages.CatalystPluginSessionStateCookie | |
aarch64-darwin jabcode-writer | |
x86_64-darwin rubyPackages_3_3.docile | |
x86_64-darwin git-latexdiff | |
aarch64-linux python312Packages.pydns | |
aarch64-darwin haskellPackages.spirv-reflect-ffi | |
x86_64-darwin emacsPackages.counsel-css | |
aarch64-linux go-symbols | |
aarch64-linux linuxPackages.framework-laptop-kmod | |
x86_64-darwin hidrd | |
x86_64-darwin chickenPackages_5.chickenEggs.system | |
aarch64-linux sane-frontends | |
x86_64-linux sqlite-analyzer | |
aarch64-linux perl536Packages.DataPage | |
aarch64-linux haskellPackages.time-patterns | |
aarch64-linux haskellPackages.amazonka-cognito-idp | |
x86_64-darwin beanstalkd | |
aarch64-darwin haskellPackages.shakespeare-text | |
aarch64-darwin suitesparse_4_4 | |
x86_64-linux haskellPackages.reform-happstack | |
aarch64-darwin kotlin-native | |
aarch64-linux dcfldd | |
x86_64-darwin gtkwave | |
x86_64-linux python311Packages.bleak | |
aarch64-darwin python312Packages.xstatic-asciinema-player | |
aarch64-darwin emacsPackages.centered-cursor-mode | |
aarch64-darwin imgproxy | |
x86_64-linux pferd | |
aarch64-darwin netsurf.nsgenbind | |
aarch64-linux genromfs | |
aarch64-linux idrisPackages.sdl | |
aarch64-linux python311Packages.certomancer | |
aarch64-darwin python311Packages.livereload | |
aarch64-darwin emacsPackages.bap-mode | |
aarch64-darwin emacsPackages.nyx-theme | |
aarch64-darwin tilem | |
aarch64-linux lua54Packages.fzy | |
x86_64-linux avrdude | |
aarch64-linux mynewt-newtmgr | |
aarch64-darwin python311Packages.simplemma | |
x86_64-linux python311Packages.types-aiobotocore-macie2 | |
aarch64-linux haskellPackages.isbn | |
x86_64-linux waybar-mpris | |
aarch64-linux haskellPackages.prairie | |
aarch64-linux postgresql15JitPackages.smlar | |
aarch64-linux yubikey-manager | |
x86_64-linux python311Packages.stransi | |
aarch64-linux haskellPackages.camh | |
aarch64-darwin python311Packages.spotipy | |
aarch64-darwin beetsPackages.alternatives | |
aarch64-darwin mariadb_105 | |
aarch64-linux mgba | |
aarch64-darwin python311Packages.vyper | |
x86_64-linux perl538Packages.NetIPv4Addr | |
aarch64-linux emacsPackages.llvm-mode | |
x86_64-darwin icu58 | |
x86_64-darwin rubyPackages_3_2.cairo | |
aarch64-linux emacsPackages.git-gutter-fringe | |
aarch64-darwin emacsPackages.sourcekit | |
x86_64-linux python312Packages.eyed3 | |
x86_64-linux tests.hardeningFlags-clang.fortify1ExplicitEnabledCmdlineDisabledNoWarn | |
aarch64-darwin gnudatalanguage | |
x86_64-linux dcm2niix | |
x86_64-linux python311Packages.borb | |
x86_64-darwin python311Packages.dawg-python | |
x86_64-darwin python312Packages.intervaltree | |
x86_64-linux haskellPackages.rhythmic-sequences | |
x86_64-linux haskellPackages.hs-openmoji-data | |
x86_64-linux libsForQt5.drumstick | |
x86_64-darwin python311Packages.mdformat-nix-alejandra | |
x86_64-linux python312Packages.devolo-home-control-api | |
x86_64-linux rubyPackages_3_1.minima | |
x86_64-linux haskellPackages.bytestring-nums | |
x86_64-linux postgresql14Packages.repmgr | |
x86_64-linux emacsPackages.latex-math-preview | |
x86_64-linux miniupnpc | |
x86_64-linux python312Packages.pyhomeworks | |
aarch64-darwin snipe-it | |
x86_64-linux google-guest-agent | |
x86_64-linux gkraken | |
x86_64-linux python312Packages.jaraco-logging | |
x86_64-darwin znc | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.ch9344 | |
aarch64-darwin haskellPackages.nvfetcher | |
x86_64-linux libjson | |
aarch64-darwin perl536Packages.BytesRandomSecureTiny | |
aarch64-darwin perl536Packages.GraphicsColor | |
x86_64-linux python312Packages.textdistance | |
x86_64-darwin perl538Packages.EmailSender | |
x86_64-darwin deepdiff | |
aarch64-linux python312Packages.pytrends | |
x86_64-linux perl538Packages.IMAPClient | |
aarch64-darwin haskellPackages.typenums | |
x86_64-linux emacsPackages.synquid | |
aarch64-linux libsForQt5.kteatime | |
aarch64-darwin zeroc-ice-cpp11 | |
x86_64-darwin perl538Packages.DevelCamelcadedb | |
aarch64-linux jsonnet | |
x86_64-darwin idrisPackages.farrp | |
x86_64-linux python312Packages.google-reauth | |
x86_64-darwin rpm2targz | |
x86_64-darwin haskellPackages.bin | |
x86_64-darwin emacsPackages.bufferlo | |
x86_64-linux rubyPackages_3_3.jekyll-default-layout | |
aarch64-linux shadowsocks-v2ray-plugin | |
x86_64-darwin xorg.xcompmgr | |
x86_64-linux glasstty-ttf | |
aarch64-darwin python312Packages.bytecode | |
aarch64-linux apacheAnt | |
aarch64-darwin unbound-with-systemd | |
x86_64-linux python312Packages.testpath | |
aarch64-linux linuxPackages-libre.acpi_call | |
x86_64-linux faketty | |
aarch64-darwin emacsPackages.prettify-greek | |
aarch64-darwin haskellPackages.pango | |
aarch64-linux emacsPackages.flx-ido | |
x86_64-linux emacsPackages.chordpro-mode | |
aarch64-linux python312Packages.pysigma-backend-qradar | |
aarch64-linux emacsPackages.uncrustify-mode | |
x86_64-linux haskellPackages.vertexenum | |
x86_64-linux haskellPackages.xml-hamlet | |
x86_64-darwin hunspellDicts.es_CU | |
aarch64-linux python312Packages.lazy-import | |
aarch64-linux python311Packages.anywidget | |
x86_64-linux opensycl | |
x86_64-darwin idrisPackages.idrisscript | |
aarch64-linux gpac | |
x86_64-darwin libsForQt5.qt5.qtvirtualkeyboard | |
x86_64-linux python311Packages.click-option-group | |
aarch64-darwin python312Packages.bip-utils | |
aarch64-darwin envio | |
x86_64-linux rubyPackages_3_3.multi_json | |
aarch64-linux haskellPackages.clientsession | |
x86_64-darwin hydrus | |
aarch64-darwin emacsPackages.copyit | |
aarch64-darwin emacsPackages.erk | |
x86_64-linux haskellPackages.funcmp | |
aarch64-darwin lua51Packages.cassowary | |
x86_64-linux python311Packages.azure-mgmt-commerce | |
aarch64-linux python311Packages.prometheus-pandas | |
x86_64-linux linuxPackages_zen.zfsStable | |
aarch64-linux chickenPackages_5.chickenEggs.rope | |
aarch64-darwin lua52Packages.luacheck | |
x86_64-linux python312Packages.mkdocs-minify-plugin | |
x86_64-darwin xpwn | |
aarch64-linux haskellPackages.gi-gstbase | |
x86_64-darwin python312Packages.types-aiobotocore-privatenetworks | |
aarch64-darwin python311Packages.pycups | |
x86_64-linux emacsPackages.gmpl-mode | |
aarch64-linux chickenPackages_5.chickenEggs.srfi-60 | |
aarch64-darwin python311Packages.djangorestframework-stubs | |
x86_64-linux acl | |
aarch64-darwin lux | |
x86_64-linux vimPlugins.wal-vim | |
x86_64-linux lua54Packages.std-_debug | |
x86_64-darwin python312Packages.gnureadline | |
aarch64-darwin boxes | |
x86_64-linux luaPackages.telescope-manix | |
aarch64-linux python311Packages.aliyun-python-sdk-config | |
x86_64-darwin vimPlugins.nvim-coverage | |
aarch64-darwin postgresql16JitPackages.repmgr | |
aarch64-linux python312Packages.lief | |
x86_64-linux emacsPackages.image-dired+ | |
aarch64-linux dovecot | |
x86_64-darwin haskellPackages.hs-conllu | |
aarch64-darwin webdis | |
x86_64-darwin haskellPackages.HoleyMonoid | |
aarch64-darwin pifpaf | |
aarch64-linux vkmark | |
x86_64-linux juicefs | |
x86_64-darwin vimPlugins.vim-janah | |
x86_64-linux llvmPackages_17.bintools | |
aarch64-darwin haskellPackages.amazonka-comprehend | |
aarch64-darwin python312Packages.pybtex | |
aarch64-darwin hfinger | |
aarch64-darwin lua53Packages.lualogging | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.openrazer | |
aarch64-darwin haskellPackages.hs2048 | |
x86_64-darwin haskellPackages.indexed-extras | |
aarch64-darwin emacsPackages.srht | |
aarch64-linux python311Packages.mypy-boto3-autoscaling | |
x86_64-linux emacsPackages.addressbook-bookmark | |
aarch64-linux python312Packages.mypy-boto3-serverlessrepo | |
x86_64-linux rubyPackages_3_2.cocoapods-keys | |
x86_64-darwin domine | |
aarch64-darwin emacsPackages.orderless | |
aarch64-darwin indexed-bzip2 | |
aarch64-linux python312Packages.colout | |
x86_64-linux cgreen | |
x86_64-darwin jsonnet | |
x86_64-linux libmspack | |
x86_64-linux haskellPackages.amazonka-mediaconvert | |
x86_64-linux linuxPackages_latest-libre.acpi_call | |
aarch64-darwin docker-sbom | |
x86_64-darwin python311Packages.open-interpreter | |
x86_64-linux python312Packages.karton-yaramatcher | |
aarch64-linux timbreid | |
aarch64-darwin emacsPackages.dklrt | |
x86_64-linux python312Packages.python-packer | |
aarch64-darwin python311Packages.types-aiobotocore-cloudsearchdomain | |
aarch64-linux zlint | |
x86_64-linux gnomeExtensions.ddnet-friends-panel | |
x86_64-linux safety-cli | |
x86_64-linux metricbeat | |
x86_64-darwin python311Packages.mypy-boto3-kinesis-video-webrtc-storage | |
aarch64-darwin vimPlugins.moonscript-vim | |
x86_64-linux haskellPackages.amazonka-kinesis-video-media | |
x86_64-darwin haskellPackages.acme-circular-containers | |
x86_64-linux python311Packages.aioemonitor | |
x86_64-linux dk | |
aarch64-darwin perl538Packages.Perl6Junction | |
x86_64-darwin haskellPackages.patrol | |
x86_64-linux rubyPackages_3_3.cocoapods-expert-difficulty | |
aarch64-darwin python311Packages.babelfish | |
aarch64-linux meerk40t | |
aarch64-linux emacsPackages.ng2-mode | |
x86_64-linux haskellPackages.typst-symbols | |
aarch64-darwin libqb | |
x86_64-linux libsForQt5.plasma-applet-virtual-desktop-bar | |
x86_64-linux chickenPackages_5.chickenEggs.allegro | |
x86_64-darwin python311Packages.types-aiobotocore-mediaconvert | |
aarch64-darwin haskellPackages.aeson-warning-parser | |
aarch64-darwin perl538Packages.Perlosnames | |
x86_64-darwin urdfdom | |
aarch64-darwin emacsPackages.dkdo | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.tlaplus | |
x86_64-darwin luajitPackages.luarocks-nix | |
x86_64-linux pps-tools | |
x86_64-linux haskellPackages.HaXml | |
x86_64-linux clickhouse-cli | |
aarch64-darwin rubyPackages.fiddle | |
x86_64-darwin nushell | |
x86_64-linux kvmtool | |
aarch64-darwin perl538Packages.HTTPParserXS | |
x86_64-darwin terraform-providers.azurestack | |
aarch64-linux linuxPackages_5_15_hardened.fwts-efi-runtime | |
x86_64-linux python311Packages.googlemaps | |
aarch64-linux emacsPackages.graphene-meta-theme | |
aarch64-darwin chickenPackages_5.chickenEggs.md5 | |
x86_64-darwin python311Packages.flit | |
x86_64-linux haskellPackages.servant | |
x86_64-linux haskellPackages.ghcjs-dom-jsaddle | |
x86_64-linux python311Packages.libfdt | |
aarch64-linux smartmontools | |
aarch64-linux vimPlugins.deoplete-fish | |
x86_64-darwin cool-retro-term | |
x86_64-linux httraqt | |
x86_64-darwin libu2f-host | |
aarch64-linux dbus-cpp | |
x86_64-linux python311Packages.lanms-neo | |
x86_64-linux python311Packages.langchain-community | |
aarch64-linux gpodder | |
aarch64-darwin llvmPackages_9.clangNoCompilerRt | |
x86_64-darwin haskellPackages.cdeps | |
x86_64-linux rocmPackages.tensile | |
aarch64-linux python312Packages.losant-rest | |
x86_64-darwin python312Packages.pypca | |
aarch64-linux hunspellDicts.nb-no | |
aarch64-linux terraform-providers.digitalocean | |
x86_64-darwin dovecot_fts_xapian | |
x86_64-linux python311Packages.sanic-auth | |
x86_64-linux emacsPackages.amd-mode | |
x86_64-darwin krapslog | |
aarch64-darwin haskellPackages.jack | |
x86_64-linux subxt | |
x86_64-linux rubyPackages_3_2.dip | |
aarch64-darwin haskellPackages.attenuation | |
aarch64-linux waybar-mpris | |
aarch64-darwin cargo-pgx | |
aarch64-linux emacsPackages.suggestion-box | |
x86_64-darwin python311Packages.types-aiobotocore-codebuild | |
x86_64-linux haskellPackages.rowdy | |
x86_64-linux titanium | |
aarch64-linux molot-lite | |
x86_64-linux python311Packages.allure-python-commons | |
x86_64-linux vimPlugins.vim-crates | |
aarch64-linux zeromq4 | |
aarch64-linux linuxPackages_hardened.ax99100 | |
x86_64-darwin python311Packages.dash-core-components | |
x86_64-linux pypi-mirror | |
x86_64-darwin icecream | |
x86_64-darwin haskellPackages.html-entity | |
aarch64-linux haskellPackages.affinely-extended | |
x86_64-linux haskellPackages.defaultable-map | |
x86_64-linux dtool | |
x86_64-linux perl536Packages.ExtUtilsMakeMaker | |
x86_64-darwin numbat | |
x86_64-linux direvent | |
aarch64-darwin waypoint | |
aarch64-darwin emacsPackages.disaster | |
aarch64-linux perl538Packages.SQLStatement | |
x86_64-darwin gemstash | |
x86_64-linux fluent-bit | |
x86_64-linux linuxKernel.packages.linux_4_19.linux-gpib | |
aarch64-darwin emacsPackages.elscreen-buffer-group | |
x86_64-linux dart-sass | |
x86_64-darwin python312Packages.zope-location | |
aarch64-linux perl538Packages.TimeParseDate | |
aarch64-darwin emacsPackages.monky | |
aarch64-darwin vimPlugins.codewindow-nvim | |
x86_64-linux dotnetPackages.MaxMindGeoIP2 | |
aarch64-darwin meld | |
aarch64-linux haskellPackages.solana-staking-csvs | |
x86_64-darwin llvmPackages_11.clangNoLibcxx | |
aarch64-linux python312Packages.gekko | |
aarch64-linux pgmetrics | |
x86_64-linux notcurses | |
aarch64-linux haskellPackages.postgresql-simple-url | |
aarch64-linux python312Packages.aioprometheus | |
aarch64-linux python311Packages.dm-tree | |
aarch64-darwin haskellPackages.midi-util | |
x86_64-linux mapcidr | |
aarch64-linux linuxPackages_zen.pktgen | |
aarch64-darwin python311Packages.iocapture | |
aarch64-linux memcached | |
x86_64-darwin nq | |
x86_64-linux cairo-lang | |
x86_64-linux php83Extensions.pdo_odbc | |
aarch64-linux haskellPackages.average | |
x86_64-linux emacsPackages.darkmine-theme | |
aarch64-linux home-assistant-component-tests.totalconnect | |
x86_64-linux theLoungePlugins.themes.bmorning | |
x86_64-linux rubyPackages_3_2.commonmarker | |
x86_64-darwin gramps | |
aarch64-linux libxkbcommon | |
aarch64-linux vimPlugins.vim-helm | |
aarch64-linux plasma5Packages.qt5.qtwebglplugin | |
x86_64-darwin rubyPackages.whois | |
aarch64-linux python311Packages.pyglm | |
aarch64-linux gpsbabel | |
aarch64-darwin python311Packages.pylibftdi | |
x86_64-darwin python312Packages.azure-mgmt-appconfiguration | |
aarch64-darwin perl536Packages.TestMockObject | |
aarch64-linux memento | |
aarch64-darwin perl538Packages.MathGMPz | |
x86_64-linux chamber | |
aarch64-darwin coqPackages.reglang | |
aarch64-linux slimerjs | |
aarch64-linux python311Packages.types-aiobotocore-chime-sdk-meetings | |
aarch64-linux python311Packages.aiotankerkoenig | |
aarch64-linux liblqr1 | |
aarch64-darwin postgresql15JitPackages.plr | |
aarch64-darwin rubyPackages_3_1.fiddle | |
aarch64-linux autotiling-rs | |
x86_64-linux postgresql15Packages.pg_hint_plan | |
aarch64-linux buildbot-plugins.react-wsgi-dashboards | |
x86_64-linux emacsPackages.package+ | |
aarch64-linux emacsPackages.repo | |
aarch64-darwin emacsPackages.ivy-hydra | |
aarch64-linux perl536Packages.MooseXTypesLoadableClass | |
aarch64-linux haskellPackages.fileplow | |
x86_64-darwin pv | |
x86_64-linux python311Packages.pdfplumber | |
aarch64-linux python312Packages.astropy-helpers | |
aarch64-linux perl536Packages.Perlosnames | |
aarch64-linux rubyPackages.dnsruby | |
aarch64-darwin haskellPackages.snack | |
aarch64-darwin avell-unofficial-control-center | |
x86_64-linux python311Packages.jaxlib-bin | |
aarch64-linux perl536Packages.Gnome2VFS | |
x86_64-darwin python312Packages.pyownet | |
aarch64-linux haskellPackages.haskell-time-range | |
aarch64-darwin python311Packages.whispers | |
aarch64-linux emacsPackages.avy-embark-collect | |
x86_64-linux vimPlugins.neovim-sensible | |
x86_64-darwin resumed | |
x86_64-linux emacsPackages.evil-escape | |
aarch64-darwin libvisio | |
x86_64-darwin emacsPackages.sunrise-commander | |
aarch64-darwin emacsPackages.term-project | |
aarch64-linux haskellPackages.validation-selective | |
x86_64-darwin python312Packages.openstackdocstheme | |
x86_64-linux perl536Packages.ZonemasterEngine | |
x86_64-darwin python311Packages.opentelemetry-exporter-otlp-proto-common | |
aarch64-linux goxel | |
x86_64-darwin qlcplus | |
x86_64-linux libsForQt5.applet-window-buttons | |
aarch64-darwin emacsPackages.jmespath | |
x86_64-darwin python312Packages.pyscreeze | |
aarch64-linux vimPlugins.tagbar | |
x86_64-darwin postgresql16Packages.postgis | |
aarch64-linux python311Packages.macropy | |
aarch64-linux python311Packages.levenshtein | |
aarch64-linux vimPlugins.gitv | |
x86_64-linux rocmPackages_5.rocmlir | |
aarch64-linux python312Packages.python-socketio | |
x86_64-linux vimPlugins.vim-unicoder | |
x86_64-linux perl538Packages.DataInteger | |
aarch64-linux emacsPackages.travis | |
x86_64-darwin haskellPackages.gi-graphene | |
x86_64-linux python311Packages.logbook | |
x86_64-darwin lua54Packages.luazip | |
x86_64-linux haskellPackages.bimap | |
aarch64-linux emacsPackages.dart-server | |
x86_64-darwin python311Packages.uamqp | |
x86_64-linux exportarr | |
x86_64-darwin perl536Packages.MathProvablePrime | |
x86_64-darwin python311Packages.pyprobables | |
aarch64-linux libclxclient | |
x86_64-linux python312Packages.nptyping | |
aarch64-darwin cproto | |
x86_64-linux haskellPackages.massiv-io | |
aarch64-darwin libcryptui | |
aarch64-darwin minc_widgets | |
x86_64-darwin python312Packages.types-aiobotocore-elasticache | |
x86_64-darwin haskellPackages.search-algorithms | |
aarch64-darwin tflint | |
aarch64-darwin aegisub | |
x86_64-linux emacsPackages.ibuffer-rcirc | |
aarch64-darwin elasticsearch | |
x86_64-linux python311Packages.ddt | |
x86_64-linux bitlbee-facebook | |
aarch64-darwin emacsPackages.frameshot | |
x86_64-darwin postgresql14Packages.pg_topn | |
aarch64-darwin perl536Packages.MathBigIntGMP | |
aarch64-darwin icemon | |
aarch64-linux cudaPackagesGoogle.nccl-tests | |
aarch64-linux python311Packages.qualysclient | |
x86_64-darwin emacsPackages.erc-twitch | |
aarch64-darwin python312Packages.pypandoc | |
aarch64-darwin python312Packages.yaramod | |
x86_64-darwin haskellPackages.context-free-art | |
aarch64-linux haskellPackages.amazonka-s3-streaming | |
x86_64-linux linuxPackages_xanmod_latest.virtualboxGuestAdditions | |
aarch64-linux libgpg-error | |
aarch64-linux python312Packages.mattermostdriver | |
aarch64-darwin aioblescan | |
x86_64-darwin xavs | |
x86_64-linux nuspell | |
x86_64-linux python311Packages.jsonargparse | |
x86_64-linux dxvk | |
x86_64-linux haskellPackages.strict-base | |
aarch64-linux emacsPackages.morgentau-theme | |
aarch64-darwin rubyPackages_3_3.addressable | |
x86_64-linux haskellPackages.ordering-duplicates | |
x86_64-darwin perl536Packages.XMLDOM | |
x86_64-linux haskellPackages.drifter | |
aarch64-linux python312Packages.py-bip39-bindings | |
aarch64-darwin perl536Packages.MooseXRunnable | |
x86_64-darwin ytarchive | |
aarch64-linux emacsPackages.transfer-sh | |
x86_64-linux linuxKernel.packages.linux_lqx.bbswitch | |
x86_64-linux fsearch | |
aarch64-darwin perl536Packages.CryptURandom | |
x86_64-linux dwarf2json | |
x86_64-linux python311Packages.ascii-magic | |
x86_64-darwin emacsPackages.outshine | |
aarch64-linux odo | |
x86_64-linux python311Packages.python-daemon | |
x86_64-darwin python311Packages.types-aiobotocore-health | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.veikk-linux-driver | |
aarch64-darwin pfetch-rs | |
x86_64-linux rubyPackages.jmespath | |
x86_64-darwin perl538Packages.DistZillaPluginTestVersion | |
aarch64-linux proj_7 | |
aarch64-darwin crawlTiles | |
aarch64-linux python312Packages.marshmallow-sqlalchemy | |
x86_64-darwin lrdf | |
aarch64-linux pwncat | |
aarch64-linux rubyPackages_3_3.taglib-ruby | |
x86_64-linux linuxKernel.packages.linux_5_10.system76-scheduler | |
aarch64-darwin python311Packages.heapdict | |
aarch64-linux emacsPackages.sysctl | |
x86_64-darwin python311Packages.snowflake-connector-python | |
x86_64-linux lua53Packages.lualdap | |
aarch64-linux haskellPackages.insert-ordered-containers | |
aarch64-darwin postgresql14Packages.pgvector | |
aarch64-linux emacsPackages.gitlab-ci-mode | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.fortran | |
x86_64-darwin emacsPackages.indent-guide | |
x86_64-darwin libnats-c | |
x86_64-darwin haskellPackages.histogram-fill | |
aarch64-linux swift-corelibs-libdispatch | |
x86_64-linux haskellPackages.data-or | |
x86_64-linux linuxKernel.packages.linux_6_6.ena | |
aarch64-darwin python311Packages.mautrix | |
aarch64-darwin vimPlugins.vim-figlet | |
aarch64-linux haskellPackages.plucky | |
aarch64-linux python312Packages.pykdtree | |
aarch64-darwin python311Packages.types-aiobotocore-service-quotas | |
aarch64-darwin python312Packages.tinyobjloader-py | |
aarch64-linux perl538Packages.IPCountry | |
aarch64-linux python312Packages.ms-active-directory | |
x86_64-linux python312Packages.autopep8 | |
x86_64-darwin emacsPackages.quilt | |
aarch64-linux comic-mono | |
x86_64-linux haskellPackages.haskell-gi | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.pascal | |
x86_64-linux emacsPackages.logpad | |
x86_64-darwin perl536Packages.CacheMemcachedFast | |
x86_64-linux vimPlugins.fennel-vim | |
x86_64-linux linuxPackages_4_19_hardened.openafs | |
aarch64-linux xfce.xfdesktop | |
x86_64-darwin prometheus-dovecot-exporter | |
x86_64-linux gnomeExtensions.bottom-overview | |
aarch64-darwin vimPlugins.nvim-lsp-ts-utils | |
aarch64-linux haskellPackages.quickselect | |
aarch64-darwin perl538Packages.LogTrace | |
x86_64-linux luajitPackages.luadbi-postgresql | |
x86_64-darwin vimPlugins.mkdx | |
aarch64-darwin at-spi2-atk | |
x86_64-linux haskellPackages.hscrtmpl | |
aarch64-darwin haskellPackages.uncaught-exception | |
x86_64-darwin python312Packages.base64io | |
x86_64-linux star | |
x86_64-linux perl536Packages.SoftwareLicenseCCpack | |
x86_64-linux apache-jena | |
x86_64-darwin python311Packages.mypy-boto3-dynamodbstreams | |
x86_64-darwin youtube-music | |
x86_64-darwin wrk | |
aarch64-linux samba4 | |
x86_64-linux dotnetCorePackages.runtime_7_0 | |
x86_64-darwin postgresql12Packages.temporal_tables | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.hid-ite8291r3 | |
aarch64-linux mspds | |
aarch64-linux linuxKernel.packages.linux_5_15.rtl8723ds | |
aarch64-linux python312Packages.enzyme | |
aarch64-darwin haskellPackages.cryptonite | |
x86_64-darwin haskellPackages.dobutokO-effects | |
x86_64-linux haskellPackages.either-result | |
aarch64-linux sierra-gtk-theme | |
aarch64-linux haskellPackages.getopt-generics | |
aarch64-darwin python311Packages.mailchecker | |
x86_64-linux ArchiSteamFarm | |
x86_64-linux traefik | |
x86_64-darwin emacsPackages.cardano-tx | |
x86_64-linux python312Packages.xstatic-pygments | |
x86_64-linux home-assistant-component-tests.sma | |
aarch64-darwin haskellPackages.orthotope | |
aarch64-darwin tuntox | |
aarch64-linux ibus-engines.table-others | |
aarch64-linux perl536Packages.LocaleUtilsPlaceholderMaketext | |
x86_64-linux python311Packages.mypy-boto3-sagemaker-metrics | |
x86_64-linux xorg.xf86videomga | |
x86_64-linux python312Packages.pysyncobj | |
aarch64-darwin haskellPackages.cryptohash-md5 | |
x86_64-linux python312Packages.pyjsparser | |
x86_64-linux redshift | |
aarch64-linux libsForQt5.kdf | |
x86_64-linux python311Packages.wrf-python | |
x86_64-linux rubyPackages_3_3.indieweb-endpoints | |
aarch64-darwin python312Packages.pypdf2 | |
aarch64-linux rubyPackages.http-accept | |
aarch64-darwin python311Packages.sphinx-testing | |
x86_64-linux steamPackages.steam | |
x86_64-darwin emacsPackages.khalel | |
aarch64-linux extrace | |
x86_64-linux stylish-haskell | |
x86_64-linux gtt | |
x86_64-linux perl538Packages.CryptPassphraseArgon2 | |
x86_64-linux emacsPackages.diminish | |
aarch64-linux emacsPackages.coffee-mode | |
x86_64-darwin postgresql16JitPackages.hypopg | |
aarch64-darwin python311Packages.torrequest | |
x86_64-linux cloog_0_18_0 | |
aarch64-darwin emacsPackages.helm-aws | |
x86_64-darwin emacsPackages.rubocop | |
x86_64-linux vimPlugins.obsidian-nvim | |
aarch64-linux python312Packages.extras | |
aarch64-linux python312Packages.stickytape | |
x86_64-darwin rubyPackages_3_3.tiny_tds | |
aarch64-darwin qqwing | |
aarch64-linux python311Packages.neoteroi-mkdocs | |
aarch64-darwin python311Packages.pystemd | |
x86_64-darwin vaultwarden-postgresql | |
x86_64-darwin vimPlugins.vim-sexp-mappings-for-regular-people | |
aarch64-darwin perl538Packages.DigestMD5File | |
x86_64-darwin python312Packages.schemdraw | |
x86_64-darwin perl538Packages.Test2PluginMemUsage | |
x86_64-linux python311Packages.mypy-boto3-codeartifact | |
aarch64-darwin ngt | |
x86_64-darwin python311Packages.rangeparser | |
aarch64-linux rnginline | |
x86_64-linux yasm | |
x86_64-linux linuxKernel.packages.linux_5_15.mbp2018-bridge-drv | |
aarch64-darwin perl536Packages.CursesUIGrid | |
x86_64-darwin postgresql13Packages.plr | |
aarch64-linux python312Packages.typical | |
x86_64-linux hll2390dw-cups | |
aarch64-darwin qt5.qtwebview | |
aarch64-linux spring-boot-cli | |
aarch64-darwin xonsh | |
aarch64-linux perl536Packages.PerlCriticCommunity | |
x86_64-darwin emacsPackages.evil-commentary | |
aarch64-linux haskellPackages.kind-apply | |
aarch64-linux vimPlugins.traces-vim | |
aarch64-darwin rubyPackages_3_3.jekyll-theme-cayman | |
x86_64-linux opencv4 | |
x86_64-darwin sumatra | |
aarch64-linux python311Packages.tvdb-api | |
x86_64-linux emacsPackages.zim-wiki-mode | |
x86_64-linux postgresql16JitPackages.temporal_tables | |
x86_64-darwin luajitPackages.lpeg_patterns | |
x86_64-linux perl536Packages.CryptRandPasswd | |
x86_64-darwin haskellPackages.async-io-either | |
aarch64-linux linuxKernel.packages.linux_5_15.gasket | |
x86_64-darwin emacsPackages.arxiv-mode | |
x86_64-linux emacsPackages.mkdown | |
x86_64-linux python311Packages.google-cloud-compute | |
x86_64-darwin perl538Packages.TypesSerialiser | |
x86_64-darwin chickenPackages_5.chickenEggs.srfi-37 | |
x86_64-darwin python312Packages.flask-restx | |
aarch64-linux emacsPackages.window-number | |
aarch64-darwin emacsPackages.eldoc-box | |
aarch64-linux ptunnel | |
x86_64-darwin haskellPackages.markup-parse | |
x86_64-darwin postgresql13JitPackages.apache_datasketches | |
x86_64-darwin emacsPackages.fill-page | |
x86_64-darwin hydrogen-web-unwrapped | |
aarch64-linux haskellPackages.psql-helpers | |
x86_64-linux emacsPackages.myanmar-input-methods | |
x86_64-darwin python311Packages.pyformlang | |
x86_64-darwin haskellPackages.xml-lens | |
aarch64-darwin python312Packages.traitlets | |
aarch64-linux python312Packages.django-sekizai | |
aarch64-linux python312Packages.python-keycloak | |
x86_64-linux haskellPackages.hsc2hs | |
x86_64-darwin gap-minimal | |
aarch64-linux chickenPackages_5.chickenEggs.amb | |
aarch64-linux terraform-providers.time | |
x86_64-darwin wafHook | |
aarch64-linux rubyPackages.highline | |
aarch64-linux haskellPackages.blas-carray | |
x86_64-linux cargo-workspaces | |
aarch64-linux python311Packages.overly | |
x86_64-linux bubblewrap | |
aarch64-darwin haskellPackages.amazonka-codebuild | |
aarch64-linux python311Packages.aioguardian | |
x86_64-linux sierra-breeze-enhanced | |
x86_64-darwin haskellPackages.symmetric-properties | |
aarch64-darwin libsForQt5.kdav | |
x86_64-linux keepwn | |
x86_64-linux perl536Packages.NetDBus | |
x86_64-darwin swig4 | |
aarch64-linux perl538Packages.TestTCP | |
aarch64-linux haskellPackages.tuple-sop | |
x86_64-linux perl536Packages.PlackAppProxy | |
x86_64-darwin rubyPackages_3_3.mime-types-data | |
aarch64-linux cdrkit | |
x86_64-darwin python311Packages.samsungctl | |
x86_64-darwin rubyPackages_3_1.mini_magick | |
aarch64-linux rsyslog | |
x86_64-linux linuxPackages_lqx.rr-zen_workaround | |
aarch64-linux python312Packages.librepo | |
x86_64-linux swaycwd | |
x86_64-linux python312Packages.skodaconnect | |
x86_64-darwin emacsPackages.flymake-diagnostic-at-point | |
x86_64-darwin uriparser | |
aarch64-darwin plasma5Packages.telepathy | |
x86_64-darwin gitlab-triage | |
aarch64-darwin haskellPackages.free-vl | |
x86_64-linux luajitPackages.haskell-tools-nvim | |
x86_64-linux linuxPackages_xanmod_latest.mwprocapture | |
x86_64-linux perl538Packages.CryptSSLeay | |
x86_64-linux python312Packages.pyperf | |
aarch64-linux linuxKernel.packages.linux_5_4.stdenv | |
x86_64-darwin perl536Packages.ClassAccessor | |
x86_64-linux browsr | |
x86_64-darwin vimPlugins.auto-save-nvim | |
x86_64-linux tests.cuda.cudaPackages_11_8.saxpy | |
x86_64-linux haskellPackages.Rattus | |
aarch64-darwin julia-bin | |
aarch64-linux libhomfly | |
x86_64-darwin emacsPackages.tango-plus-theme | |
aarch64-darwin gnvim | |
x86_64-darwin chromium-bsu | |
x86_64-darwin perl536Packages.MathRandomSecure | |
x86_64-linux python311Packages.west | |
aarch64-linux emacsPackages.tinypng | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.cryptodev | |
x86_64-darwin haskellPackages.cases | |
aarch64-darwin haskellPackages.recv | |
x86_64-linux vimPlugins.nlsp-settings-nvim | |
aarch64-linux rsbkb | |
aarch64-linux python312Packages.googleapis-common-protos | |
x86_64-darwin shellclear | |
aarch64-darwin extrude | |
x86_64-darwin emacsPackages.helm-bitbucket | |
aarch64-darwin python311Packages.xstatic-pygments | |
x86_64-linux emacsPackages.ac-rtags | |
x86_64-darwin emacsPackages.utop | |
x86_64-darwin openjfx17 | |
aarch64-darwin sbomnix | |
x86_64-darwin aws-assume-role | |
aarch64-linux hushboard | |
x86_64-darwin optifinePackages.optifine_1_14_3 | |
x86_64-linux perl536Packages.TestFileContents | |
x86_64-darwin gnome-text-editor | |
x86_64-linux python312Packages.renson-endura-delta | |
aarch64-linux linuxPackages_6_7_hardened.rtl8189es | |
x86_64-darwin haskellPackages.smarties | |
x86_64-linux haskellPackages.csv-to-qif | |
x86_64-darwin emacsPackages.symon | |
aarch64-darwin python311Packages.vharfbuzz | |
aarch64-linux perl536Packages.PerlCriticPulp | |
x86_64-linux scudcloud | |
aarch64-linux python312Packages.migen | |
x86_64-darwin redo | |
x86_64-linux haskellPackages.conduit-audio | |
x86_64-darwin python312Packages.django-rest-polymorphic | |
x86_64-linux cargo-sweep | |
aarch64-linux perl536Packages.SetInfinite | |
aarch64-linux bar | |
x86_64-darwin rcs | |
x86_64-darwin haskellPackages.type-level-integers | |
x86_64-linux perl538Packages.ModuleVersions | |
aarch64-linux portmidi | |
x86_64-linux eduli | |
aarch64-linux emacsPackages.heap | |
aarch64-darwin emacsPackages.webpaste | |
x86_64-darwin shrikhand | |
x86_64-darwin perl538Packages.FileLibMagic | |
aarch64-linux rq | |
x86_64-linux haskellPackages.hyahtzee | |
aarch64-darwin perl536Packages.TextBrew | |
x86_64-linux python311Packages.sentinel | |
x86_64-linux python312Packages.pytest-instafail | |
x86_64-linux python312Packages.uuid | |
x86_64-linux python311Packages.mypy-boto3-vpc-lattice | |
x86_64-linux linuxKernel.packages.linux_5_4.rtl8188eus-aircrack | |
x86_64-linux uucp | |
x86_64-darwin sn0int | |
aarch64-darwin vimPlugins.modus-themes-nvim | |
aarch64-darwin python311Packages.javaproperties | |
aarch64-darwin haskellPackages.protobuf | |
aarch64-linux haskellPackages.mwc-probability-transition | |
x86_64-darwin emacsPackages.org-drill | |
aarch64-darwin perl536Packages.NumberBytesHuman | |
aarch64-darwin perl538Packages.DataStag | |
aarch64-darwin emacsPackages.dir-treeview | |
x86_64-darwin emacsPackages.biblio-gbooks | |
aarch64-darwin emacsPackages.ptemplate-templates | |
x86_64-linux vimPlugins.vim-jsbeautify | |
x86_64-darwin emacsPackages.quakec-mode | |
x86_64-linux terraform-providers.doppler | |
x86_64-linux duo-unix | |
aarch64-darwin haskellPackages.validated-literals | |
x86_64-linux emacsPackages.ligo-mode | |
x86_64-darwin emacsPackages.lsp-ui | |
aarch64-darwin texinfo5 | |
aarch64-linux python311Packages.demesdraw | |
x86_64-linux python312Packages.imagesize | |
x86_64-darwin fast-float | |
x86_64-darwin python312Packages.seaborn | |
aarch64-darwin emacsPackages.tern-auto-complete | |
x86_64-linux linuxPackages_4_19_hardened.rtl8821au | |
x86_64-linux usbimager | |
x86_64-darwin perl538Packages.TestFilename | |
aarch64-darwin ant | |
x86_64-linux gnomeExtensions.memento-mori | |
aarch64-darwin hyperledger-fabric | |
aarch64-linux perl536Packages.AnyEventFastPing | |
aarch64-linux linuxPackages_latest.rtw88 | |
aarch64-linux perl538Packages.Test2PluginNoWarnings | |
x86_64-darwin haskellPackages.pointedlist | |
aarch64-linux electron_12-bin | |
aarch64-darwin svlint | |
aarch64-linux emacsPackages.poly-ruby | |
x86_64-darwin rubyPackages_3_3.rpam2 | |
aarch64-linux dap | |
aarch64-darwin python311Packages.dynalite-devices | |
x86_64-linux python311Packages.deemix | |
aarch64-darwin python311Packages.pyrss2gen | |
aarch64-linux liquidctl | |
aarch64-linux home-assistant-component-tests.tailscale | |
x86_64-linux llvmPackages_11.bintoolsNoLibc | |
x86_64-darwin haskellPackages.stripe-signature | |
x86_64-darwin emacsPackages.company-suggest | |
aarch64-linux python311Packages.pycaption | |
aarch64-linux python312Packages.androidtvremote2 | |
aarch64-linux libbpf_0 | |
x86_64-linux gnome.gnome-system-monitor | |
aarch64-linux intel-media-driver | |
aarch64-darwin mediathekview | |
aarch64-darwin python311Packages.imgdiff | |
x86_64-darwin python311Packages.google-cloud-language | |
aarch64-darwin emacsPackages.helm-qiita | |
x86_64-linux python311Packages.eradicate | |
aarch64-linux gnome-network-displays | |
aarch64-darwin perl536Packages.MathGeometryVoronoi | |
x86_64-darwin emacsPackages.flatland-theme | |
aarch64-darwin emacsPackages.consult-flyspell | |
x86_64-linux python312Packages.bitarray | |
x86_64-linux haskellPackages.sounddelay | |
x86_64-linux gnomeExtensions.internet-speed-monitor | |
x86_64-darwin python311Packages.pycmarkgfm | |
x86_64-darwin sqlar | |
x86_64-linux linuxKernel.packages.linux_hardened.hyperv-daemons | |
x86_64-darwin haskellPackages.tensorflow-test | |
x86_64-linux rhoas | |
aarch64-linux aspellDicts.pt_PT | |
x86_64-linux linuxPackages_6_7_hardened.openrazer | |
aarch64-linux haskellPackages.scanf | |
x86_64-linux linuxKernel.packages.linux_5_15.system76-power | |
x86_64-linux linuxKernel.packages.linux_6_1.lkrg | |
aarch64-linux re2c | |
x86_64-darwin katawa-shoujo | |
aarch64-darwin emacsPackages.jade-mode | |
aarch64-linux emacsPackages.poet-theme | |
x86_64-darwin perl536Packages.PadWalker | |
x86_64-linux python312Packages.flask-swagger-ui | |
aarch64-linux emacsPackages.eclipse-theme | |
x86_64-darwin haskellPackages.midi-util | |
x86_64-linux emacsPackages.unifdef | |
aarch64-darwin python312Packages.keyring | |
aarch64-linux firejail | |
aarch64-linux haskellPackages.suffixtree | |
aarch64-linux emacsPackages.flycheck-ini-pyinilint | |
aarch64-linux gcc_latest | |
aarch64-linux python311Packages.wsdiscovery | |
aarch64-darwin haskellPackages.ixset-typed | |
aarch64-linux haskellPackages.tempo | |
aarch64-linux emacsPackages.wc-goal-mode | |
aarch64-darwin vectorscan | |
aarch64-darwin chickenPackages_5.chickenEggs.sq | |
x86_64-darwin emacsPackages.prettify-greek | |
x86_64-linux gcc48 | |
x86_64-linux haskellPackages.language-ecmascript-analysis | |
aarch64-linux python312Packages.pymysensors | |
x86_64-linux python312Packages.pyws66i | |
aarch64-darwin gtk-mac-integration-gtk3 | |
aarch64-darwin lua53Packages.lgi | |
aarch64-darwin minecraftServers.vanilla-1-3 | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.gowork | |
x86_64-linux vscode-extensions.github.codespaces | |
aarch64-linux emacsPackages.gitlab | |
aarch64-darwin lldb_15 | |
x86_64-linux perl536Packages.FFIPlatypusTypeEnum | |
x86_64-linux tests.ld-library-path | |
aarch64-darwin piping-server-rust | |
aarch64-linux filezilla | |
aarch64-darwin llvmPackages_12.libclang | |
aarch64-linux emacsPackages.p4-16-mode | |
aarch64-linux python311Packages.tabulate | |
aarch64-linux python311Packages.aioelectricitymaps | |
aarch64-linux qt6Packages.qtquickeffectmaker | |
aarch64-linux python311Packages.types-aiobotocore-applicationcostprofiler | |
x86_64-darwin rubyPackages_3_2.jekyll-optional-front-matter | |
aarch64-darwin elmPackages.elm-instrument | |
x86_64-linux perl538Packages.Corona | |
x86_64-linux haskellPackages.servant-auth-docs | |
aarch64-darwin crystal | |
aarch64-linux grepm | |
x86_64-darwin emacsPackages.dpaste | |
aarch64-linux automake | |
x86_64-linux emacsPackages.ct | |
x86_64-linux sbclPackages.http-body | |
x86_64-darwin graphql-client | |
aarch64-darwin libjpeg8 | |
x86_64-linux haskellPackages.hw-succinct | |
x86_64-darwin vimPlugins.symbols-outline-nvim | |
x86_64-darwin haskellPackages.revdectime | |
x86_64-linux linuxKernel.packages.linux_xanmod.netatop | |
aarch64-darwin haskellPackages.uacpid | |
aarch64-darwin jigdo | |
aarch64-linux redpanda-client | |
aarch64-darwin emacsPackages.helm-switch-shell | |
x86_64-darwin python312Packages.types-aiobotocore-batch | |
x86_64-linux haskellPackages.validity-uuid | |
aarch64-linux python311Packages.pyphotonfile | |
x86_64-darwin vimPlugins.vim-teal | |
x86_64-linux emacsPackages.ctune | |
x86_64-linux haskellPackages.fmt | |
aarch64-darwin haskellPackages.normaldistribution | |
x86_64-darwin python311Packages.google-cloud-storage | |
aarch64-darwin python311Packages.license-expression | |
x86_64-linux lttoolbox | |
x86_64-darwin emacsPackages.ligature | |
aarch64-linux htcondor | |
x86_64-darwin emacsPackages.aggressive-fill-paragraph | |
aarch64-linux python311Packages.busypie | |
x86_64-darwin python312Packages.razdel | |
x86_64-darwin haskellPackages.text-short | |
x86_64-linux qpaeq | |
x86_64-darwin haskellPackages.retrie | |
x86_64-linux emacsPackages.helm-backup | |
aarch64-linux osslsigncode | |
aarch64-linux talkfilters | |
x86_64-darwin prometheus-ipmi-exporter | |
x86_64-linux melange | |
aarch64-linux emacsPackages.org-table-color | |
x86_64-linux libsForQt5.qtwebkit-plugins | |
aarch64-linux python312Packages.fpdf | |
aarch64-linux gupnp-av | |
aarch64-linux haskellPackages.proquint | |
aarch64-linux tests.hardeningFlags.fortifyStdenvUnsupp | |
aarch64-linux rtrlib | |
x86_64-darwin python312Packages.stemming | |
aarch64-darwin diffutils | |
aarch64-darwin postgresql12Packages.pgvector | |
aarch64-darwin vimPlugins.yuck-vim | |
aarch64-darwin haskellPackages.amazonka-route53-recovery-control-config | |
x86_64-linux python311Packages.aioautomower | |
x86_64-linux tmatrix | |
aarch64-linux vimPlugins.ncm2-neosnippet | |
aarch64-linux perl538Packages.MathPrimeUtilGMP | |
x86_64-linux github-cli | |
aarch64-linux haskellPackages.rfc3339 | |
aarch64-linux maestral-gui | |
x86_64-linux python311Packages.google-cloud-audit-log | |
aarch64-darwin haskellPackages.hls-refactor-plugin | |
x86_64-darwin python311Packages.restrictedpython | |
x86_64-linux smartcrop | |
aarch64-darwin immer | |
aarch64-darwin python312Packages.cwl-utils | |
x86_64-linux perl538Packages.UnicodeCaseFold | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-34 | |
aarch64-linux haskellPackages.singletons | |
x86_64-darwin haskellPackages.hopfli | |
aarch64-linux linuxPackages_lqx.kvdo | |
x86_64-linux vimPlugins.vim-ft-diff_fold | |
x86_64-darwin postgresql14JitPackages.promscale_extension | |
x86_64-linux python311Packages.django-colorful | |
aarch64-linux emacsPackages.nyx-theme | |
x86_64-linux haskellPackages.nonempty-vector | |
aarch64-darwin haskellPackages.amazonka-sms-voice | |
x86_64-linux vimPlugins.codeium-vim | |
aarch64-darwin emacsPackages.hl-column | |
aarch64-darwin libsbsms_2_3_0 | |
aarch64-darwin perl536Packages.ModuleUtil | |
aarch64-linux emacsPackages.codespaces | |
x86_64-linux emacsPackages.helm-firefox | |
x86_64-linux haskellPackages.full-text-search | |
x86_64-darwin vimPlugins.neotest-testthat | |
aarch64-darwin python311Packages.unidic | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.ompi-fort | |
aarch64-darwin haskellPackages.mighty-metropolis | |
aarch64-linux haskellPackages.amazonka-ssm-incidents | |
x86_64-darwin python311Packages.flatbuffers | |
aarch64-linux python311Packages.line-profiler | |
x86_64-darwin haskellPackages.amazonka-sagemaker-edge | |
x86_64-linux zulip | |
aarch64-darwin haskellPackages.bz2 | |
x86_64-linux vimPlugins.vim-bazel | |
aarch64-linux doc2go | |
x86_64-linux html2text | |
aarch64-darwin applgrid | |
aarch64-linux emacsPackages.quelpa-use-package | |
x86_64-linux haskellPackages.uniform-fileio | |
aarch64-linux l-smash | |
aarch64-linux haskellPackages.union-color | |
x86_64-linux bazarr | |
aarch64-darwin python312Packages.pyrisco | |
x86_64-darwin python312Packages.pbr | |
aarch64-darwin postgresqlJitPackages.hypopg | |
x86_64-linux emacsPackages.python-cell | |
aarch64-darwin emacsPackages.emacsql-mysql | |
aarch64-darwin vimPlugins.kmonad-vim | |
x86_64-linux python311Packages.importmagic | |
x86_64-darwin ugarit | |
aarch64-darwin qt5.qtpositioning | |
x86_64-darwin llvmPackages_12.openmp | |
x86_64-linux haskellPackages.tagging | |
x86_64-darwin vimPlugins.completion-buffers | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.scfg | |
x86_64-darwin rubyPackages_3_2.prism | |
x86_64-darwin skawarePackages.s6-man-pages | |
aarch64-linux alice-tools-qt5 | |
aarch64-darwin python312Packages.pycountry | |
x86_64-darwin rubyPackages_3_1.rbs | |
aarch64-linux haskellPackages.normaldistribution | |
aarch64-darwin idrisPackages.free | |
aarch64-darwin emacsPackages.zweilight-theme | |
aarch64-darwin emacsPackages.doom | |
aarch64-darwin python311Packages.types-aiobotocore-serverlessrepo | |
aarch64-linux haskellPackages.servant-exceptions-server | |
aarch64-darwin python312Packages.elkm1-lib | |
x86_64-darwin prometheus-nextcloud-exporter | |
aarch64-darwin python312Packages.aiolip | |
x86_64-linux rocmPackages_5.mivisionx-opencl | |
x86_64-linux home-assistant-component-tests.assist_pipeline | |
x86_64-linux perl538Packages.MooseXTypesLoadableClass | |
aarch64-linux perl536Packages.CryptScryptKDF | |
aarch64-linux passwdqc | |
aarch64-linux emacsPackages.magit-reviewboard | |
aarch64-linux haskellPackages.download | |
x86_64-darwin haskellPackages.servant-client-core | |
aarch64-linux element | |
aarch64-darwin python311Packages.unpaddedbase64 | |
aarch64-linux tbb_2020_3 | |
x86_64-darwin uucp | |
aarch64-linux emacsPackages.smblog | |
aarch64-darwin python312Packages.pytraccar | |
aarch64-darwin emacsPackages.travis | |
x86_64-linux php83Extensions.openswoole | |
x86_64-linux haskellPackages.bytestring-from | |
aarch64-linux nqptp | |
x86_64-darwin adenum | |
x86_64-linux coeurl | |
x86_64-linux perl538Packages.ImportInto | |
x86_64-linux kalker | |
aarch64-linux linuxKernel.packages.linux_6_6.xone | |
x86_64-linux autossh | |
aarch64-darwin emacsPackages.helm-apt | |
x86_64-linux python312Packages.mypy-boto3-kinesisanalyticsv2 | |
x86_64-linux emacsPackages.gift-mode | |
x86_64-darwin perl536Packages.CatalystPluginAuthorizationRoles | |
x86_64-linux home-assistant-component-tests.input_button | |
aarch64-darwin aspellDicts.sw | |
x86_64-linux zkfuse | |
aarch64-darwin python312Packages.tracing | |
aarch64-linux irrlicht | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.luap | |
x86_64-linux galaxis | |
x86_64-linux sonivox | |
x86_64-linux perl536Packages.DevelFindPerl | |
x86_64-darwin python312Packages.cock | |
x86_64-darwin python312Packages.nocaselist | |
aarch64-linux victor-mono | |
x86_64-linux lua53Packages.luaossl | |
aarch64-darwin summon | |
aarch64-linux fpm2 | |
aarch64-linux libsieve | |
x86_64-linux perl536Packages.ClassAccessorGrouped | |
x86_64-linux xorg.xload | |
aarch64-linux emacsPackages.corfu-prescient | |
x86_64-darwin python312Packages.syncedlyrics | |
aarch64-linux rubyPackages_3_1.idn-ruby | |
aarch64-darwin gephi | |
x86_64-linux haskellPackages.postgresql-typed | |
x86_64-linux python311Packages.pyskyqremote | |
aarch64-darwin djot-js | |
x86_64-linux emacsPackages.scrollkeeper | |
aarch64-darwin lua52Packages.luarocks-nix | |
aarch64-linux emacsPackages.etc-sudoers-mode | |
aarch64-darwin libfpx | |
aarch64-linux linuxPackages_6_5_hardened.systemtap | |
aarch64-darwin python311Packages.somfy-mylink-synergy | |
x86_64-darwin highlight-assertions | |
aarch64-linux python312Packages.stix2-patterns | |
aarch64-linux wolfssl | |
x86_64-linux gixy | |
x86_64-linux python312Packages.django-vite | |
x86_64-darwin python312Packages.django-markup | |
aarch64-linux haskellPackages.rerebase | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.trelay | |
x86_64-linux gbdfed | |
aarch64-linux mlterm | |
x86_64-linux dotnetCorePackages.sdk_8_0 | |
aarch64-darwin python311Packages.black-macchiato | |
aarch64-darwin coqPackages.mathcomp-field | |
x86_64-linux haskellPackages.bindings-GLFW | |
x86_64-linux jacktrip | |
aarch64-linux python311Packages.sphinxcontrib-bayesnet | |
aarch64-darwin open-music-kontrollers.vm | |
x86_64-linux protoc-gen-connect-go | |
aarch64-linux haskellPackages.uri-bytestring | |
aarch64-darwin botan3 | |
x86_64-darwin cassandra_3_0 | |
x86_64-darwin perl538Packages.MathConvexHullMonotoneChain | |
aarch64-linux packet-sd | |
x86_64-darwin python312Packages.configshell | |
aarch64-linux librsvg | |
aarch64-linux linuxPackages_zen.rtl8189es | |
aarch64-darwin haskellPackages.servant-exceptions | |
x86_64-darwin emacsPackages.motion-mode | |
aarch64-darwin python311Packages.moat-ble | |
x86_64-linux speedread | |
x86_64-linux drake | |
aarch64-linux haskellPackages.taskpool | |
aarch64-linux python311Packages.django-maintenance-mode | |
x86_64-linux rubyPackages_3_2.cocoapods-coverage | |
x86_64-darwin cargo | |
x86_64-linux perl536Packages.POE | |
aarch64-darwin emacsPackages.ox-qmd | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.mba6x_bl | |
aarch64-linux strawberry | |
x86_64-darwin terraform-providers.aci | |
aarch64-linux haskellPackages.readcsv | |
aarch64-darwin python312Packages.aiocron | |
x86_64-darwin haskellPackages.authenticate-kerberos | |
aarch64-darwin root | |
x86_64-linux twine | |
x86_64-darwin pandoc-katex | |
x86_64-linux perl536Packages.FCGI | |
aarch64-darwin haskellPackages.vector-extras | |
x86_64-linux python312Packages.aliyun-python-sdk-core | |
x86_64-linux json-glib | |
x86_64-linux trust-dns | |
x86_64-linux python311Packages.pylxd | |
x86_64-darwin clusterctl | |
x86_64-linux lemurs | |
x86_64-linux python312Packages.aggdraw | |
aarch64-darwin sha2wordlist | |
aarch64-darwin haskellPackages.http-client-tls | |
aarch64-linux yuzuPackages.qtgrpc | |
x86_64-linux llvm | |
x86_64-linux linuxKernel.packages.linux_hardened.asus-ec-sensors | |
x86_64-linux logiops | |
aarch64-linux nixVersions.minimum | |
x86_64-darwin python311Packages.google-auth-httplib2 | |
aarch64-darwin perl536Packages.Perl6Junction | |
aarch64-linux tests.hardeningFlags-clang.pieExplicitEnabled | |
aarch64-linux haskellPackages.vcs-web-hook-parse | |
aarch64-darwin chickenPackages_5.chickenEggs.hmac | |
x86_64-linux perl538Packages.Opcodes | |
aarch64-linux jira-cli-go | |
aarch64-linux emacsPackages.disk | |
x86_64-darwin postgresql12Packages.pg_ivm | |
x86_64-linux python311Packages.sleepyq | |
x86_64-linux sbclPackages.cl-cli | |
aarch64-linux emacsPackages.ace-mc | |
aarch64-linux haskellPackages.melf | |
aarch64-darwin emacsPackages.flames-of-freedom | |
x86_64-darwin rubyPackages_3_1.json_pure | |
aarch64-darwin python311Packages.pydantic-settings | |
aarch64-linux haskellPackages.wrap | |
aarch64-darwin emacsPackages.less-css-mode | |
aarch64-linux nixseparatedebuginfod | |
aarch64-darwin python311Packages.beautifultable | |
aarch64-linux python312Packages.codepy | |
aarch64-linux perl536Packages.ClassThrowable | |
aarch64-linux perl536Packages.CatalystXComponentTraits | |
aarch64-darwin rocksdb | |
aarch64-darwin python312Packages.dnfile | |
aarch64-linux emacsPackages.eglot | |
x86_64-linux perl536Packages.HTTPDaemon | |
x86_64-linux emacsPackages.rt-liberation | |
aarch64-darwin python311Packages.types-aiobotocore-route53resolver | |
aarch64-linux perl536Packages.MenloLegacy | |
aarch64-linux linuxPackages_5_15_hardened.dpdk-kmods | |
aarch64-darwin cargo-kcov | |
x86_64-linux python311Packages.mbddns | |
aarch64-darwin haskellPackages.ghcjs-ajax | |
x86_64-linux lua54Packages.rocks-nvim | |
x86_64-linux python311Packages.pmsensor | |
aarch64-darwin haskellPackages.deep-transformations | |
aarch64-darwin haskellPackages.cli-setup | |
aarch64-linux vimPlugins.flash-nvim | |
aarch64-darwin elasticsearch-curator | |
aarch64-linux perl538Packages.NetDNS | |
x86_64-linux vimPlugins.substitute-nvim | |
aarch64-linux haskellPackages.classify | |
aarch64-linux emacsPackages.gomacro-mode | |
aarch64-linux linuxPackages_xanmod.mwprocapture | |
aarch64-darwin haskellPackages.shannon-fano | |
aarch64-linux SDL_sixel | |
x86_64-darwin sourcehut.coresrht | |
x86_64-linux python311Packages.typecode-libmagic | |
aarch64-linux haskellPackages.amazonka-lex-models | |
aarch64-darwin emacsPackages.bbdb-vcard | |
aarch64-linux gucharmap | |
x86_64-darwin postgresql13JitPackages.pgtap | |
x86_64-linux python311Packages.elmax-api | |
x86_64-darwin llvmPackages_16.clangNoCompilerRtWithLibc | |
x86_64-linux emacsPackages.panda | |
aarch64-linux linuxKernel.packages.linux_6_1.tmon | |
aarch64-linux ldns | |
aarch64-linux perl538Packages.DataMessagePack | |
aarch64-darwin emacsPackages.markdownfmt | |
x86_64-darwin haskellPackages.cased | |
x86_64-linux linuxPackages_5_15_hardened.ply | |
x86_64-linux skawarePackages.s6-networking-man-pages | |
aarch64-linux salt | |
x86_64-darwin llvmPackages_17.libclang | |
x86_64-linux python311Packages.aioswitcher | |
aarch64-linux python311Packages.base58check | |
x86_64-darwin python311Packages.types-aiobotocore-servicecatalog | |
aarch64-linux minecraftServers.vanilla-1-15 | |
x86_64-darwin vimPlugins.vim-lark-syntax | |
aarch64-linux hackedbox | |
x86_64-linux optifinePackages.optifine_1_12_2 | |
x86_64-darwin perl538Packages.DataUUID | |
x86_64-linux python311Packages.aiorwlock | |
x86_64-linux python311Packages.types-aiobotocore-sqs | |
aarch64-darwin python311Packages.trfl | |
x86_64-darwin haskellPackages.regex-compat-tdfa | |
aarch64-linux vcard | |
x86_64-linux beefi | |
x86_64-linux haskellPackages.crypton-x509-store | |
aarch64-linux haskellPackages.ports-tools | |
x86_64-darwin hexd | |
x86_64-darwin cargo-cyclonedx | |
x86_64-linux linuxPackages_xanmod_stable.lttng-modules | |
x86_64-linux kicad-small | |
x86_64-darwin emacsPackages.dynamic-spaces | |
x86_64-linux haskellPackages.protocol-radius | |
x86_64-darwin python312Packages.primepy | |
x86_64-linux emacsPackages.lf | |
x86_64-darwin python311Packages.inkbird-ble | |
aarch64-darwin python312Packages.wsnsimpy | |
aarch64-linux python311Packages.logster | |
aarch64-linux swaybg | |
aarch64-linux nest-mpi | |
aarch64-linux emacsPackages.qt-pro-mode | |
x86_64-linux python312Packages.django-crispy-bootstrap4 | |
aarch64-linux python311Packages.surepy | |
aarch64-darwin perl536Packages.ClassISA | |
aarch64-linux linuxPackages_5_4_hardened.prl-tools | |
x86_64-linux pwgen-secure | |
aarch64-darwin tokyocabinet | |
aarch64-darwin python312Packages.mypy-boto3-ssm-contacts | |
x86_64-darwin python312Packages.proglog | |
x86_64-linux gnumake42 | |
aarch64-linux linuxKernel.packages.linux_5_15.mbp2018-bridge-drv | |
x86_64-linux haskellPackages.tempo | |
x86_64-linux hexo-cli | |
x86_64-darwin haskellPackages.s3-signer | |
aarch64-linux mbedtls | |
x86_64-linux python311Packages.tabcmd | |
x86_64-darwin emacsPackages.jsonrpc | |
aarch64-linux do-agent | |
aarch64-linux openjpeg | |
aarch64-darwin m17-cxx-demod | |
aarch64-linux python312Packages.pywaze | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.rtl8812au | |
x86_64-linux home-assistant-component-tests.device_tracker | |
aarch64-darwin python312Packages.unidic | |
x86_64-darwin cddl | |
x86_64-darwin haskellPackages.para | |
aarch64-linux postgresql13JitPackages.timescaledb-apache | |
x86_64-darwin python311Packages.nose-pattern-exclude | |
x86_64-darwin haskellPackages.BNFC-meta | |
aarch64-darwin luaPackages.luxio | |
x86_64-linux python312Packages.augeas | |
x86_64-linux python312Packages.types-aiobotocore-acm-pca | |
x86_64-linux cargo-pgx_0_6_1 | |
x86_64-darwin python311Packages.tenacity | |
aarch64-darwin vimPlugins.lexima-vim | |
aarch64-darwin emacsPackages.prognth | |
aarch64-linux perl536Packages.Plack | |
x86_64-darwin python311Packages.pytest-subtesthack | |
aarch64-linux rubyPackages_3_3.syntax_tree-haml | |
aarch64-linux lxqt.lxqt-session | |
aarch64-linux jed | |
aarch64-linux perl536Packages.gotofile | |
aarch64-linux python312Packages.advocate | |
x86_64-linux vscodium-fhs | |
aarch64-darwin python312Packages.rfc3986-validator | |
x86_64-darwin python311Packages.dotwiz | |
aarch64-darwin python311Packages.discid | |
x86_64-linux python311Packages.zconfig | |
x86_64-darwin rubyPackages.mail | |
x86_64-darwin python312Packages.dash-table | |
x86_64-darwin haskellPackages.binaryen | |
x86_64-linux python312Packages.jxmlease | |
aarch64-darwin perl538Packages.TieCycle | |
x86_64-linux libgda6 | |
x86_64-darwin python312Packages.meshlabxml | |
aarch64-darwin perl538Packages.SetObject | |
x86_64-darwin emacsPackages.haskell-emacs | |
x86_64-darwin bunyan-rs | |
aarch64-linux emacsPackages.rudel | |
x86_64-linux haskellPackages.tasty-silver | |
aarch64-linux wl-clipboard-x11 | |
x86_64-linux python311Packages.pygeos | |
x86_64-darwin perl538Packages.LogAnyAdapterTAP | |
x86_64-linux deepin.deepin-screen-recorder | |
x86_64-linux perl536Packages.StringFormatter | |
x86_64-linux python312Packages.three-merge | |
x86_64-linux python311Packages.pygments-better-html | |
aarch64-linux rubyPackages.bigdecimal | |
aarch64-darwin python311Packages.cle | |
aarch64-darwin python311Packages.hmmlearn | |
aarch64-darwin perl538Packages.TextvFileasData | |
aarch64-darwin macs2 | |
aarch64-linux regina | |
x86_64-darwin haskellPackages.sydtest-aeson | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.json5 | |
aarch64-darwin python312Packages.azure-monitor-ingestion | |
x86_64-darwin python311Packages.python-fsutil | |
aarch64-darwin perl538Packages.MathBigInt | |
x86_64-linux emacsPackages.reykjavik-theme | |
x86_64-linux perl538Packages.RegexpTrie | |
aarch64-darwin python312Packages.python-google-nest | |
x86_64-linux isync | |
x86_64-darwin python311Packages.hocr-tools | |
x86_64-linux haskellPackages.BNFC | |
aarch64-linux emacsPackages.treeview | |
aarch64-darwin rubyPackages_3_3.semian | |
x86_64-darwin haskellPackages.morpheus-graphql-app | |
x86_64-linux emacsPackages.org-working-set | |
x86_64-linux python312Packages.mypy-boto3-serverlessrepo | |
x86_64-linux linuxKernel.packages.linux_6_7_hardened.dddvb | |
aarch64-darwin yamllint | |
x86_64-darwin python312Packages.gassist-text | |
aarch64-linux python312Packages.opensearch-py | |
aarch64-linux libslirp | |
x86_64-linux haskellPackages.wai-accept-language | |
x86_64-linux haskellPackages.ast-path | |
x86_64-linux antimicrox | |
aarch64-darwin haskellPackages.tempi | |
x86_64-darwin haskellPackages.auto-lift-classes | |
x86_64-linux home-assistant-component-tests.qnap | |
x86_64-linux perl538Packages.HTMLTableExtract | |
aarch64-darwin python311Packages.py-multicodec | |
aarch64-linux radioboat | |
aarch64-linux kodiPackages.libretro-genplus | |
x86_64-darwin python312Packages.google-cloud-tasks | |
x86_64-linux zandronum-server | |
aarch64-linux pantheon.elementary-files | |
aarch64-darwin chickenPackages_5.chickenEggs.memcached | |
x86_64-darwin python312Packages.pyemvue | |
aarch64-linux python312Packages.hatch-fancy-pypi-readme | |
aarch64-darwin cairo-lang | |
x86_64-darwin python312Packages.bitcoinrpc | |
x86_64-darwin i3lock-color | |
aarch64-linux orca-c | |
x86_64-linux macse | |
x86_64-darwin haskellPackages.cereal | |
aarch64-darwin vimPlugins.nvim-expand-expr | |
aarch64-linux rubyPackages.curb | |
aarch64-linux gsl | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.apfs | |
aarch64-linux python311Packages.dufte | |
aarch64-darwin emacsPackages.tab-bar-buffers | |
aarch64-linux perl538Packages.ProcDaemon | |
aarch64-linux python311Packages.types-aiobotocore-greengrass | |
aarch64-darwin python312Packages.mypy-boto3-accessanalyzer | |
aarch64-linux python311Packages.datasette | |
x86_64-darwin jf | |
x86_64-darwin python312Packages.mando | |
aarch64-linux haskellPackages.symantic-http-pipes | |
x86_64-linux python311Packages.types-aiobotocore-connect | |
x86_64-linux python312Packages.fontmath | |
x86_64-linux glfw2 | |
aarch64-darwin haskellPackages.nonemptymap | |
aarch64-linux emacsPackages.ffmpeg-player | |
aarch64-darwin emacsPackages.universal-sidecar-citeproc | |
x86_64-linux python311Packages.xdg | |
x86_64-linux haskellPackages.vector-binary-instances | |
x86_64-darwin python311Packages.pynzb | |
aarch64-linux libmysqlclient_3_1 | |
aarch64-linux michabo | |
x86_64-linux perl538Packages.SysCPU | |
aarch64-linux python311Packages.py-serializable | |
aarch64-linux emacsPackages.geiser-chicken | |
x86_64-linux php83Packages.phpcbf | |
x86_64-darwin emacsPackages.modern-cpp-font-lock | |
aarch64-darwin python312Packages.binary | |
x86_64-darwin emacsPackages.org-multiple-keymap | |
aarch64-linux dcadec | |
aarch64-darwin emacsPackages.annotation | |
x86_64-linux python311Packages.mongodict | |
x86_64-linux python311Packages.mypy-boto3-workmail | |
aarch64-linux python311Packages.pyarr | |
x86_64-linux linuxKernel.packages.linux_5_15.mstflint_access | |
x86_64-darwin python312Packages.pyflakes | |
x86_64-linux haskellPackages.regex-examples | |
x86_64-linux xorg.xf86inputevdev | |
x86_64-linux haskell.compiler.ghcHEAD | |
x86_64-linux home-assistant-component-tests.tomorrowio | |
aarch64-darwin wget | |
aarch64-linux python312Packages.bunch | |
aarch64-darwin gavin-bc | |
aarch64-linux python312Packages.easyenergy | |
x86_64-linux haskell.compiler.native-bignum.ghc981 | |
x86_64-linux emacsPackages.symbolword-mode | |
aarch64-linux nuweb | |
aarch64-linux linuxPackages-libre.rtl8189es | |
aarch64-darwin dprint | |
aarch64-darwin fm-tune | |
aarch64-linux perl536Packages.ModuleVersionsReport | |
x86_64-darwin tests.cuda.cudaPackages_11_5.saxpy | |
aarch64-linux teleport_13 | |
aarch64-darwin haskellPackages.minecraft | |
aarch64-linux python312Packages.mypy-boto3-cloudcontrol | |
aarch64-linux ytmdl | |
x86_64-linux luajitPackages.lua-resty-lrucache | |
x86_64-linux quisk | |
aarch64-darwin python311Packages.pysonos | |
aarch64-linux lidarr | |
x86_64-linux perl536Packages.NetCIDRLite | |
x86_64-linux haskellPackages.haskell-src-exts | |
aarch64-linux haskellPackages.snack | |
x86_64-darwin haskellPackages.numeric-limits | |
x86_64-linux python312Packages.pallets-sphinx-themes | |
x86_64-linux libwebsockets | |
x86_64-darwin aspellDicts.de | |
x86_64-darwin haskellPackages.AC-PPM | |
aarch64-linux postgresql15JitPackages.periods | |
aarch64-darwin python312Packages.pyplaato | |
aarch64-darwin emacsPackages.benchstat | |
aarch64-darwin vimPlugins.vim-easyescape | |
x86_64-linux gnomeExtensions.click-to-close-overview | |
aarch64-linux nagelfar | |
x86_64-linux dorkscout | |
aarch64-darwin boost175 | |
aarch64-linux go-licenses | |
aarch64-darwin python312Packages.meld3 | |
aarch64-linux python311Packages.types-aiobotocore-connect | |
x86_64-darwin vimPlugins.coc-tailwindcss | |
aarch64-linux python312Packages.netifaces | |
aarch64-linux jtc | |
x86_64-linux linuxKernel.packages.linux_lqx.rtl8723ds | |
x86_64-darwin haskellPackages.sixel | |
aarch64-darwin minidjvu | |
aarch64-darwin postgresql12JitPackages.pgjwt | |
aarch64-linux python312Packages.types-aiobotocore-lambda | |
x86_64-darwin gtkspell3 | |
x86_64-linux haskellPackages.is | |
aarch64-darwin autotrace | |
x86_64-linux python312Packages.base36 | |
x86_64-linux sbclPackages.zpb-ttf | |
aarch64-linux rubyPackages_3_2.sqlite3 | |
x86_64-linux python312Packages.pygmo | |
aarch64-darwin python312Packages.pylast | |
aarch64-linux haskellPackages.bearriver | |
aarch64-linux chez-racket | |
x86_64-linux python312Packages.googletrans | |
x86_64-darwin bindfs | |
x86_64-linux gnome.gnome-taquin | |
aarch64-linux python311Packages.vina | |
x86_64-linux bitlbee-discord | |
x86_64-linux gitoxide | |
aarch64-darwin rubyPackages.camping | |
aarch64-linux phash | |
x86_64-linux dhallPackages.Prelude | |
aarch64-linux gnome.gnome-software | |
aarch64-linux afew | |
x86_64-linux python311Packages.imagehash | |
x86_64-linux python311Packages.proglog | |
aarch64-darwin python312Packages.mypy-boto3-kendra | |
aarch64-darwin snore | |
x86_64-darwin monolith | |
aarch64-darwin emacsPackages.evalator-clojure | |
aarch64-darwin aspellDicts.wa | |
aarch64-linux postgresql15Packages.pg_partman | |
aarch64-linux lua51Packages.toml | |
x86_64-darwin haskellPackages.pandoc-emphasize-code | |
x86_64-darwin python312Packages.hidapi | |
aarch64-darwin dterm | |
aarch64-linux libcdr | |
x86_64-darwin perl536Packages.XSParseSublike | |
aarch64-darwin python311Packages.bip-utils | |
x86_64-darwin weaviate | |
aarch64-darwin emacsPackages.pubmed | |
x86_64-darwin python312Packages.backports-entry-points-selectable | |
x86_64-darwin haskellPackages.product-profunctors | |
x86_64-linux emacsPackages.rmsbolt | |
aarch64-darwin python311Packages.imantics | |
x86_64-darwin python312Packages.nitime | |
aarch64-darwin nyxt | |
x86_64-darwin haskellPackages.aeson-coerce | |
aarch64-linux linuxKernel.packages.linux_6_7.fwts-efi-runtime | |
x86_64-linux python311Packages.azure-mgmt-consumption | |
x86_64-darwin perl538Packages.LocaleTextDomainOO | |
x86_64-linux perl538Packages.ConvertColor | |
x86_64-darwin lsix | |
x86_64-darwin haskellPackages.grab | |
aarch64-darwin haskellPackages.incremental-sat-solver | |
aarch64-darwin haskellPackages.storable-complex | |
aarch64-linux haskellPackages.postgresql-tx | |
x86_64-linux python311Packages.datasette-publish-fly | |
aarch64-linux run | |
aarch64-darwin python311Packages.aiosteamist | |
x86_64-linux perl536Packages.MojoliciousPluginI18N | |
aarch64-darwin perl538Packages.MooseXAttributeChained | |
x86_64-darwin python312Packages.unittest-xml-reporting | |
x86_64-linux mplayer | |
x86_64-darwin emacsPackages.auto-complete-exuberant-ctags | |
aarch64-darwin haskellPackages.constrained-categories | |
x86_64-linux rocmPackages.llvm.mlir | |
aarch64-darwin emacsPackages.dbc | |
aarch64-darwin goku | |
x86_64-linux python311Packages.pydantic_1 | |
aarch64-darwin perl538Packages.LogDispatchArray | |
x86_64-darwin python311Packages.pyaml | |
aarch64-linux linuxKernel.packages.linux_libre.rtl8192eu | |
aarch64-darwin xorg.xinit | |
aarch64-darwin haskellPackages.http-test | |
x86_64-darwin haskellPackages.set-cover | |
aarch64-darwin python311Packages.mailcap-fix | |
aarch64-darwin postgresql12Packages.tsearch_extras | |
x86_64-linux netease-cloud-music-gtk | |
aarch64-darwin singular | |
x86_64-linux gnomeExtensions.cairo-clock | |
aarch64-darwin goaccess | |
x86_64-linux haskellPackages.x509-util | |
aarch64-darwin yank | |
x86_64-darwin lua54Packages.alt-getopt | |
x86_64-darwin vimPlugins.vim-lastplace | |
aarch64-darwin perl536Packages.DigestMD5File | |
aarch64-linux box64 | |
x86_64-darwin librespeed-cli | |
aarch64-linux monica | |
x86_64-darwin pdfannots | |
x86_64-linux medfile | |
aarch64-linux perl538Packages.TestClassMost | |
x86_64-darwin python311Packages.mypy-boto3-iottwinmaker | |
x86_64-linux python311Packages.cert-chain-resolver | |
aarch64-darwin perl536Packages.PBKDF2Tiny | |
aarch64-darwin python311Packages.pylgnetcast | |
x86_64-darwin postgresql14JitPackages.pg_safeupdate | |
aarch64-linux protonvpn-cli | |
x86_64-linux superd | |
aarch64-darwin times-newer-roman | |
x86_64-linux gpx-viewer | |
aarch64-linux linuxKernel.packages.linux_6_5.lkrg | |
aarch64-linux python311Packages.asana | |
x86_64-darwin python311Packages.gradient | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.chatito | |
aarch64-darwin vimPlugins.vim-reasonml | |
x86_64-darwin haskellPackages.crypton-x509-store | |
aarch64-linux nasmfmt | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.lenovo-legion-module | |
aarch64-linux emacsPackages.helm-wikipedia | |
x86_64-linux uhubctl | |
aarch64-linux emacsPackages.flycheck-jest | |
x86_64-darwin perl538Packages.PlackMiddlewareReverseProxy | |
aarch64-linux python312Packages.aioeafm | |
x86_64-linux temporalite | |
x86_64-linux home-assistant-component-tests.xbox | |
aarch64-linux rubyPackages_3_1.actionmailer | |
x86_64-linux linuxPackages_xanmod_stable.ipu6-drivers | |
aarch64-linux postgresql12Packages.pgsodium | |
aarch64-linux wireplumber | |
aarch64-linux linuxKernel.packages.linux_6_7.zfs_2_1 | |
x86_64-darwin python311Packages.srptools | |
aarch64-linux python311Packages.httpx-socks | |
x86_64-darwin python311Packages.pytest-factoryboy | |
x86_64-darwin python312Packages.greynoise | |
aarch64-linux industrializer | |
x86_64-darwin python312Packages.aiohttp | |
x86_64-darwin python312Packages.python-mpv-jsonipc | |
x86_64-linux emacsPackages.pikchr-mode | |
aarch64-darwin netsurf.libwapcaplet | |
x86_64-linux perl538Packages.ArchiveZip | |
x86_64-darwin perl538Packages.SCGI | |
aarch64-darwin aldente | |
x86_64-linux haskellPackages.log-base | |
x86_64-linux emacsPackages.helm-go-package | |
aarch64-darwin python312Packages.httmock | |
x86_64-darwin haskellPackages.timeconsole | |
x86_64-darwin perl538Packages.ImageScale | |
x86_64-linux gnomeExtensions.all-windows | |
aarch64-darwin terraform-providers.launchdarkly | |
x86_64-linux python312Packages.protego | |
aarch64-linux home-assistant-component-tests.speedtestdotnet | |
x86_64-linux gnomeExtensions.no-a11y | |
aarch64-linux python312Packages.pyvips | |
x86_64-darwin emacsPackages.httpcode | |
aarch64-darwin emacsPackages.insfactor | |
aarch64-darwin python311Packages.pynacl | |
x86_64-linux librttopo | |
x86_64-darwin haskellPackages.servant-auth-wordpress | |
x86_64-darwin postgresql15Packages.cstore_fdw | |
x86_64-linux emacsPackages.motion-mode | |
aarch64-linux emacsPackages.dut-mode | |
aarch64-linux haskellPackages.RefSerialize | |
aarch64-darwin cargo-modules | |
x86_64-darwin haskellPackages.data-default-instances-base | |
x86_64-darwin bitbake-language-server | |
aarch64-linux linuxKernel.packages.linux_5_4.r8125 | |
x86_64-darwin hercules-ci-agent | |
x86_64-linux python311Packages.pyqt6-sip | |
aarch64-darwin aspellDicts.ky | |
aarch64-linux emacsPackages.replace-symbol | |
aarch64-linux dtrx | |
x86_64-linux emacsPackages.dired-git-info | |
x86_64-linux emacsPackages.pophint | |
x86_64-linux haskellPackages.test-framework-sandbox | |
aarch64-linux perl538Packages.PlackMiddlewareSession | |
aarch64-linux thicket | |
x86_64-darwin python311Packages.distributed | |
aarch64-linux libretro.nxengine | |
x86_64-linux haskellPackages.InternedData | |
aarch64-linux haskellPackages.shapes | |
x86_64-linux qt6.qtlanguageserver | |
x86_64-linux gromacsDoubleMpi | |
x86_64-linux python311Packages.streaming-form-data | |
x86_64-darwin dasht | |
aarch64-linux truecrack | |
x86_64-linux python311Packages.ansible-vault-rw | |
x86_64-darwin rustfmt | |
x86_64-darwin perl538Packages.CryptSodium | |
x86_64-linux sword | |
aarch64-linux python312Packages.terminado | |
aarch64-linux home-assistant-component-tests.min_max | |
aarch64-linux perl538Packages.MacPropertyList | |
x86_64-darwin haskellPackages.conduit-extra | |
x86_64-darwin haskellPackages.discount | |
x86_64-linux python311Packages.sgmllib3k | |
x86_64-linux linuxPackages_5_10_hardened.ixgbevf | |
aarch64-darwin sift | |
x86_64-darwin haskellPackages.shake-language-c | |
x86_64-linux perl536Packages.ModuleBuildXSUtil | |
aarch64-darwin emacsPackages.yul-mode | |
x86_64-linux home-assistant-component-tests.homeassistant_sky_connect | |
x86_64-darwin perl538Packages.DBDPg | |
x86_64-linux emacsPackages.tray | |
x86_64-darwin python311Packages.python-benedict | |
aarch64-darwin vimPlugins.aylin-vim | |
aarch64-darwin python312Packages.hatch-fancy-pypi-readme | |
aarch64-linux haskellPackages.servant-server | |
x86_64-darwin vimPlugins.vim-hybrid | |
aarch64-darwin altermime | |
aarch64-darwin emacsPackages.utimeclock | |
x86_64-linux perl536Packages.Plack | |
x86_64-darwin python312Packages.hledger-utils | |
aarch64-linux chickenPackages_5.chickenEggs.srfi-105 | |
aarch64-darwin go-ios | |
x86_64-linux perl536Packages.Tk | |
aarch64-darwin OVMFFull | |
x86_64-darwin emacsPackages.pocket-mode | |
aarch64-linux haskellPackages.multicurryable | |
x86_64-darwin emacsPackages.panda-theme | |
aarch64-linux python311Packages.snakemake-interface-storage-plugins | |
x86_64-darwin vimPlugins.vim-rails | |
aarch64-linux c-aresMinimal | |
aarch64-linux python311Packages.intelhex | |
aarch64-linux emacsPackages.subed | |
x86_64-darwin emacsPackages.roguel-ike | |
aarch64-linux python311Packages.aioesphomeapi | |
aarch64-darwin python312Packages.cairocffi | |
x86_64-darwin python311Packages.dataclasses-json | |
aarch64-linux postgresqlJitPackages.pgtap | |
x86_64-darwin emacsPackages.lv | |
x86_64-linux python312Packages.ansimarkup | |
aarch64-linux linuxKernel.packages.linux_6_7.rtl8189fs | |
x86_64-darwin rubyPackages.net-http-persistent | |
x86_64-linux tests.hardeningFlags-gcc.fortify3ExplicitDisabled | |
x86_64-darwin python311Packages.barectf | |
x86_64-darwin rubyPackages_3_2.scrypt | |
x86_64-darwin clang-tools_14 | |
x86_64-darwin postgresql15JitPackages.plpgsql_check | |
aarch64-darwin perl536Packages.DateTimeFormatBuilder | |
x86_64-darwin python311Packages.types-beautifulsoup4 | |
x86_64-darwin perl536Packages.libxml_perl | |
x86_64-darwin python311Packages.djangorestframework-camel-case | |
x86_64-darwin haskellPackages.canteven-template | |
aarch64-linux bogofilter | |
aarch64-darwin emacsPackages.speech-tagger | |
aarch64-linux getmail6 | |
aarch64-darwin rubyPackages_3_3.ruby2ruby | |
x86_64-darwin haskellPackages.event-list | |
x86_64-linux gpxsee-qt5 | |
aarch64-darwin python312Packages.aioairzone-cloud | |
aarch64-darwin perl536Packages.CatalystActionRenderView | |
x86_64-darwin leptosfmt | |
aarch64-linux python311Packages.torchrl | |
x86_64-darwin zbus-xmlgen | |
x86_64-linux haskellPackages.mutable-lens | |
x86_64-linux tio | |
aarch64-linux emacsPackages.font-utils | |
aarch64-darwin python311Packages.mesa | |
x86_64-linux invoice2data | |
aarch64-linux python311Packages.mypy-boto3-securitylake | |
x86_64-linux python311Packages.anchor-kr | |
x86_64-linux see | |
x86_64-linux perl536Packages.ClassTiny | |
x86_64-linux home-assistant-component-tests.image_upload | |
x86_64-linux python312Packages.asyncwhois | |
aarch64-linux perl538Packages.NetFrame | |
x86_64-darwin python311Packages.pyrad | |
aarch64-darwin python311Packages.pyedimax | |
x86_64-darwin vimPlugins.falcon | |
aarch64-darwin emacs28-gtk2 | |
x86_64-linux emacsPackages.face-shift | |
aarch64-linux haskellPackages.these-lens | |
x86_64-darwin terminal-stocks | |
aarch64-darwin bloodhound-py | |
x86_64-darwin python311Packages.proto-plus | |
x86_64-linux perl536Packages.IOStringy | |
x86_64-darwin perl538Packages.IOAll | |
x86_64-darwin python312Packages.autograd-gamma | |
aarch64-darwin SDL | |
x86_64-darwin vimPlugins.cmp-nvim-lsp-signature-help | |
x86_64-linux linuxKernel.packages.linux_5_4.turbostat | |
aarch64-darwin emacsPackages.el-spice | |
x86_64-linux emacsPackages.restclient-jq | |
aarch64-darwin python311Packages.aiortsp | |
x86_64-linux g203-led | |
aarch64-darwin home-manager | |
x86_64-linux emacsPackages.cider | |
aarch64-darwin emacsPackages.major-mode-icons | |
aarch64-linux libsForQt5.plasma-dialer | |
aarch64-linux mkcert | |
x86_64-linux haskellPackages.test-fun | |
x86_64-linux notmuch | |
aarch64-linux ivy | |
aarch64-linux gromacsDouble | |
aarch64-linux python311Packages.pytile | |
aarch64-linux python312Packages.etcd3 | |
x86_64-linux emacsPackages.wisi | |
x86_64-linux flowtime | |
aarch64-linux perl538Packages.AuthenSimplePasswd | |
aarch64-linux python311Packages.dataset | |
x86_64-linux python312Packages.sphinx-autodoc-typehints | |
x86_64-darwin faketty | |
x86_64-linux haskellPackages.gi-gstaudio | |
aarch64-linux python312Packages.backoff | |
x86_64-darwin python312Packages.kivy | |
aarch64-linux gnome.epiphany | |
aarch64-linux python312Packages.websockify | |
x86_64-darwin emacsPackages.honcho | |
aarch64-linux haskellPackages.metro-socket | |
x86_64-darwin llvmPackages_13.libllvm | |
aarch64-darwin python312Packages.pcpp | |
x86_64-linux docbook-xsl-nons | |
x86_64-linux python311Packages.jpylyzer | |
x86_64-darwin dict-cc-py | |
aarch64-darwin vimPlugins.vim-bufferline | |
aarch64-linux python312Packages.pyx | |
x86_64-darwin haskellPackages.text-show-instances | |
aarch64-linux psql2csv | |
aarch64-linux emacsPackages.wpuzzle | |
x86_64-linux python311Packages.pyisemail | |
x86_64-darwin emacsPackages.look-dired | |
aarch64-darwin emacsPackages.caser | |
x86_64-linux python312Packages.backports-shutil-which | |
aarch64-linux perl536Packages.Logger | |
x86_64-linux dbus | |
aarch64-darwin haskellPackages.simple-text-format | |
x86_64-darwin perl536Packages.HTTPAcceptLanguage | |
x86_64-darwin scout | |
aarch64-linux python311Packages.zope-interface | |
x86_64-linux python312Packages.grappelli-safe | |
x86_64-darwin zlint | |
aarch64-linux haskellPackages.stack-tag | |
aarch64-linux suitesparse_4_4 | |
x86_64-darwin perl538Packages.ClassAccessorChained | |
x86_64-linux gotraceui | |
x86_64-linux pidginPackages.purple-vk-plugin | |
aarch64-linux qcad | |
x86_64-linux haskellPackages.text-show | |
x86_64-darwin dcrwallet | |
aarch64-darwin emacsPackages.axe | |
x86_64-linux emacsPackages.liberime | |
x86_64-darwin visualvm | |
x86_64-darwin inferno | |
x86_64-linux perl536Packages.WebMachine | |
x86_64-darwin haskellPackages.ascii-progress | |
x86_64-linux home-assistant-component-tests.verisure | |
aarch64-darwin rubyPackages_3_2.terminal-table | |
aarch64-linux emacsPackages.realgud-node-inspect | |
x86_64-linux linuxKernel.packages.linux_4_19.trelay | |
aarch64-linux rubyPackages_3_1.native-package-installer | |
aarch64-linux chickenPackages_5.chickenEggs.cst | |
x86_64-linux python311Packages.pysoma | |
aarch64-darwin python312Packages.pydoods | |
aarch64-linux perl538Packages.LocaleMsgfmt | |
x86_64-linux haskellPackages.memoize | |
x86_64-linux quark-engine | |
x86_64-linux emacsPackages.treemacs-persp | |
x86_64-linux zabbix50.proxy-pgsql | |
aarch64-linux haskellPackages.hs-cdb | |
x86_64-darwin haskellPackages.oneOfN | |
x86_64-darwin python311Packages.mypy-boto3-docdb | |
aarch64-linux dafny | |
x86_64-linux perl536Packages.MIMELite | |
aarch64-linux haskellPackages.jsaddle-webkit2gtk | |
x86_64-linux obs-studio-plugins.obs-livesplit-one | |
x86_64-linux haskellPackages.scuttlebutt-types | |
aarch64-linux pypy27 | |
aarch64-linux theLoungePlugins.themes.abyss | |
aarch64-darwin haskellPackages.text-format-simple | |
x86_64-darwin bftools | |
x86_64-linux emacsPackages.adoc-mode | |
x86_64-darwin openntpd_nixos | |
aarch64-linux vimPlugins.vim-maktaba | |
x86_64-darwin python312Packages.renson-endura-delta | |
x86_64-darwin afew | |
x86_64-linux linuxKernel.packages.linux_xanmod.bcc | |
aarch64-darwin python311Packages.typed-settings | |
aarch64-darwin python311Packages.pysoundfile | |
aarch64-darwin dot-language-server | |
x86_64-linux python311Packages.importlib-resources | |
x86_64-linux seafile-shared | |
x86_64-darwin ripgrep | |
aarch64-linux llvmPackages_11.libcxxabi | |
aarch64-darwin perl538Packages.BioDBHTS | |
x86_64-linux php81Extensions.ssh2 | |
aarch64-darwin python311Packages.streaming-form-data | |
x86_64-darwin python311Packages.woob | |
x86_64-darwin ilbc | |
x86_64-darwin zncModules.fish | |
x86_64-darwin gst_all_1.gst-plugins-good | |
aarch64-linux vimPlugins.todo-txt-vim | |
x86_64-linux with-shell | |
aarch64-linux linuxKernel.packages.linux_6_7_hardened.ch9344 | |
aarch64-linux python311Packages.mypy-boto3-inspector | |
aarch64-linux aperture | |
aarch64-darwin python312Packages.pcbnew-transition | |
x86_64-linux perl538Packages.ProcWait3 | |
x86_64-linux tmuxPlugins.maildir-counter | |
x86_64-darwin haskellPackages.exinst-base | |
x86_64-darwin perl536Packages.MIMELite | |
aarch64-linux python311Packages.pytorch-pfn-extras | |
x86_64-linux alot | |
x86_64-linux checkmate | |
aarch64-darwin llvmPackages_17.clangNoLibc | |
x86_64-darwin python312Packages.sphinxcontrib-newsfeed | |
x86_64-linux haskellPackages.webex-teams-pipes | |
x86_64-linux haskellPackages.ascii-caseless | |
x86_64-linux perl538Packages.TestRunPluginTrimDisplayedFilenames | |
x86_64-linux python311Packages.elmax | |
x86_64-linux python312Packages.ueagle | |
x86_64-darwin vimPlugins.vim-asterisk | |
x86_64-darwin emacsPackages.ts-comint | |
x86_64-linux rubyPackages_3_1.i18n | |
aarch64-darwin python312Packages.weasel | |
x86_64-darwin python312Packages.yeelight | |
x86_64-darwin emscripten | |
aarch64-darwin element | |
x86_64-darwin emacsPackages.subed | |
aarch64-linux python311Packages.bagit | |
x86_64-linux libu2f-host | |
aarch64-darwin snakemake | |
x86_64-linux haskellPackages.df1 | |
x86_64-linux linuxKernel.packages.linux_6_7.ithc | |
x86_64-darwin wally-cli | |
x86_64-linux augustus | |
x86_64-darwin newman | |
aarch64-darwin python311Packages.georss-wa-dfes-client | |
x86_64-darwin python312Packages.parfive | |
aarch64-darwin python311Packages.django-bootstrap3 | |
aarch64-linux linuxPackages_6_6_hardened.fwts-efi-runtime | |
x86_64-linux kcat | |
x86_64-darwin indradb-client | |
aarch64-darwin aspellDicts.mn | |
x86_64-linux haskellPackages.hw-hspec-hedgehog | |
aarch64-darwin haskellPackages.bitmap-opengl | |
aarch64-linux python312Packages.etils | |
aarch64-linux photon-rss | |
aarch64-linux ecpdap | |
x86_64-linux ldapvi | |
aarch64-darwin python312Packages.mypy-boto3-license-manager-user-subscriptions | |
x86_64-linux python312Packages.colorama | |
aarch64-linux emacsPackages.key-intercept | |
x86_64-linux python311Packages.pyzabbix | |
x86_64-linux linuxKernel.packages.linux_4_19.ax99100 | |
aarch64-darwin python312Packages.bitvavo-aio | |
x86_64-darwin basez | |
aarch64-darwin emacsPackages.evil-mc | |
x86_64-darwin python312Packages.flynt | |
aarch64-linux python311Packages.langsmith | |
aarch64-linux python312Packages.sigtools | |
x86_64-linux haskellPackages.pipes-kafka | |
x86_64-darwin emacsPackages.dired-view-data | |
x86_64-linux perl536Packages.MathRandom | |
x86_64-darwin python311Packages.css-parser | |
aarch64-darwin emacsPackages.fortune-cookie | |
x86_64-linux linuxPackages_6_7_hardened.liquidtux | |
aarch64-linux libsForQt5.soqt | |
x86_64-linux python311Packages.markdown-macros | |
x86_64-linux nix-store-gcs-proxy | |
aarch64-darwin vimPlugins.a-vim | |
aarch64-linux xbomb | |
x86_64-linux tpacpi-bat | |
x86_64-darwin mpvScripts.acompressor | |
x86_64-linux python311Packages.extruct | |
x86_64-darwin perl536Packages.MooXOptions | |
aarch64-darwin python312Packages.deid | |
aarch64-linux python312Packages.siuba | |
x86_64-darwin vimPlugins.gitlinker-nvim | |
aarch64-linux grandorgue | |
x86_64-linux libmodsecurity | |
aarch64-darwin vimPlugins.mark-radar-nvim | |
aarch64-linux plasma5Packages.ksmtp | |
aarch64-darwin python311Packages.types-aiobotocore-payment-cryptography | |
aarch64-darwin haskellPackages.threefish | |
x86_64-darwin emacsPackages.boxy | |
x86_64-linux postgresqlJitPackages.plpgsql_check | |
x86_64-darwin python312Packages.contexter | |
x86_64-darwin go-dork | |
x86_64-linux colord-gtk | |
aarch64-linux emacsPackages.el-patch | |
x86_64-linux emacsPackages.helm-kythe | |
aarch64-linux timetagger_cli | |
aarch64-darwin haskellPackages.amazonka-finspace-data | |
x86_64-darwin guile-lzlib | |
x86_64-darwin python311Packages.pyutil | |
aarch64-linux plasma5Packages.kdebugsettings | |
aarch64-darwin diskrsync | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.gasket | |
x86_64-linux libmatroska | |
aarch64-darwin python312Packages.sphinx-autobuild | |
aarch64-linux rtl8761b-firmware | |
aarch64-darwin python311Packages.escapism | |
aarch64-linux perl538Packages.MathBigInt | |
x86_64-linux python312Packages.python-http-client | |
aarch64-linux flopoco | |
x86_64-linux image_optim | |
aarch64-linux netpbm | |
x86_64-linux gx | |
aarch64-darwin emacsPackages.auto-virtualenvwrapper | |
aarch64-darwin python311Packages.moto | |
x86_64-linux python311Packages.tomlkit | |
aarch64-linux python312Packages.pymdown-extensions | |
aarch64-linux qt6Packages.qtmultimedia | |
x86_64-darwin isso | |
x86_64-linux haskellPackages.reasonable-operational | |
x86_64-linux vimPlugins.asyncomplete-omni-vim | |
x86_64-linux haskellPackages.classy-prelude | |
aarch64-darwin emacsPackages.orthodox-christian-new-calendar-holidays | |
x86_64-linux vscode-extensions.stkb.rewrap | |
x86_64-linux haskellPackages.romkan | |
x86_64-darwin beetsPackages.alternatives | |
aarch64-darwin rubyPackages.haml | |
x86_64-linux gitolite | |
aarch64-linux jellyfin | |
aarch64-darwin haskellPackages.transformers-either | |
aarch64-darwin openmvg | |
x86_64-linux ucl | |
x86_64-linux haskellPackages.singletons-presburger | |
aarch64-darwin emacsPackages.turing-machine | |
aarch64-darwin syntax | |
aarch64-linux haskellPackages.weeder | |
x86_64-linux imagination | |
aarch64-darwin hjson | |
x86_64-linux esdm | |
x86_64-darwin jsduck | |
aarch64-darwin hunspellDicts.ru_RU | |
x86_64-darwin python312Packages.pyfritzhome | |
x86_64-linux gnomeExtensions.speed-background | |
x86_64-darwin python312Packages.psycopg2 | |
aarch64-linux emacsPackages.smilefjes | |
aarch64-linux rubyPackages.io-console | |
x86_64-linux python312Packages.vina | |
aarch64-darwin emacsPackages.win-switch | |
aarch64-linux emacsPackages.company-ycmd | |
aarch64-darwin emacsPackages.js-doc | |
aarch64-linux python312Packages.python-pidfile | |
x86_64-darwin go_1_20 | |
aarch64-linux frozen-bubble | |
aarch64-darwin emacsPackages.smblog | |
x86_64-linux python311Packages.should-dsl | |
aarch64-linux haskellPackages.inj | |
aarch64-linux bashInteractiveFHS | |
x86_64-darwin rubyPackages_3_2.net-ssh | |
aarch64-darwin vimPlugins.peskcolor-vim | |
aarch64-darwin python312Packages.aiohue | |
x86_64-linux linuxKernel.packages.linux_xanmod.mstflint_access | |
aarch64-darwin perl538Packages.ModuleExtractVERSION | |
aarch64-linux git-credential-keepassxc | |
x86_64-darwin haskellPackages.crypto-token | |
aarch64-darwin kak-lsp | |
aarch64-darwin postgresql16JitPackages.wal2json | |
x86_64-linux bulletml | |
aarch64-darwin python311Packages.maison | |
aarch64-darwin hunspellDicts.es_CR | |
x86_64-darwin nbutools | |
aarch64-darwin luajitPackages.luacheck | |
x86_64-linux perl538Packages.MooseXHasOptions | |
aarch64-linux xsubfind3r | |
x86_64-darwin haskellPackages.GiveYouAHead | |
x86_64-linux haskellPackages.constraints-extras | |
x86_64-linux bazel_6 | |
x86_64-linux openspades | |
aarch64-darwin rubyPackages_3_2.ruby-vips | |
aarch64-linux python311Packages.crossplane | |
x86_64-linux python311Packages.tahoma-api | |
x86_64-linux openraPackages_2019.mods.ss | |
x86_64-linux python312Packages.rflink | |
aarch64-linux python311Packages.yalexs | |
x86_64-linux python312Packages.mypy-boto3-rolesanywhere | |
x86_64-darwin luajitPackages.luasql-sqlite3 | |
aarch64-linux duc | |
aarch64-linux emacsPackages.prettier | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.gcadapter-oc-kmod | |
x86_64-darwin perl536Packages.YAMLOld | |
x86_64-linux vimPlugins.tcomment_vim | |
aarch64-linux clang_14 | |
x86_64-linux haskellPackages.fugue | |
aarch64-darwin libsForQt5.kconfig | |
aarch64-linux python312Packages.face-recognition | |
x86_64-linux nms | |
x86_64-linux sratom | |
x86_64-linux haskellPackages.pretty-show | |
x86_64-darwin coqPackages.coqeal | |
aarch64-linux python311Packages.jupyter-nbextensions-configurator | |
aarch64-darwin rubyPackages_3_2.unicode-blocks | |
aarch64-darwin electron_20-bin | |
aarch64-linux xdg-desktop-portal-gnome | |
x86_64-darwin python312Packages.hpp-fcl | |
aarch64-linux python312Packages.braceexpand | |
aarch64-linux emacsPackages.hive | |
aarch64-linux home-assistant-component-tests.humidifier | |
aarch64-linux minizign | |
aarch64-linux vimPlugins.vim-addon-goto-thing-at-cursor | |
x86_64-darwin netsurf.libcss | |
aarch64-linux haskellPackages.hevm | |
aarch64-linux rubyPackages_3_2.jekyll-remote-theme | |
x86_64-darwin terraform-plugin-docs | |
aarch64-darwin plasma5Packages.qt5.qt3d | |
aarch64-darwin emacsPackages.perfect-margin | |
x86_64-darwin emacsPackages.pamparam | |
aarch64-darwin haskellPackages.hspec-leancheck | |
x86_64-linux haskellPackages.tst | |
aarch64-linux python312Packages.jellyfin-apiclient-python | |
x86_64-linux markets | |
aarch64-darwin catcli | |
x86_64-darwin libretro.stella2014 | |
x86_64-linux python311Packages.elasticsearch | |
x86_64-linux python312Packages.mypy-boto3-managedblockchain | |
x86_64-darwin postgresql15Packages.pg_relusage | |
aarch64-darwin python311Packages.casttube | |
aarch64-darwin hyprpaper | |
aarch64-linux python312Packages.nbdev | |
aarch64-darwin perl538Packages.ListMoreUtils | |
aarch64-linux motion | |
x86_64-linux vscode-extensions.ocamllabs.ocaml-platform | |
x86_64-darwin python311Packages.periodiq | |
x86_64-darwin rubyPackages_3_3.dnsruby | |
aarch64-darwin ihp-new | |
x86_64-darwin python311Packages.types-aiobotocore-codeguru-reviewer | |
x86_64-darwin mqtt_cpp | |
x86_64-linux python311Packages.django-pwa | |
x86_64-darwin haskellPackages.X11-xft | |
x86_64-linux noson | |
aarch64-darwin python311Packages.pyspice | |
aarch64-linux protoc-gen-tonic | |
x86_64-darwin zsh-history-to-fish | |
aarch64-darwin recoll | |
x86_64-linux jabcode-writer | |
x86_64-linux vimPlugins.guess-indent-nvim | |
x86_64-linux haskellPackages.microlens-platform | |
aarch64-darwin vimPlugins.bufjump-nvim | |
x86_64-linux python312Packages.python-box | |
aarch64-darwin fontforge-fonttools | |
x86_64-darwin grpcui | |
aarch64-linux dot2tex | |
x86_64-linux perl536Packages.SignalMask | |
x86_64-linux python311Packages.commentjson | |
aarch64-darwin xorg.xkeyboardconfig | |
aarch64-darwin python312Packages.pox | |
aarch64-linux lldb_15 | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.kvdo | |
x86_64-darwin rtl-ais | |
aarch64-linux eclipses.eclipse-dsl | |
aarch64-linux opendungeons | |
x86_64-linux linuxKernel.packages.linux_6_6.prl-tools | |
x86_64-darwin qt5.qtscript | |
aarch64-darwin python312Packages.barectf | |
aarch64-linux ante | |
x86_64-linux python311Packages.notifications-python-client | |
x86_64-linux haskellPackages.amazonka-iotevents-data | |
x86_64-linux luaPackages.lua-resty-session | |
aarch64-darwin termusic | |
aarch64-linux haskellPackages.bencoding | |
aarch64-darwin minetestserver | |
x86_64-darwin minikube | |
x86_64-darwin python311Packages.siosocks | |
aarch64-darwin emacsPackages.ob-go | |
x86_64-linux python311Packages.pikepdf | |
aarch64-linux python311Packages.clikit | |
aarch64-linux haskellPackages.pinch | |
aarch64-linux python312Packages.fido2 | |
aarch64-linux python311Packages.pysmb | |
x86_64-linux libsForQt5.qt5.qtspeech | |
aarch64-darwin haskellPackages.pipes-http | |
aarch64-linux linuxKernel.packages.linux_latest_libre.rtl8821cu | |
aarch64-linux tests.haskell.cabalSdist.localFromCabalSdist | |
x86_64-darwin booster | |
x86_64-darwin python312Packages.configobj | |
x86_64-linux rubyPackages_3_3.highline | |
x86_64-linux python312Packages.isounidecode | |
aarch64-linux perl536Packages.EmailMIMEEncodings | |
x86_64-linux haskellPackages.kawa | |
aarch64-darwin emacsPackages.org-fragtog | |
aarch64-linux emacsPackages.uiua-mode | |
aarch64-darwin lua52Packages.haskell-tools-nvim | |
x86_64-linux perl536Packages.DBIxSimple | |
aarch64-linux python311Packages.proton-vpn-logger | |
aarch64-linux rubyPackages_3_2.reline | |
aarch64-linux lua52Packages.luasql-sqlite3 | |
x86_64-linux sbclPackages.lisp-binary | |
aarch64-linux python312Packages.tinyobjloader-py | |
aarch64-darwin emacsPackages.calibre | |
aarch64-linux python311Packages.flake8-length | |
x86_64-darwin lua54Packages.fidget-nvim | |
x86_64-darwin python311Packages.validators | |
aarch64-darwin haskellPackages.tuple-generic | |
aarch64-darwin python311Packages.pytest-timeout | |
x86_64-linux tmuxPlugins.tmux-fzf | |
x86_64-darwin haskellPackages.quickcheck-enum-instances | |
aarch64-linux abaddon | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.framework-laptop-kmod | |
x86_64-darwin haskellPackages.loop | |
x86_64-linux perl536Packages.DistZillaPluginTestCompile | |
aarch64-darwin python312Packages.babelgladeextractor | |
aarch64-linux llvmPackages_11.llvm-polly | |
x86_64-darwin libsForQt5.plasma-applet-caffeine-plus | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.prl-tools | |
x86_64-linux vimPlugins.neosnippet-snippets | |
x86_64-darwin python311Packages.flask-elastic | |
aarch64-linux python311Packages.imaplib2 | |
aarch64-darwin map-cmd | |
aarch64-linux rubyPackages_3_1.rspec-support | |
aarch64-darwin haskellPackages.lawful-classes-types | |
aarch64-darwin emacsPackages.calfw-ical | |
x86_64-darwin emacsPackages.airplay | |
x86_64-darwin mlflow-server | |
aarch64-darwin python311Packages.dep-logic | |
aarch64-linux python311Packages.geniushub-client | |
x86_64-linux python312Packages.django-sesame | |
x86_64-darwin perl536Packages.TextFormat | |
x86_64-darwin emacsPackages.calfw-org | |
aarch64-linux perl538Packages.DataBinary | |
aarch64-darwin mutest | |
x86_64-darwin qt5.qtserialbus | |
aarch64-darwin vimPlugins.nvim-config-local | |
x86_64-darwin unflac | |
aarch64-linux haskellPackages.wreq | |
aarch64-linux haskellPackages.flexible-defaults | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.framework-laptop-kmod | |
aarch64-linux python312Packages.certbot-dns-route53 | |
x86_64-linux python312Packages.ds-store | |
aarch64-darwin python312Packages.google-cloud-automl | |
x86_64-darwin emacsPackages.lsp-mssql | |
x86_64-linux chickenPackages_5.chickenEggs.srfi-116 | |
aarch64-linux postgresql14JitPackages.pg_auto_failover | |
aarch64-darwin emacsPackages.manage-minor-mode | |
aarch64-darwin python311Packages.restview | |
aarch64-linux python311Packages.zc-lockfile | |
x86_64-linux cbqn-standalone | |
aarch64-darwin qt5.qtconnectivity | |
x86_64-linux ytree | |
x86_64-linux perl536Packages.PSGI | |
aarch64-linux vimPlugins.coc-clangd | |
aarch64-linux diffr | |
x86_64-linux perl536Packages.TestYAML | |
x86_64-darwin perl538Packages.CryptRC4 | |
x86_64-linux python312Packages.importlib-resources | |
aarch64-linux python311Packages.broadbean | |
x86_64-darwin python312Packages.praw | |
aarch64-linux python311Packages.reolink-aio | |
aarch64-linux python312Packages.h2 | |
aarch64-linux haskell.compiler.native-bignum.ghc981 | |
x86_64-linux linuxPackages.nvidia_x11_production_open | |
aarch64-darwin python311Packages.progressbar33 | |
x86_64-linux python311Packages.pyelftools | |
aarch64-darwin python312Packages.misaka | |
x86_64-linux python311Packages.types-aiobotocore-opsworks | |
x86_64-linux python311Packages.sphinxcontrib-htmlhelp | |
aarch64-linux xfce.mousepad | |
x86_64-linux python312Packages.httpbin | |
x86_64-darwin helmfile-wrapped | |
x86_64-linux perl536Packages.ClassReturnValue | |
aarch64-linux python312Packages.thespian | |
x86_64-linux extrace | |
aarch64-darwin python312Packages.aiomusiccast | |
x86_64-linux emacsPackages.emacsql-psql | |
x86_64-linux nyx | |
aarch64-linux python312Packages.distlib | |
x86_64-linux python312Packages.types-aiobotocore-sns | |
x86_64-darwin powersploit | |
aarch64-darwin llvmPackages.libcxxStdenv | |
x86_64-linux python312Packages.python-velbus | |
aarch64-linux python312Packages.pytest-textual-snapshot | |
aarch64-linux qq | |
aarch64-linux python311Packages.types-mock | |
x86_64-darwin python312Packages.asysocks | |
x86_64-linux perl536Packages.TestFakeHTTPD | |
aarch64-linux flutterPackages.v3_16 | |
x86_64-darwin libsForQt5.kross | |
x86_64-darwin certinfo | |
aarch64-linux python311Packages.mypy-boto3-snowball | |
aarch64-darwin vimPlugins.vim-paper | |
aarch64-linux python311Packages.youtube-search-python | |
x86_64-darwin python311Packages.types-aiobotocore-cloudhsmv2 | |
x86_64-darwin haskellPackages.orthotope-hmatrix | |
aarch64-linux hexio | |
x86_64-linux postgresql14JitPackages.wal2json | |
aarch64-linux libsmartcols | |
aarch64-darwin python311Packages.pyqt5-webkit | |
x86_64-darwin python312Packages.cometblue-lite | |
x86_64-linux gimpPlugins.gmic | |
x86_64-darwin rubyPackages.haml | |
x86_64-darwin python312Packages.stringbrewer | |
x86_64-darwin vimPlugins.iron-nvim | |
x86_64-darwin chickenPackages_5.chickenEggs.condition-utils | |
aarch64-linux lua52Packages.luarocks-build-rust-mlua | |
aarch64-linux unixtools.locale | |
aarch64-darwin perl536Packages.ModuleExtractVERSION | |
x86_64-darwin awf | |
x86_64-linux xrdp | |
x86_64-linux libsForQt5.qca-qt5 | |
aarch64-linux rclip | |
x86_64-darwin perl536Packages.DataPagePageset | |
x86_64-darwin haskellPackages.twitter-conduit | |
x86_64-linux linuxKernel.packages.linux_5_15.gasket | |
x86_64-darwin emacsPackages.wilt | |
x86_64-linux python311Packages.aadict | |
x86_64-linux electron_17-bin | |
aarch64-darwin libvdwxc | |
x86_64-linux perl536Packages.AppSqitch | |
x86_64-darwin python312Packages.graphql-server-core | |
x86_64-darwin python312Packages.pytest-localserver | |
aarch64-linux emacsPackages.alert-toast | |
x86_64-linux perl538Packages.TestWWWMechanizePSGI | |
x86_64-linux openraPackages_2019.mods.rv | |
aarch64-darwin python312Packages.yoyo-migrations | |
x86_64-darwin cocoapods | |
aarch64-darwin haskellPackages.camh | |
x86_64-linux perl536Packages.SQLTranslator | |
aarch64-darwin mupdf-headless | |
x86_64-linux python311Packages.asyncinotify | |
x86_64-darwin haskellPackages.table-layout | |
aarch64-darwin glabels | |
aarch64-darwin minecraftServers.vanilla-1-17 | |
aarch64-linux python312Packages.validate-email | |
x86_64-linux vimPlugins.heirline-nvim | |
x86_64-linux croaring | |
aarch64-darwin python312Packages.rtslib | |
x86_64-darwin mpi | |
aarch64-linux flex | |
aarch64-linux python311Packages.django-sekizai | |
x86_64-darwin luaPackages.lua-resty-jwt | |
aarch64-darwin psitop | |
aarch64-linux haskellPackages.atom-basic | |
x86_64-linux home-assistant-component-tests.matrix | |
x86_64-darwin python312Packages.cobs | |
aarch64-darwin perl538Packages.TextLayout | |
x86_64-linux emacsPackages.cubicle-mode | |
aarch64-linux perl538Packages.TestRoo | |
x86_64-linux emacsPackages.nix-ts-mode | |
x86_64-linux linuxPackages_lqx.mxu11x0 | |
x86_64-linux teamspeak_client | |
aarch64-linux vimPlugins.colorizer | |
aarch64-linux fingerprintx | |
x86_64-darwin haskellPackages.RoyalMonad | |
aarch64-linux engauge-digitizer | |
x86_64-linux haskellPackages.AC-Boolean | |
aarch64-darwin emacsPackages.helm-bibtexkey | |
aarch64-darwin python312Packages.requests-cache | |
aarch64-linux emacsPackages.friendly-remote-shell | |
x86_64-linux jazz2 | |
x86_64-darwin lua54Packages.luarocks | |
aarch64-linux haskellPackages.amazonka-devicefarm | |
x86_64-linux haskellPackages.amazonka-ivschat | |
x86_64-linux perl536Packages.MooXTypesMooseLikeNumeric | |
x86_64-darwin perl538Packages.EvalClosure | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.yuck | |
x86_64-linux spotify-tui | |
aarch64-linux emacsPackages.synquid | |
x86_64-linux haskellPackages.aivika-experiment-chart | |
aarch64-darwin python311Packages.xarray-dataclasses | |
aarch64-darwin fgallery | |
aarch64-darwin timidity | |
x86_64-linux xrestop | |
aarch64-darwin python312Packages.cleo | |
aarch64-darwin haskellPackages.data-serializer | |
x86_64-darwin python312Packages.aiobafi6 | |
aarch64-linux emacsPackages.transient | |
aarch64-darwin python312Packages.fontfeatures | |
x86_64-linux boomerang | |
x86_64-darwin cddlib | |
x86_64-linux gildas | |
x86_64-linux emacsPackages.elforth | |
aarch64-linux robin-map | |
x86_64-linux jupp | |
x86_64-darwin python311Packages.aioazuredevops | |
aarch64-darwin python311Packages.webthing | |
x86_64-linux plasma5Packages.qtserialbus | |
x86_64-darwin haskellPackages.theta-functions | |
aarch64-linux certgraph | |
aarch64-darwin plasma5Packages.karchive | |
aarch64-darwin perl538Packages.Curses | |
aarch64-linux tmate-ssh-server | |
x86_64-linux dict | |
aarch64-darwin emacsPackages.fcopy | |
aarch64-linux perl536Packages.OLEStorage_Lite | |
x86_64-darwin rdesktop | |
x86_64-linux perl536Packages.LockFileSimple | |
x86_64-linux python311Packages.interface-meta | |
x86_64-darwin emacsPackages.popon | |
aarch64-linux lua51Packages.digestif | |
x86_64-linux perl538Packages.RoleHasMessage | |
aarch64-linux linuxKernel.packages.linux_latest_libre.r8125 | |
aarch64-darwin kconf | |
x86_64-linux tests.writers.bin.python3 | |
aarch64-linux linuxPackages_zen.can-isotp | |
aarch64-linux haskellPackages.OpenGL | |
x86_64-darwin perl536Packages.DBIxClassInflateColumnSerializer | |
x86_64-linux perl538Packages.LogfileRotate | |
x86_64-darwin haskellPackages.tensorflow-records | |
x86_64-darwin perl538Packages.TestNumberDelta | |
aarch64-linux perl536Packages.MIMELiteHTML | |
x86_64-darwin emacsPackages.license-snippets | |
aarch64-linux emacsPackages.srcery-theme | |
x86_64-linux python312Packages.pyrealsense2 | |
x86_64-darwin python312Packages.rank-bm25 | |
aarch64-linux flow | |
x86_64-linux emacsPackages.mini-frame | |
aarch64-linux bmon | |
aarch64-linux python312Packages.kaptan | |
x86_64-darwin emacsPackages.helm-git | |
aarch64-linux python311Packages.dissect-regf | |
x86_64-darwin haskellPackages.amazonka-shield | |
x86_64-linux keystore-explorer | |
aarch64-darwin darwin.hfs | |
aarch64-linux ideogram | |
aarch64-linux pps-tools | |
x86_64-darwin python311Packages.incremental | |
x86_64-linux python312Packages.urlextract | |
x86_64-linux keepass-charactercopy | |
x86_64-linux haskellPackages.xml-extractors | |
aarch64-linux postgresql14JitPackages.age | |
x86_64-linux emacsPackages.ctl-mode | |
x86_64-darwin aspellDicts.te | |
x86_64-linux emacsPackages.nodejs-repl | |
x86_64-linux python312Packages.icontract | |
x86_64-darwin python311Packages.dlms-cosem | |
aarch64-linux haskellPackages.css-text | |
aarch64-linux libsForQt5.ktnef | |
aarch64-darwin python311Packages.itemloaders | |
aarch64-darwin haskellPackages.xor | |
aarch64-linux llvmPackages_17.libclc | |
x86_64-linux python312Packages.soundfile | |
x86_64-linux linuxKernel.packages.linux_6_1.nvidia_x11_production_open | |
aarch64-linux emacsPackages.helm-hoogle | |
aarch64-darwin jsvc | |
aarch64-darwin python312Packages.asks | |
aarch64-linux haskellPackages.church-pair | |
x86_64-darwin genesys | |
aarch64-darwin vikunja-frontend | |
aarch64-darwin python312Packages.sphinx-rtd-theme | |
aarch64-darwin erlang | |
x86_64-linux emacsPackages.r-autoyas | |
x86_64-darwin haskellPackages.contiguous | |
x86_64-linux emacsPackages.eldoc-eval | |
x86_64-darwin memorymapping | |
x86_64-linux hunspellDicts.de-de | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.r8168 | |
aarch64-linux linuxPackages_xanmod_stable.nvidia_x11 | |
aarch64-darwin wlc | |
x86_64-linux linuxKernel.packages.linux_lqx.virtualbox | |
x86_64-darwin rubyPackages_3_3.prettier | |
x86_64-linux python311Packages.dj-search-url | |
x86_64-linux famistudio | |
aarch64-linux protoc-gen-prost | |
aarch64-darwin haskellPackages.migrant-hdbc | |
aarch64-darwin python311Packages.textnets | |
x86_64-darwin python312Packages.nats-python | |
x86_64-darwin hjson-go | |
x86_64-darwin emacsPackages.khardel | |
x86_64-darwin python312Packages.zamg | |
x86_64-darwin cargo-generate | |
aarch64-linux temurin-bin-16 | |
aarch64-darwin haskellPackages.acme-missiles | |
x86_64-darwin breakpad | |
aarch64-linux mspds-bin | |
aarch64-darwin python311Packages.fastai | |
x86_64-darwin python312Packages.mypy-boto3-lookoutequipment | |
x86_64-linux python312Packages.kbcstorage | |
x86_64-darwin python312Packages.tlv8 | |
aarch64-darwin haskellPackages.sexpresso | |
x86_64-linux emacsPackages.cl-libify | |
x86_64-linux imgur-screenshot | |
x86_64-darwin torsocks | |
aarch64-darwin python312Packages.distributed | |
aarch64-linux mrbayes | |
aarch64-darwin rubyPackages_3_3.matrix | |
x86_64-linux qoi | |
x86_64-linux emacsPackages.rails-routes | |
x86_64-darwin perl536Packages.DBDCSV | |
x86_64-linux perl538Packages.StringTT | |
x86_64-linux linuxPackages_6_6_hardened.ch9344 | |
x86_64-linux dblatexFull | |
x86_64-linux moosefs | |
aarch64-darwin haskellPackages.dynamic | |
aarch64-linux rblake3sum | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.cairo-pdf | |
aarch64-linux process-compose | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.tuxedo-keyboard | |
aarch64-linux python311Packages.lime | |
x86_64-linux bazel-remote | |
aarch64-darwin python311Packages.requests-pkcs12 | |
aarch64-darwin vimPlugins.coc-pyright | |
x86_64-linux vimPlugins.vimfiler-vim | |
x86_64-darwin python312Packages.regenmaschine | |
aarch64-linux emacsPackages.rainbow-fart | |
x86_64-linux python312Packages.socksipy-branch | |
aarch64-darwin haskellPackages.hie-compat | |
x86_64-linux linuxKernel.packages.linux_5_4.rr-zen_workaround | |
x86_64-darwin python312Packages.loca | |
aarch64-darwin python312Packages.pytest-sugar | |
aarch64-darwin rubyPackages_3_1.rackup | |
x86_64-linux python311Packages.crownstone-sse | |
aarch64-darwin samtools_0_1_19 | |
x86_64-darwin python311Packages.mypy-boto3-sqs | |
aarch64-darwin lua53Packages.markdown | |
x86_64-linux haskellPackages.hackage-plot | |
x86_64-linux gnomeExtensions.azan-islamic-prayer-times | |
x86_64-linux xf86_video_nested | |
x86_64-linux haskellPackages.ap-normalize | |
aarch64-darwin python311Packages.inquirer | |
x86_64-linux gibo | |
aarch64-linux emacsPackages.vtm | |
aarch64-linux emacsPackages.dpaste | |
aarch64-darwin python312Packages.plyvel | |
x86_64-darwin python312Packages.easyocr | |
x86_64-darwin python312Packages.anthemav | |
x86_64-darwin python312Packages.mypy-boto3-iotwireless | |
x86_64-linux haskellPackages.gl3w | |
aarch64-linux perl538Packages.ListBinarySearch | |
aarch64-linux python311Packages.pygrok | |
x86_64-darwin rubyPackages.idn-ruby | |
aarch64-linux linuxPackages_6_7_hardened.rtl8812au | |
x86_64-linux python312Packages.geniushub-client | |
x86_64-darwin vimPlugins.mkdnflow-nvim | |
x86_64-linux rubyPackages_3_3.faraday | |
x86_64-linux cmake-format | |
aarch64-linux emacsPackages.mu4e-alert | |
aarch64-linux chickenPackages_5.chickenEggs.simple-timer | |
aarch64-darwin ammonite_2_12 | |
x86_64-linux emacsPackages.ace-pinyin | |
x86_64-linux luaPackages.lua-subprocess | |
x86_64-linux python311Packages.zigpy-xbee | |
aarch64-linux doodle | |
aarch64-darwin pmacct | |
aarch64-darwin haskellPackages.reactive-banana | |
x86_64-darwin backward-cpp | |
aarch64-linux perl536Packages.MongoDB | |
x86_64-linux python311Packages.lmnotify | |
aarch64-darwin tegola | |
aarch64-linux git-sync | |
x86_64-linux chickenPackages_5.chickenEggs.sandbox | |
x86_64-darwin haskellPackages.monad-par-extras | |
x86_64-linux libesmtp | |
x86_64-darwin python312Packages.ledgerwallet | |
x86_64-linux gnufdisk | |
aarch64-linux perl538Packages.CryptCBC | |
aarch64-darwin python312Packages.pytest-shutil | |
x86_64-linux clipboard-jh | |
x86_64-linux python312Packages.catalogue | |
x86_64-darwin rubyPackages_3_3.pry-doc | |
aarch64-darwin perl536Packages.LWPProtocolConnect | |
x86_64-linux emacsPackages.clj-refactor | |
aarch64-linux rubyPackages_3_3.fiddle | |
aarch64-linux home-assistant-component-tests.mqtt_statestream | |
aarch64-darwin python312Packages.pybigwig | |
aarch64-linux theLoungePlugins.themes.discordapp | |
x86_64-linux python312Packages.tenacity | |
aarch64-linux opensearch-cli | |
x86_64-darwin python312Packages.astropy-healpix | |
x86_64-linux python311Packages.mypy-boto3-pinpoint-email | |
x86_64-linux chickenPackages_5.chickenEggs.expand-full | |
x86_64-darwin python311Packages.jsonstreams | |
aarch64-darwin python312Packages.pytest-recording | |
aarch64-linux sdcv | |
x86_64-linux perl536Packages.MinionBackendRedis | |
x86_64-linux sbclPackages.qtools | |
x86_64-linux python312Packages.mattermostdriver | |
aarch64-darwin tlsclient | |
aarch64-linux python311Packages.pyperclip | |
x86_64-linux haskellPackages.hie-compat | |
x86_64-darwin playerctl | |
x86_64-darwin python312Packages.pytest-asyncio | |
aarch64-darwin emacsPackages.zimports | |
aarch64-darwin python311Packages.mailchimp | |
aarch64-linux python312Packages.mypy-boto3-pinpoint-sms-voice-v2 | |
x86_64-linux python311Packages.types-aiobotocore-textract | |
aarch64-darwin python312Packages.pylitterbot | |
x86_64-linux chickenPackages_5.chickenEggs.compile-file | |
x86_64-darwin perl536Packages.SpreadsheetCSV | |
aarch64-darwin perl538Packages.AlgorithmBackoff | |
x86_64-linux octavePackages.io | |
aarch64-darwin python312Packages.dawg-python | |
aarch64-linux perl536Packages.LogMessage | |
aarch64-linux svu | |
aarch64-linux python311Packages.pytradfri | |
x86_64-linux haskellPackages.derivingvia-extras | |
aarch64-linux opencl-headers | |
aarch64-linux python312Packages.vharfbuzz | |
aarch64-linux python311Packages.poetry-core | |
aarch64-linux braa | |
x86_64-linux haskellPackages.ghcid | |
x86_64-linux qrcp | |
aarch64-darwin luigi | |
x86_64-linux ministat | |
aarch64-darwin perl538Packages.AnyURIEscape | |
aarch64-darwin python311Packages.pyverilog | |
x86_64-darwin postgresql16JitPackages.pg_hint_plan | |
x86_64-linux haskellPackages.hasql-pipes | |
x86_64-darwin gist | |
aarch64-linux python311Packages.airtouch4pyapi | |
aarch64-darwin python312Packages.xboxapi | |
aarch64-linux haskellPackages.io-streams-http | |
aarch64-linux rubyPackages_3_3.htmlbeautifier | |
aarch64-darwin yeahwm | |
x86_64-darwin python312Packages.pytest-subtesthack | |
aarch64-linux python312Packages.pycangjie | |
aarch64-linux rubyPackages.rubocop-performance | |
aarch64-linux python311Packages.nbtlib | |
aarch64-darwin vimPlugins.vimpreviewpandoc | |
aarch64-darwin chickenPackages_5.chickenEggs.noise | |
aarch64-linux haskellPackages.lucid2 | |
x86_64-linux haskellPackages.patch-combinators | |
x86_64-linux python312Packages.lion-pytorch | |
aarch64-linux rubyPackages_3_3.hashie | |
aarch64-darwin perl536Packages.AlienGMP | |
aarch64-linux vimPlugins.vim-monokai-tasty | |
aarch64-linux rubyPackages_3_3.coffee-script | |
aarch64-linux flare-signal | |
aarch64-darwin python312Packages.shapely | |
aarch64-linux sudo-rs | |
aarch64-linux docbook2odf | |
x86_64-darwin python312Packages.mypy-boto3-shield | |
aarch64-darwin xorg.fontbitstreamtype1 | |
aarch64-darwin dfilemanager | |
x86_64-darwin python311Packages.click-odoo-contrib | |
x86_64-linux dotnetCorePackages.sdk_6_0 | |
aarch64-linux emacsPackages.electric-ospl | |
aarch64-linux networkmanager-openvpn | |
x86_64-darwin python311Packages.netmap | |
aarch64-darwin jd-diff-patch | |
x86_64-linux vimPlugins.coc-denite | |
aarch64-darwin minecraftServers.vanilla-1-6 | |
aarch64-linux postgresql13JitPackages.plr | |
x86_64-darwin felix-fm | |
aarch64-darwin ldid | |
x86_64-darwin perl536Packages.ClassSingleton | |
aarch64-darwin emacsPackages.dyncloze | |
aarch64-linux linuxPackages_6_6_hardened.usbip | |
x86_64-darwin python312Packages.types-aiobotocore-drs | |
x86_64-linux haskellPackages.pattern-matcher | |
aarch64-linux emacsPackages.mhc | |
x86_64-darwin yq-go | |
aarch64-darwin python312Packages.icontract | |
aarch64-darwin codeium | |
aarch64-darwin python312Packages.scancode-toolkit | |
aarch64-linux home-assistant-component-tests.acmeda | |
aarch64-darwin plasma5Packages.kactivities | |
aarch64-darwin rubyPackages_3_2.cocoapods-downloader | |
x86_64-linux python311Packages.jupyter-server-mathjax | |
x86_64-darwin emacsPackages.symbols-outline | |
aarch64-darwin perl536Packages.MooXTypeTiny | |
aarch64-linux linuxKernel.packages.linux_5_15.system76-scheduler | |
x86_64-darwin virt-manager | |
aarch64-darwin haskellPackages.boxes | |
aarch64-linux python311Packages.configclass | |
x86_64-linux plexamp | |
aarch64-darwin coqPackages.topology | |
aarch64-linux pick | |
x86_64-darwin python311Packages.pywizlight | |
x86_64-linux haskellPackages.chessIO | |
x86_64-linux git-credential-1password | |
x86_64-linux pdsh | |
aarch64-linux emacsPackages.emms-mode-line-cycle | |
x86_64-darwin zpaq | |
aarch64-linux haskellPackages.observable-sharing | |
x86_64-linux python312Packages.py-ecc | |
x86_64-darwin perl538Packages.Clone | |
x86_64-linux tmuxPlugins.onedark-theme | |
aarch64-darwin vimPlugins.efmls-configs-nvim | |
x86_64-darwin python311Packages.pytest-emoji | |
aarch64-linux python311Packages.sphinx-codeautolink | |
x86_64-linux perl538Packages.Twiggy | |
x86_64-darwin perl536Packages.LinguaTranslit | |
aarch64-darwin yuzuPackages.poppler | |
aarch64-darwin haskellPackages.random-tree | |
x86_64-linux cmucl_binary | |
aarch64-linux python311Packages.xmlschema | |
x86_64-linux vsftpd | |
x86_64-linux haskellPackages.zim-parser | |
aarch64-darwin vimPlugins.clangd_extensions-nvim | |
aarch64-linux emacsPackages.flycheck-yamllint | |
x86_64-darwin newt | |
aarch64-darwin python311Packages.aqipy-atmotech | |
x86_64-linux linuxPackages_4_19_hardened.rtw88 | |
x86_64-darwin python311Packages.jsbeautifier | |
x86_64-darwin python311Packages.click-aliases | |
x86_64-linux tests.hardeningFlags-gcc.stackProtectorReenabledFromAllEnv | |
x86_64-linux rubyPackages_3_1.kramdown-rfc2629 | |
x86_64-darwin clang_9 | |
x86_64-linux jtds_jdbc | |
aarch64-darwin python312Packages.magicgui | |
aarch64-linux hareThirdParty.hare-ssh | |
x86_64-linux python312Packages.rtmixer | |
x86_64-darwin python312Packages.cot | |
x86_64-darwin llvmPackages_9.libcxxStdenv | |
x86_64-darwin emacsPackages.magma-mode | |
x86_64-darwin haskellPackages.nix-serve-ng | |
x86_64-darwin perl536Packages.CryptDES_EDE3 | |
aarch64-linux python312Packages.twitter-common-options | |
x86_64-linux bitscope.logic | |
x86_64-darwin vimPlugins.ci_dark | |
aarch64-darwin haskellPackages.base16-bytestring | |
aarch64-darwin python311Packages.calmjs | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.menhir | |
aarch64-linux libcrafter | |
x86_64-linux emacsPackages.treemacs-evil | |
aarch64-darwin perl536Packages.TemplatePluginJSONEscape | |
aarch64-linux sipp | |
x86_64-linux python311Packages.pysocks | |
aarch64-linux python311Packages.pyrogram | |
aarch64-darwin nix-pin | |
aarch64-linux perl536Packages.MooXAliases | |
x86_64-darwin perl538Packages.ProcDaemon | |
x86_64-linux python312Packages.snuggs | |
aarch64-linux python312Packages.pyskyqhub | |
x86_64-linux vimPlugins.ncm2-tagprefix | |
aarch64-darwin jhead | |
aarch64-linux frog | |
aarch64-darwin perl536Packages.Mojomysql | |
x86_64-darwin haskellPackages.text-utils | |
aarch64-darwin optifinePackages.optifine-latest | |
x86_64-linux arphic-uming | |
aarch64-linux xannotate | |
x86_64-darwin haskellPackages.email-validate | |
x86_64-linux haskellPackages.vector-hashtables | |
aarch64-linux python312Packages.calmjs-parse | |
aarch64-linux python312Packages.croniter | |
aarch64-darwin rustycli | |
x86_64-linux terraform-providers.fastly | |
x86_64-linux python312Packages.mashumaro | |
aarch64-linux fverb | |
aarch64-linux linuxquota | |
aarch64-linux extract_url | |
x86_64-darwin haskellPackages.ssv | |
x86_64-linux linuxKernel.packages.linux_5_4.broadcom_sta | |
x86_64-linux perl538Packages.TestAggregate | |
x86_64-linux libsForQt5.cantor | |
x86_64-linux mill | |
aarch64-darwin python311Packages.pprintpp | |
x86_64-linux python311Packages.azure-nspkg | |
aarch64-darwin python312Packages.dict2xml | |
x86_64-darwin kubectl-ktop | |
aarch64-linux haskellPackages.comfort-array | |
x86_64-darwin python312Packages.types-aiobotocore-emr | |
aarch64-darwin python312Packages.qiling | |
aarch64-darwin terraform-providers.cloudfoundry | |
aarch64-linux emacsPackages.gnugo | |
x86_64-linux emacsPackages.org-randomnote | |
x86_64-linux passwdqc | |
x86_64-darwin python311Packages.proxy-db | |
aarch64-linux python311Packages.txdbus | |
aarch64-linux qtikz | |
aarch64-linux clooj | |
x86_64-darwin python311Packages.gbulb | |
aarch64-linux emacsPackages.forge | |
x86_64-darwin haskellPackages.amazonka-qldb-session | |
aarch64-darwin sonic-server | |
aarch64-darwin pcscliteWithPolkit | |
aarch64-linux home-assistant-component-tests.lovelace | |
x86_64-linux fishPlugins.autopair | |
x86_64-linux python311Packages.pulumi | |
aarch64-darwin python312Packages.knack | |
x86_64-darwin rubyPackages_3_3.openssl | |
aarch64-linux uci | |
aarch64-darwin haskellPackages.free-vector-spaces | |
aarch64-linux haskellPackages.amazonka-kafka | |
aarch64-linux python312Packages.pure-pcapy3 | |
aarch64-darwin perl538Packages.BitVector | |
x86_64-darwin python311Packages.python-hosts | |
x86_64-darwin convfont | |
aarch64-darwin perl536Packages.ActionCircuitBreaker | |
x86_64-darwin python311Packages.youtubeaio | |
aarch64-darwin python312Packages.pydroid-ipcam | |
aarch64-darwin emacsPackages.keydef | |
x86_64-darwin libdbiDrivers | |
aarch64-linux haskellPackages.lindenmayer | |
x86_64-linux perl536Packages.TestWWWMechanize | |
x86_64-darwin keepwn | |
x86_64-darwin python312Packages.antlr4-python3-runtime | |
aarch64-darwin python311Packages.proboscis | |
aarch64-linux python311Packages.argparse-manpage | |
x86_64-linux python312Packages.clize | |
aarch64-darwin python312Packages.dbt-extractor | |
x86_64-darwin opencl-clhpp | |
x86_64-darwin pkger | |
x86_64-linux python312Packages.peaqevcore | |
aarch64-linux plasma5Packages.kemoticons | |
x86_64-linux haskellPackages.hlex | |
x86_64-linux php82Extensions.zend_test | |
x86_64-linux haskellPackages.diagrams-svg | |
aarch64-darwin sequoia-chameleon-gnupg | |
x86_64-linux emacsPackages.org-notebook | |
aarch64-linux emacsPackages.inlineR | |
x86_64-linux memorymappingHook | |
aarch64-linux emacsPackages.treefactor | |
x86_64-darwin python311Packages.pyparser | |
aarch64-darwin tev | |
aarch64-linux haskellPackages.constrictor | |
aarch64-darwin rubyPackages.webrick | |
x86_64-darwin haskellPackages.Folly | |
aarch64-darwin python311Packages.nsz | |
x86_64-linux linuxKernel.packages.linux_6_7.system76 | |
aarch64-darwin haskellPackages.generics-sop-lens | |
aarch64-darwin vimPlugins.vim-jsdoc | |
aarch64-darwin haskellPackages.metro-transport-crypto | |
x86_64-linux python311Packages.sphinx-sitemap | |
x86_64-linux perl536Packages.ClassDataInheritable | |
aarch64-darwin python312Packages.globus-sdk | |
aarch64-darwin rubyPackages_3_2.http-accept | |
aarch64-linux tmuxPlugins.extrakto | |
x86_64-darwin emacsPackages.ac-cider | |
aarch64-linux python312Packages.frelatage | |
x86_64-darwin python311Packages.dnfile | |
aarch64-darwin python311Packages.omnilogic | |
aarch64-linux inklingreader | |
x86_64-linux haskellPackages.selda-json | |
x86_64-linux apacheHttpdPackages.mod_spkac | |
aarch64-darwin luajitPackages.fluent | |
aarch64-darwin python311Packages.pytest-error-for-skips | |
x86_64-darwin haskellPackages.string-like | |
aarch64-linux python311Packages.rchitect | |
aarch64-linux haskellPackages.positive | |
x86_64-linux sonarr | |
aarch64-linux mitmproxy | |
x86_64-linux haskellPackages.cmdargs-browser | |
x86_64-darwin dave | |
aarch64-darwin python311Packages.flask-assets | |
x86_64-darwin haskellPackages.hakyll-process | |
x86_64-darwin dos2unix | |
x86_64-linux emacsPackages.cmake-font-lock | |
x86_64-darwin mtprotoproxy | |
x86_64-darwin haskellPackages.chesshs | |
aarch64-linux ddcui | |
aarch64-linux stylish-haskell | |
x86_64-darwin emacsPackages.fountain-mode | |
aarch64-darwin optifine | |
aarch64-linux rubyPackages_3_1.pry-byebug | |
x86_64-darwin cue | |
x86_64-linux vimPlugins.nordic-nvim | |
x86_64-darwin python312Packages.mpv | |
x86_64-linux eclint | |
aarch64-darwin perl536Packages.DBDCSV | |
x86_64-linux luajitPackages.luaevent | |
aarch64-linux perl538Packages.perl | |
aarch64-linux python311Packages.pybullet | |
x86_64-darwin rubyPackages_3_2.jekyll-sitemap | |
x86_64-linux passphrase2pgp | |
x86_64-darwin rubyPackages.railties | |
x86_64-darwin python312Packages.types-aiobotocore-payment-cryptography-data | |
x86_64-darwin lima | |
aarch64-linux gdrive3 | |
aarch64-linux perl538Packages.TextFuzzy | |
x86_64-linux gocyclo | |
aarch64-linux vimPlugins.vim-colorstepper | |
aarch64-darwin emacsPackages.libmpdel | |
aarch64-darwin emacsPackages.simplenote2 | |
x86_64-darwin perl536Packages.TestMojibake | |
x86_64-darwin python311Packages.pydoe | |
aarch64-darwin python312Packages.django-otp | |
x86_64-linux home-assistant-component-tests.feedreader | |
x86_64-linux emacsPackages.pyinspect | |
x86_64-darwin python311Packages.pendulum | |
aarch64-darwin python311Packages.pyparsing | |
x86_64-darwin edUnstable | |
x86_64-darwin python312Packages.envs | |
aarch64-linux cudaPackages.cuda_cupti | |
x86_64-darwin perl538 | |
aarch64-darwin perl536Packages.TclpTk | |
aarch64-darwin postgresql15Packages.pg_auto_failover | |
x86_64-linux muon | |
aarch64-darwin haskellPackages.unordered-containers | |
x86_64-darwin python312Packages.aiolip | |
aarch64-linux emacsPackages.cssh | |
x86_64-darwin perl538Packages.vidir | |
aarch64-linux python311Packages.angrcli | |
x86_64-darwin postgresqlJitPackages.pg_topn | |
x86_64-linux python312Packages.dtlssocket | |
x86_64-linux pam-honeycreds | |
x86_64-darwin haskellPackages.amazonka-resiliencehub | |
aarch64-linux haskellPackages.ipython-kernel | |
aarch64-linux faba-icon-theme | |
x86_64-linux linuxKernel.packages.linux_xanmod.veikk-linux-driver | |
aarch64-linux pantheon.elementary-code | |
x86_64-linux python311Packages.salmon-mail | |
x86_64-linux vscode-extensions.tuttieee.emacs-mcx | |
x86_64-linux php82Extensions.soap | |
aarch64-linux engage | |
aarch64-linux linuxPackages_4_19_hardened.nct6687d | |
x86_64-darwin python312Packages.overpy | |
x86_64-darwin emacsPackages.magit | |
aarch64-linux python311Packages.pytest-mypy-plugins | |
x86_64-darwin haskellPackages.srv | |
aarch64-darwin woff2 | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.virtualbox | |
x86_64-darwin python312Packages.types-aiobotocore-savingsplans | |
aarch64-linux home-assistant-component-tests.aladdin_connect | |
aarch64-linux haskellPackages.language-c99-util | |
aarch64-linux haskellPackages.lifted-stm | |
x86_64-linux ChowCentaur | |
x86_64-linux perl536Packages.CaptureTiny | |
aarch64-linux perl538Packages.CPANChecksums | |
aarch64-darwin python312Packages.mailchimp | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.forth | |
aarch64-darwin emacsPackages.gntp | |
x86_64-darwin haskellPackages.data-binary-ieee754 | |
x86_64-linux python311Packages.pyatspi | |
x86_64-darwin rubyPackages_3_1.jekyll-paginate | |
aarch64-linux cargo-docset | |
x86_64-linux python311Packages.mypy-boto3-mgn | |
aarch64-darwin python311Packages.elasticsearchdsl | |
aarch64-linux python311Packages.django-admin-datta | |
x86_64-linux docbook_xml_dtd_45 | |
aarch64-linux perl538Packages.EmailAbstract | |
aarch64-linux python311Packages.python-decouple | |
x86_64-darwin python312Packages.pytz-deprecation-shim | |
aarch64-linux rubyPackages_3_1.cocoapods-clean_build_phases_scripts | |
aarch64-darwin haskellPackages.servant-jsonrpc-client | |
aarch64-darwin haskellPackages.hpp | |
x86_64-linux vimPlugins.chadtree | |
aarch64-linux linuxPackages_6_1_hardened.mxu11x0 | |
x86_64-darwin vimPlugins.neotest-elixir | |
aarch64-linux plasma5Packages.qt5.qtnetworkauth | |
x86_64-linux haskellPackages.clist | |
x86_64-darwin dictdDBs.wiktionary | |
aarch64-darwin emacsPackages.iter2 | |
x86_64-linux tcl-8_5 | |
x86_64-darwin hunspellDicts.eu-es | |
x86_64-darwin perl538Packages.TemplatePluginIOAll | |
aarch64-darwin haskellPackages.amazonka-glacier | |
aarch64-darwin python312Packages.natsort | |
aarch64-linux linuxKernel.packages.linux_lqx.rtl8189es | |
aarch64-darwin spidermonkey_78 | |
aarch64-darwin emacsPackages.helm-cscope | |
aarch64-darwin python311Packages.magic-wormhole | |
x86_64-linux python312Packages.aiohttp-wsgi | |
aarch64-darwin emacsPackages.highlight2clipboard | |
aarch64-linux python312Packages.typeguard | |
aarch64-linux rubyPackages_3_2.jekyll-titles-from-headings | |
aarch64-linux python311Packages.mypy-boto3-appmesh | |
aarch64-linux electron_14-bin | |
x86_64-linux lua54Packages.lmpfrlib | |
aarch64-darwin python311Packages.nameparser | |
aarch64-linux cinnamon.pix | |
x86_64-darwin emacsPackages.eshell-info-banner | |
x86_64-darwin emacsPackages.transient-extras-lp | |
aarch64-darwin haskellPackages.FailT | |
aarch64-linux icu63 | |
x86_64-linux vimPlugins.lightline-bufferline | |
x86_64-linux haskellPackages.amazonka-redshift-serverless | |
x86_64-darwin emacsPackages.seeing-is-believing | |
x86_64-darwin at-spi2-atk | |
aarch64-darwin perl538Packages.NetINET6Glue | |
aarch64-linux perl536Packages.ProtocolHTTP2 | |
aarch64-linux polyphone | |
aarch64-linux python311Packages.python3-eventlib | |
aarch64-darwin python312Packages.pytest | |
x86_64-linux perl538Packages.TestUnitLite | |
x86_64-linux linuxPackages_6_1_hardened.rtl88xxau-aircrack | |
x86_64-darwin perl538Packages.IOTty | |
x86_64-darwin python312Packages.dissect-jffs | |
x86_64-linux emacsPackages.ruby-refactor | |
x86_64-darwin vimPlugins.nvim-cursorline | |
x86_64-darwin onmetal-image | |
aarch64-darwin glfw-wayland | |
x86_64-linux haskellPackages.bindings-parport | |
aarch64-darwin vimPlugins.coc-solargraph | |
x86_64-linux python311Packages.pycxx | |
aarch64-linux linuxPackages_5_10_hardened.dpdk-kmods | |
x86_64-darwin haskellPackages.butcher | |
x86_64-linux vimPlugins.coc-fzf | |
aarch64-linux csfml | |
x86_64-linux perl536Packages.PerlPrereqScanner | |
x86_64-linux vimPlugins.vim-pluto | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.hcl | |
aarch64-darwin python311Packages.accelerate | |
x86_64-darwin emacsPackages.counsel-jq | |
x86_64-linux lxde.lxtask | |
x86_64-darwin python311Packages.binho-host-adapter | |
x86_64-darwin lz4 | |
x86_64-darwin boost179 | |
aarch64-linux rubyPackages_3_2.cocoapods-trunk | |
x86_64-darwin vulnix | |
x86_64-darwin rubyPackages_3_1.unf_ext | |
aarch64-linux haskellPackages.hw-vector | |
aarch64-darwin tests.haskell.shellFor | |
aarch64-linux emacsPackages.kpm-list | |
x86_64-darwin read-it-later | |
x86_64-linux linuxKernel.packages.linux_5_15.tbs | |
aarch64-darwin python311Packages.demjson3 | |
x86_64-linux hexio | |
x86_64-darwin emacsPackages.helm-cider | |
aarch64-darwin apksigcopier | |
aarch64-linux python311Packages.soundcloud-v2 | |
aarch64-darwin graalvm-ce | |
x86_64-darwin nix-output-monitor | |
x86_64-linux adwaita-qt6 | |
aarch64-darwin opl3bankeditor | |
x86_64-darwin python311Packages.decorator | |
aarch64-linux droidmote | |
x86_64-darwin python311Packages.hpccm | |
aarch64-linux vimPlugins.kmonad-vim | |
x86_64-darwin perl538Packages.DispatchClass | |
x86_64-linux haskellPackages.ascetic | |
aarch64-darwin dtool | |
aarch64-darwin perl538Packages.HTTPDate | |
aarch64-linux python312Packages.azure-mgmt-web | |
aarch64-darwin python312Packages.pyutil | |
x86_64-linux linuxKernel.packages.linux_4_19.veikk-linux-driver | |
x86_64-darwin vimPlugins.nvim-treesitter-pyfold | |
x86_64-linux emacsPackages.org-starter-swiper | |
x86_64-darwin wordpress6_4 | |
x86_64-linux linuxKernel.packages.linux_6_5_hardened.xone | |
aarch64-linux emacsPackages.org-multi-wiki | |
aarch64-darwin emacsPackages.test-simple | |
aarch64-darwin python311Packages.eth-hash | |
x86_64-darwin emacsPackages.ob-elixir | |
x86_64-darwin emacsPackages.graphql-doc | |
aarch64-linux acct | |
x86_64-darwin minetest-mapserver | |
x86_64-linux python311Packages.glad | |
aarch64-linux humanfriendly | |
aarch64-linux plasma5Packages.audiotube | |
aarch64-linux gabutdm | |
x86_64-linux nomad-pack | |
x86_64-linux linuxPackages_zen.virtualboxGuestAdditions | |
x86_64-linux python311Packages.types-aiobotocore-iot-roborunner | |
x86_64-darwin emacsPackages.gh-notify | |
aarch64-darwin emacsPackages.common-lisp-snippets | |
aarch64-linux yuzuPackages.qgpgme | |
aarch64-darwin lua53Packages.lua-iconv | |
aarch64-darwin mopidy-notify | |
x86_64-darwin golint | |
aarch64-darwin python312Packages.vacuum-map-parser-base | |
aarch64-darwin alejandra | |
x86_64-linux python312Packages.elmax-api | |
x86_64-linux python312Packages.pyhamcrest | |
aarch64-linux aspellDicts.hsb | |
aarch64-linux home-assistant-component-tests.switch | |
x86_64-darwin python312Packages.launchpadlib | |
x86_64-darwin emacsPackages.phi-search-mc | |
x86_64-linux python312Packages.csrmesh | |
x86_64-darwin emacsPackages.dashboard-project-status | |
aarch64-darwin emacsPackages.buffer-flip | |
aarch64-linux haskellPackages.reactive-balsa | |
x86_64-linux yazi | |
aarch64-darwin chickenPackages_5.chickenEggs.simple-md5 | |
aarch64-darwin python312Packages.pyrituals | |
x86_64-darwin perl536Packages.DataSExpression | |
aarch64-linux haskellPackages.AC-PPM | |
x86_64-darwin nix-store-gcs-proxy | |
aarch64-darwin python312Packages.mypy-boto3-budgets | |
x86_64-darwin emacsPackages.js-comint | |
aarch64-linux elmPackages.elm-live | |
aarch64-linux python311Packages.flask-login | |
x86_64-darwin python311Packages.pyisemail | |
x86_64-linux python312Packages.textile | |
aarch64-darwin doing | |
aarch64-linux emacsPackages.letcheck | |
x86_64-linux glm | |
x86_64-linux php83Extensions.memcached | |
aarch64-linux python311Packages.types-aiobotocore-kinesis-video-signaling | |
x86_64-darwin python312Packages.google-cloud-dlp | |
aarch64-linux haskellPackages.servant-multipart-client | |
x86_64-darwin python311Packages.fiblary3-fork | |
x86_64-linux python311Packages.maxcube-api | |
x86_64-linux gnomeExtensions.window-gestures | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.nvidiabl | |
x86_64-linux lua51Packages.telescope-manix | |
x86_64-linux linuxPackages_5_4_hardened.nvidia_x11_beta | |
aarch64-darwin python311Packages.ecdsa | |
x86_64-linux php81Extensions.couchbase | |
x86_64-darwin mmlgui | |
aarch64-darwin meerk40t-camera | |
aarch64-darwin python311Packages.mypy-boto3-codepipeline | |
x86_64-linux php83Packages.composer | |
aarch64-linux bulletml | |
x86_64-darwin emacsPackages.nerd-icons-ibuffer | |
aarch64-darwin python311Packages.griffe | |
aarch64-darwin perl538Packages.HashMergeSimple | |
aarch64-linux perl538Packages.LogMessage | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.tuxedo-keyboard | |
aarch64-darwin docker-distribution | |
x86_64-linux python311Packages.bash-kernel | |
aarch64-darwin perl536Packages.LWPUserAgentCached | |
aarch64-linux rauc | |
x86_64-linux emacsPackages.evil-string-inflection | |
x86_64-linux python311Packages.restview | |
x86_64-darwin tclap | |
x86_64-darwin haskellPackages.blaze-textual | |
x86_64-darwin python311Packages.mixins | |
aarch64-linux emacsPackages.evil-embrace | |
aarch64-linux python312Packages.zc-buildout | |
aarch64-linux vimPlugins.vim-poweryank | |
x86_64-linux coreboot-toolchain.aarch64 | |
x86_64-linux plasma5Packages.qt5.qtwebview | |
aarch64-linux python312Packages.emailthreads | |
x86_64-darwin aspellDicts.es | |
aarch64-linux simpleTpmPk11 | |
aarch64-linux qt5.qtquickcontrols | |
x86_64-darwin gcolor3 | |
aarch64-linux python311Packages.types-aiobotocore-sagemaker-runtime | |
aarch64-darwin python311Packages.faust-cchardet | |
x86_64-linux apt-cacher-ng | |
aarch64-darwin flexget | |
x86_64-linux emacsPackages.ghc-imported-from | |
aarch64-linux python312Packages.jaraco-email | |
x86_64-darwin lua51Packages.lua-iconv | |
aarch64-linux emacsPackages.status | |
x86_64-darwin plasma5Packages.falkon | |
x86_64-linux retroarchBare | |
x86_64-linux capnproto-rust | |
aarch64-linux perl536Packages.Gtk2ImageView | |
aarch64-darwin emacsPackages.json-navigator | |
x86_64-darwin perl536Packages.EmailOutlookMessage | |
aarch64-linux python312Packages.sphinxcontrib-htmlhelp | |
aarch64-linux python312Packages.argparse-addons | |
aarch64-linux plasma5Packages.akonadi-search | |
x86_64-linux obexfs | |
aarch64-linux vimPlugins.quarto-nvim | |
x86_64-linux libsForQt5.mapbox-gl-native | |
x86_64-darwin python312Packages.sanic-testing | |
x86_64-linux python312Packages.dokuwiki | |
aarch64-darwin emacsPackages.projection-multi | |
x86_64-linux python311Packages.json-schema-for-humans | |
x86_64-darwin python312Packages.types-aiobotocore-shield | |
x86_64-linux mmake | |
aarch64-darwin emacsPackages.org-re-reveal | |
aarch64-linux emacsPackages.ob-rust | |
x86_64-darwin libpqxx | |
aarch64-darwin python312Packages.nomadnet | |
aarch64-darwin perl538Packages.EmailMIMEEncodings | |
x86_64-linux python312Packages.ansi | |
x86_64-darwin python312Packages.jieba | |
aarch64-linux texi2html | |
x86_64-darwin vimPlugins.telescope-sg | |
aarch64-linux linuxKernel.packages.linux_5_4.framework-laptop-kmod | |
x86_64-linux linuxPackages_xanmod_stable.nvidia_x11_stable_open | |
aarch64-linux haskellPackages.hgraph | |
aarch64-linux xorg.xf86videowsfb | |
aarch64-linux emacsPackages.spinner | |
aarch64-linux irccat | |
aarch64-linux python311Packages.cachelib | |
aarch64-linux codeblocksFull | |
x86_64-darwin python312Packages.ecpy | |
x86_64-linux emacsPackages.cframe | |
x86_64-linux perceptualdiff | |
x86_64-darwin emacsPackages.uni-confusables | |
x86_64-linux python311Packages.aioredis | |
x86_64-linux python312Packages.tensorrt | |
aarch64-darwin postgresql_15 | |
x86_64-linux sqitchMysql | |
x86_64-linux asunder | |
x86_64-linux scrot | |
x86_64-linux python311Packages.grpcio-health-checking | |
aarch64-linux python312Packages.pycfmodel | |
aarch64-darwin emacsPackages.commify | |
x86_64-darwin grip-search | |
aarch64-linux haskellPackages.hmpfr | |
aarch64-linux proxify | |
x86_64-linux fpart | |
x86_64-darwin emacsPackages.company-stan | |
x86_64-linux perl536Packages.GD | |
x86_64-darwin haskellPackages.bytebuild | |
aarch64-linux python311Packages.tomlkit | |
aarch64-linux python312Packages.hass-nabucasa | |
aarch64-linux binutilsNoLibc | |
aarch64-darwin hpl | |
aarch64-darwin perl538Packages.AlgorithmDiff | |
x86_64-darwin python311Packages.mypy-boto3-healthlake | |
aarch64-darwin python311Packages.moddb | |
x86_64-linux haskellPackages.bytestring-strict-builder | |
aarch64-darwin jumppad | |
x86_64-darwin python312Packages.django-colorful | |
aarch64-darwin emacsPackages.shell-switcher | |
x86_64-darwin qcad | |
x86_64-darwin sokol | |
aarch64-darwin spectre-cli | |
x86_64-linux rubyPackages.one_gadget | |
x86_64-linux emacsPackages.termbright-theme | |
x86_64-linux gnomeExtensions.desaturated-tray-icons | |
x86_64-linux haskellPackages.juicy-gcode | |
x86_64-darwin emacs28-gtk2 | |
aarch64-darwin libsForQt5.qtkeychain | |
x86_64-linux python312Packages.azure-keyvault-nspkg | |
x86_64-darwin bwa | |
x86_64-darwin python311Packages.pysoundfile | |
aarch64-darwin python311Packages.frilouz | |
aarch64-darwin python311Packages.mypy-boto3-personalize-events | |
aarch64-linux python311Packages.tcxparser | |
x86_64-linux bandwhich | |
aarch64-darwin python312Packages.graphviz | |
x86_64-linux betterdiscordctl | |
x86_64-linux home-assistant-component-tests.dialogflow | |
aarch64-linux python312Packages.yubico-client | |
aarch64-linux rubyPackages_3_1.crabstone | |
x86_64-darwin haskellPackages.s-cargot | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.akvcam | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.zfsUnstable | |
x86_64-linux lxqt.lxqt-archiver | |
x86_64-linux linuxKernel.packages.linux_6_5_hardened.phc-intel | |
x86_64-darwin python312Packages.python-louvain | |
x86_64-linux go-autoconfig | |
x86_64-linux python311Packages.graspologic | |
aarch64-darwin emacsPackages.block-nav | |
aarch64-linux makebootfat | |
aarch64-linux alt-ergo | |
x86_64-linux go-minimock | |
x86_64-darwin opendht | |
x86_64-linux linuxKernel.packages.linux_6_6.can-isotp | |
x86_64-linux python311Packages.detectron2 | |
x86_64-darwin spacecookie | |
aarch64-linux vimPlugins.zk-nvim | |
aarch64-linux serialdv | |
x86_64-linux python311Packages.libusbsio | |
aarch64-darwin age-plugin-ledger | |
aarch64-linux cmus | |
aarch64-linux python311Packages.mypy-boto3-resourcegroupstaggingapi | |
x86_64-linux emacsPackages.zeal-at-point | |
x86_64-darwin luaPackages.nvim-cmp | |
aarch64-linux vimPlugins.vim-addon-sql | |
x86_64-linux llvm-manpages | |
x86_64-darwin bitmagnet | |
aarch64-darwin python312Packages.pexif | |
x86_64-darwin rubber | |
aarch64-darwin speedcrunch | |
x86_64-linux apx-gui | |
aarch64-linux openjdk11-bootstrap | |
x86_64-darwin python312Packages.lizard | |
x86_64-linux xorg.xf86videoxgi | |
aarch64-darwin python312Packages.django-stubs | |
aarch64-darwin haskellPackages.haskell-gi-base | |
x86_64-linux perl538Packages.TestMockClass | |
x86_64-darwin python311Packages.coqpit | |
x86_64-darwin python311Packages.objsize | |
x86_64-darwin python312Packages.lxml | |
x86_64-linux pycritty | |
aarch64-linux python311Packages.httpbin | |
aarch64-darwin plasma5Packages.krohnkite | |
x86_64-linux python312Packages.pyobihai | |
x86_64-darwin gojq | |
x86_64-darwin nagelfar | |
x86_64-darwin perl538Packages.MCE | |
x86_64-darwin haskellPackages.cabalg | |
x86_64-linux emacsPackages.evil-ex-shell-command | |
aarch64-darwin python311Packages.securesystemslib | |
x86_64-darwin p4d | |
x86_64-darwin python312Packages.aiohttp-cors | |
x86_64-linux perl538Packages.XMLSAXBase | |
aarch64-darwin perl538Packages.AuthenHtpasswd | |
x86_64-linux emacsPackages.magit-imerge | |
aarch64-darwin plasma5Packages.qtdeclarative | |
x86_64-linux gnomeExtensions.php-laravel-valet | |
x86_64-darwin libdaemon | |
aarch64-darwin python312Packages.gnureadline | |
aarch64-darwin optimism | |
aarch64-darwin rubyPackages.multi_json | |
x86_64-linux emacsPackages.insert-random | |
x86_64-linux partclone | |
x86_64-darwin haskellPackages.monadio-unwrappable | |
x86_64-darwin libretro.tgbdual | |
x86_64-linux perl538Packages.AnyEventBDB | |
aarch64-darwin python312Packages.tesla-wall-connector | |
x86_64-linux symmetrica | |
x86_64-linux c2ffi | |
aarch64-linux adcli | |
x86_64-darwin python312Packages.cypherpunkpay | |
x86_64-linux haskellPackages.hcoord | |
aarch64-darwin dotnet-runtime | |
aarch64-linux memtier-benchmark | |
aarch64-linux iosevka-bin | |
x86_64-linux python312Packages.sphinxcontrib-websupport | |
x86_64-linux beyond-identity | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.openafs_1_8 | |
x86_64-darwin python311Packages.authlib | |
aarch64-linux nixVersions.stable | |
aarch64-linux libretranslate | |
x86_64-darwin photoqt | |
aarch64-darwin tests.cc-wrapper.llvmTests.llvmPackages_11.clang | |
x86_64-darwin emacsPackages.rails-i18n | |
aarch64-linux haskellPackages.algebraic-graphs-io | |
x86_64-linux perl538Packages.GraphicsTIFF | |
aarch64-darwin python312Packages.guppy3 | |
aarch64-linux perl536Packages.ExporterDeclare | |
aarch64-darwin perl538Packages.DateTimeSet | |
x86_64-darwin plasma5Packages.qtdatavis3d | |
x86_64-linux python312Packages.azure-mgmt-notificationhubs | |
aarch64-linux libsForQt5.kontactinterface | |
x86_64-darwin emacsPackages.solarized-theme | |
x86_64-linux python312Packages.uptime | |
x86_64-darwin vimPlugins.tabular | |
aarch64-darwin python312Packages.whichcraft | |
aarch64-darwin links2 | |
aarch64-darwin python311Packages.hydrus-api | |
x86_64-darwin python312Packages.python-ldap | |
aarch64-darwin python312Packages.mplhep-data | |
x86_64-darwin matrix-synapse-tools.synadm | |
aarch64-darwin coqPackages.metacoq-erasure | |
x86_64-linux perl538Packages.PodEventual | |
aarch64-linux scala_2_13 | |
aarch64-darwin squawk | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.wgsl_bevy | |
aarch64-linux python311Packages.eth-hash | |
x86_64-linux python312Packages.willow | |
aarch64-darwin python312Packages.pyevilgenius | |
x86_64-linux haskellPackages.proto-lens-jsonpb | |
aarch64-linux corretto17 | |
x86_64-linux linuxPackages_zen.stdenv | |
x86_64-darwin python311Packages.avro | |
aarch64-linux ufmt | |
aarch64-darwin python312Packages.clickhouse-connect | |
x86_64-linux gnomeExtensions.colortint | |
aarch64-linux python312Packages.types-aiobotocore-migrationhuborchestrator | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.libpng | |
aarch64-darwin chickenPackages_5.chickenEggs.matrico | |
aarch64-linux python312Packages.xstatic-jquery-file-upload | |
x86_64-linux libsForQt5.kapptemplate | |
x86_64-linux perl538Packages.ZonemasterCLI | |
x86_64-linux python311Packages.cmake | |
aarch64-linux python311Packages.async-dns | |
x86_64-linux haskellPackages.cassava-th | |
aarch64-linux haskellPackages.aws-secrets | |
aarch64-linux blobwars | |
x86_64-linux emacsPackages.octopress | |
aarch64-linux libarchive | |
x86_64-linux haskellPackages.editpipe | |
aarch64-linux i3-resurrect | |
x86_64-linux perl538Packages.Catmandu | |
aarch64-linux python311Packages.extruct | |
x86_64-linux linuxKernel.packages.linux_lqx.cryptodev | |
x86_64-darwin haskellPackages.llvm-ffi-tools | |
aarch64-linux python312Packages.pysnmp | |
x86_64-linux CoinMP | |
aarch64-linux python311Packages.argilla | |
aarch64-darwin python311Packages.types-aiobotocore-finspace | |
aarch64-linux gensio | |
aarch64-darwin vimPlugins.coc-git | |
x86_64-darwin package-project-cmake | |
x86_64-darwin python311Packages.jello | |
x86_64-linux vimPlugins.baleia-nvim | |
aarch64-darwin haskellPackages.hascal | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.gdscript | |
x86_64-linux python311Packages.langid | |
aarch64-darwin termcap | |
x86_64-linux haskellPackages.snappy | |
aarch64-linux offzip | |
x86_64-linux haskellPackages.ghc-typelits-extra | |
aarch64-darwin python312Packages.mlx | |
x86_64-darwin rubyPackages.tiny_tds | |
aarch64-linux enlightenment.econnman | |
x86_64-darwin emacsPackages.ac-ispell | |
x86_64-linux emacsPackages.workgroups | |
aarch64-darwin camingo-code | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.netatop | |
x86_64-linux python312Packages.aiofiles | |
x86_64-linux perl536Packages.AuthenKrb5 | |
aarch64-linux miriway | |
aarch64-darwin perl538Packages.ArchiveZip | |
x86_64-darwin vimPlugins.heirline-nvim | |
x86_64-darwin ruwudu | |
x86_64-darwin haskellPackages.metro-transport-crypto | |
x86_64-linux python312Packages.types-mock | |
x86_64-linux python312Packages.mypy-boto3-codestar-notifications | |
x86_64-linux faiss | |
x86_64-linux lua51Packages.ldoc | |
x86_64-linux perl536Packages.GitVersionCompare | |
aarch64-linux haskellPackages.display-haskell-do | |
aarch64-linux python311Packages.tensorboard-plugin-wit | |
x86_64-linux perl536Packages.ModuleUtil | |
aarch64-linux emacsPackages.realgud-ipdb | |
x86_64-linux postgresql14Packages.pg_embedding | |
x86_64-linux python311Packages.lion-pytorch | |
aarch64-linux python312Packages.pdbfixer | |
x86_64-darwin vimPlugins.gruvbox-nvim | |
aarch64-linux perl538Packages.CodeTidyAll | |
x86_64-linux python311Packages.twitter-common-collections | |
x86_64-linux linuxPackages_5_10_hardened.usbip | |
aarch64-darwin vimPlugins.latex-box | |
x86_64-darwin python312Packages.mypy-boto3-sagemaker-geospatial | |
x86_64-linux python312Packages.percol | |
x86_64-linux lua51Packages.haskell-tools-nvim | |
aarch64-linux haskellPackages.japanese-holidays | |
x86_64-linux teleprompter | |
x86_64-darwin tldr-hs | |
x86_64-darwin glasstty-ttf | |
x86_64-darwin python311Packages.types-aiobotocore-cloud9 | |
aarch64-darwin patchutils | |
x86_64-darwin python312Packages.python-jenkins | |
aarch64-darwin perl536Packages.CatalystAuthenticationCredentialHTTP | |
x86_64-darwin perl538Packages.ConfigVersioned | |
x86_64-darwin python311Packages.strawberry-graphql | |
x86_64-linux vimPlugins.diffview-nvim | |
aarch64-darwin lua53Packages.lua-protobuf | |
aarch64-linux linuxPackages_4_19_hardened.rtl8812au | |
aarch64-linux emacsPackages.helm-gitignore | |
aarch64-linux linuxPackages_5_10_hardened.dddvb | |
x86_64-darwin yuzuPackages.qtnetworkauth | |
aarch64-linux chickenPackages_5.chickenEggs.bindings | |
aarch64-darwin gandi-cli | |
aarch64-linux rubyPackages_3_2.jekyll-feed | |
aarch64-darwin clipper | |
x86_64-darwin emacsPackages.rg | |
aarch64-linux gotags | |
x86_64-linux svix-server | |
aarch64-darwin python311Packages.tabulate | |
x86_64-linux vimPlugins.python-mode | |
x86_64-linux emacsPackages.xbm-life | |
aarch64-darwin perl538Packages.MHonArc | |
x86_64-linux haskellPackages.HPDF | |
aarch64-linux libburn | |
aarch64-linux python312Packages.setproctitle | |
x86_64-darwin haskellPackages.amazonka-pipes | |
x86_64-linux validatePkgConfig | |
x86_64-darwin tridactyl-native | |
x86_64-linux hibernate | |
aarch64-darwin twurl | |
x86_64-linux perl536Packages.DistZillaPluginBundleTestingMania | |
aarch64-darwin perl536Packages.NetCUPS | |
aarch64-darwin rubyPackages.cocoapods-wholemodule | |
x86_64-darwin emacsPackages.unifdef | |
x86_64-darwin emacsPackages.ws-butler | |
x86_64-linux clightd | |
x86_64-linux linuxPackages_xanmod.gcadapter-oc-kmod | |
x86_64-linux php82Extensions.sysvsem | |
x86_64-darwin vimPlugins.onedarker-nvim | |
aarch64-linux emacsPackages.acme-theme | |
x86_64-darwin emacsPackages.operate-on-number | |
x86_64-linux home-assistant-component-tests.twentemilieu | |
x86_64-linux haskellPackages.vinyl-gl | |
aarch64-linux haskellPackages.mime-mail | |
x86_64-darwin emacsPackages.eldoc-cmake | |
x86_64-linux emacsPackages.extend-dnd | |
aarch64-darwin haskellPackages.hedn-functor | |
x86_64-darwin python311Packages.manuel | |
x86_64-darwin fmt_8 | |
aarch64-linux libbitcoin-network | |
x86_64-darwin python312Packages.cairocffi | |
x86_64-darwin postgresql13Packages.pg_squeeze | |
aarch64-linux postgresql16JitPackages.pg_similarity | |
x86_64-linux python311Packages.htmllistparse | |
x86_64-darwin python311Packages.cf-xarray | |
x86_64-darwin python311Packages.range-typed-integers | |
aarch64-linux haskellPackages.wai-websockets | |
x86_64-darwin logisim-evolution | |
aarch64-darwin python311Packages.backports-strenum | |
aarch64-darwin perl536Packages.ParallelPrefork | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.dpdk-kmods | |
aarch64-linux linuxPackages_5_10_hardened.mwprocapture | |
x86_64-darwin emacsPackages.term-run | |
aarch64-linux python311Packages.mujoco | |
aarch64-linux emacsPackages.citar-org-roam | |
x86_64-darwin haskellPackages.amazonka-iotwireless | |
x86_64-darwin http-server | |
aarch64-linux rubyPackages.pcaprub | |
aarch64-linux git-credential-gopass | |
x86_64-darwin emacsPackages.mbe | |
x86_64-linux haskellPackages.PartialTypeSignatures | |
x86_64-darwin python312Packages.azure-mgmt-iothub | |
x86_64-linux plasma5Packages.qt5.qtquickcontrols | |
x86_64-linux easysnap | |
x86_64-linux invoice | |
aarch64-linux cava | |
aarch64-darwin aspellDicts.pl | |
x86_64-darwin python311Packages.pdm-backend | |
x86_64-darwin python311Packages.py2bit | |
x86_64-linux vscode-extensions.tomoki1207.pdf | |
aarch64-linux python311Packages.grpcio | |
x86_64-darwin haskellPackages.smtlib-backends-z3 | |
x86_64-darwin buzztrax | |
x86_64-darwin emacsPackages.taxy-magit-section | |
x86_64-darwin libsForQt5.kdav | |
aarch64-linux tests.hardeningFlags-clang.fortifyStdenvUnsupp | |
aarch64-linux home-assistant-component-tests.greeneye_monitor | |
x86_64-linux dhall-docs | |
aarch64-linux python312Packages.jinja2-time | |
aarch64-darwin kustomize_4 | |
aarch64-linux glpk | |
aarch64-linux kexi | |
x86_64-darwin python312Packages.ducc0 | |
aarch64-linux libsForQt5.qt5.qtsystems | |
x86_64-darwin emacsPackages.org-sticky-header | |
aarch64-linux libsForQt5.konquest | |
aarch64-darwin rankwidth | |
aarch64-darwin pax | |
x86_64-linux haskellPackages.simplex | |
x86_64-darwin emacsPackages.exwm-mff | |
aarch64-darwin ttfautohint | |
x86_64-darwin python312Packages.jsonpickle | |
aarch64-linux crawley | |
x86_64-linux linuxKernel.packages.linux_xanmod.shufflecake | |
x86_64-darwin rubyPackages.pastel | |
aarch64-linux haskellPackages.interprocess | |
aarch64-darwin plasma5Packages.kidletime | |
x86_64-linux python312Packages.fabric | |
aarch64-darwin python312Packages.mypy-boto3-lexv2-runtime | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.fwts-efi-runtime | |
aarch64-darwin python312Packages.configupdater | |
x86_64-linux netsurf.libnslog | |
x86_64-linux emacsPackages.go-autocomplete | |
x86_64-darwin perl536Packages.libnet | |
x86_64-darwin python312Packages.lz4 | |
x86_64-darwin python311Packages.certbot-dns-route53 | |
aarch64-darwin python311Packages.get-video-properties | |
aarch64-linux haskellPackages.diagrams-graphviz | |
x86_64-linux haskellPackages.amazonka-cloudcontrol | |
aarch64-linux rubyPackages_3_1.jekyll-mentions | |
aarch64-darwin python312Packages.tesla-fleet-api | |
aarch64-darwin haskellPackages.metamorphic | |
x86_64-darwin python312Packages.fastprogress | |
x86_64-linux perl536Packages.strictures | |
x86_64-darwin perl536Packages.CookieBaker | |
x86_64-linux curl | |
x86_64-linux python311Packages.pyflakes | |
x86_64-darwin python312Packages.bellows | |
x86_64-darwin emacsPackages.winpoint | |
x86_64-linux workrave | |
aarch64-linux perl538Packages.X11ProtocolOther | |
x86_64-linux beancount-share | |
x86_64-linux python312Packages.tableaudocumentapi | |
x86_64-darwin emacsPackages.mastodon | |
x86_64-linux free42 | |
x86_64-linux emacsPackages.duplicate-thing | |
x86_64-linux python311Packages.in-n-out | |
aarch64-linux emacsPackages.vcsh | |
aarch64-linux linuxPackages_4_19_hardened.jool | |
aarch64-linux pivx | |
x86_64-linux emacsPackages.faceup | |
aarch64-linux libical | |
aarch64-darwin perl536Packages.ClassC3Componentised | |
x86_64-linux linuxPackages_lqx.vmware | |
aarch64-linux himitsu | |
x86_64-linux rubyPackages_3_2.temple | |
aarch64-linux tests.hardeningFlags.fortify3ExplicitEnabled | |
x86_64-linux xdelta | |
x86_64-darwin python312Packages.authheaders | |
x86_64-linux wstunnel | |
x86_64-darwin rubyPackages_3_3.uuid4r | |
x86_64-darwin python312Packages.pyotgw | |
aarch64-darwin coqPackages.Cheerios | |
x86_64-linux iotools | |
aarch64-linux protoc-gen-go-vtproto | |
x86_64-linux python311Packages.xlwt | |
x86_64-linux dataclass-wizard | |
x86_64-linux emacsPackages.run-command-recipes | |
x86_64-linux haskellPackages.monoid-subclasses | |
x86_64-darwin python312Packages.lxml-stubs | |
aarch64-linux python312Packages.wsgidav | |
aarch64-linux haskellPackages.list-filter | |
x86_64-linux emacsPackages.peacock-theme | |
aarch64-linux mpc-qt | |
aarch64-linux haskellPackages.acme-year | |
x86_64-linux brev-cli | |
x86_64-linux notion | |
x86_64-linux python312Packages.particle | |
x86_64-linux python311Packages.jaxlibWithoutCuda | |
x86_64-darwin emacsPackages.js2-refactor | |
aarch64-linux rubyPackages_3_3.unf | |
aarch64-darwin python311Packages.mypy-boto3-sagemaker | |
x86_64-linux greetd.dlm | |
aarch64-darwin astyle | |
x86_64-darwin uv | |
aarch64-linux emacsPackages.helm-hatena-bookmark | |
x86_64-linux haskellPackages.sysinfo | |
x86_64-linux haskellPackages.wordpress-auth | |
x86_64-linux python312Packages.botocore-stubs | |
x86_64-linux cvs-fast-export | |
aarch64-linux haskellPackages.ANum | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.hid-tmff2 | |
aarch64-linux python312Packages.loopy | |
aarch64-darwin emacsPackages.org-agenda-files-track-ql | |
aarch64-linux python312Packages.hydrus-api | |
aarch64-darwin python311Packages.pyftdi | |
aarch64-linux emacsPackages.neuron-mode | |
aarch64-darwin xorg.fontmicromisc | |
aarch64-linux haskellPackages.candid | |
aarch64-darwin rubyPackages_3_2.rspec-mocks | |
x86_64-linux gnomeExtensions.notification-filter | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-99 | |
x86_64-linux maple-mono-SC-NF | |
x86_64-linux python312Packages.libgpiod | |
x86_64-linux python312Packages.autopage | |
aarch64-darwin haskellPackages.zio | |
x86_64-linux fastjar | |
aarch64-linux perl536Packages.FindLib | |
x86_64-darwin perl538Packages.Minion | |
aarch64-darwin fff | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.prl-tools | |
aarch64-darwin haskellPackages.th-data-compat | |
x86_64-darwin ldc | |
aarch64-darwin python311Packages.types-aiobotocore-chime | |
aarch64-linux haskellPackages.amazonka-swf | |
aarch64-linux git-dive | |
aarch64-linux dispad | |
x86_64-linux gnomeExtensions.kerberos-login | |
aarch64-linux chickenPackages_5.chickenEggs.match-generics | |
x86_64-darwin haskellPackages.css-syntax | |
aarch64-linux haskellPackages.hkdf | |
x86_64-linux epson-workforce-635-nx625-series | |
aarch64-linux haskellPackages.opentelemetry | |
x86_64-linux lua54Packages.lrexlib-gnu | |
aarch64-linux perl538Packages.LogDispatchouli | |
x86_64-darwin python312Packages.types-aiobotocore-mediapackage-vod | |
x86_64-linux plasma5Packages.kdev-php | |
aarch64-linux python311Packages.darkdetect | |
aarch64-darwin python311Packages.zipstream-ng | |
aarch64-linux plasma5Packages.accounts-qml-module | |
x86_64-linux emacsPackages.ruby-tools | |
x86_64-linux python311Packages.reqif | |
aarch64-darwin emacsPackages.flylisp | |
aarch64-darwin vimPlugins.vim-bepoptimist | |
aarch64-darwin python312Packages.pycocotools | |
x86_64-darwin python312Packages.mypy-boto3-arc-zonal-shift | |
aarch64-darwin perl538Packages.TaskTestRunAllPlugins | |
x86_64-darwin python311Packages.mypy-boto3-datapipeline | |
x86_64-linux python311Packages.l18n | |
aarch64-linux libgudev | |
x86_64-linux perl536Packages.DateTimeFormatDateParse | |
x86_64-darwin python312Packages.black-macchiato | |
x86_64-linux python312Packages.tzdata | |
x86_64-darwin kotatogram-desktop | |
aarch64-darwin haskellPackages.disk-bytes | |
aarch64-linux haskellPackages.hack-frontend-monadcgi | |
x86_64-darwin haskellPackages.amazonka-mgn | |
x86_64-darwin haskellPackages.hdaemonize | |
x86_64-linux hunspellDicts.en_CA | |
aarch64-linux terraform-providers.avi | |
x86_64-linux home-assistant-component-tests.devolo_home_control | |
aarch64-linux deepin.dde-dock | |
x86_64-linux haskellPackages.lmdb | |
aarch64-darwin xsubfind3r | |
x86_64-darwin semantic-release | |
aarch64-linux linuxPackages_5_4_hardened.r8125 | |
aarch64-darwin emacsPackages.ace-isearch | |
aarch64-darwin emacsPackages.racket-mode | |
x86_64-linux breakpointHook | |
x86_64-linux python311Packages.types-aiobotocore-codebuild | |
x86_64-linux python311Packages.pipInstallHook | |
x86_64-linux hyprkeys | |
x86_64-darwin python311Packages.cryptodatahub | |
aarch64-linux emacsPackages.robots-txt-mode | |
aarch64-darwin luajitPackages.rustaceanvim | |
x86_64-linux pidginPackages.pidgin-xmpp-receipts | |
aarch64-linux haskellPackages.recursive-zipper | |
aarch64-linux python312Packages.cram | |
x86_64-linux stratis-cli | |
aarch64-linux pbgopy | |
aarch64-linux perl538Packages.TestAbortable | |
aarch64-linux python312Packages.django-bootstrap3 | |
x86_64-linux tests.writers.simple.python3 | |
x86_64-linux home-assistant-component-tests.fritzbox_callmonitor | |
aarch64-linux haskellPackages.xcffib | |
aarch64-linux libstrophe | |
aarch64-darwin luajitPackages.nfd | |
x86_64-linux dopewars | |
x86_64-darwin libcmis | |
aarch64-darwin python311Packages.rebulk | |
aarch64-linux haskellPackages.treeseq | |
x86_64-darwin stats | |
x86_64-linux vimPlugins.vim-startuptime | |
x86_64-linux python311Packages.argparse-manpage | |
x86_64-darwin terraform-providers.dme | |
aarch64-linux emacsPackages.cfn-mode | |
x86_64-linux emacsPackages.dir-treeview-themes | |
x86_64-darwin python311Packages.bsddb3 | |
aarch64-darwin python312Packages.types-aiobotocore-ecs | |
x86_64-darwin rubyPackages.dentaku | |
x86_64-linux haskellPackages.vector-fft | |
aarch64-linux git-branchstack | |
x86_64-linux python312Packages.pyxeoma | |
aarch64-darwin python312Packages.plexauth | |
aarch64-darwin python312Packages.typepy | |
x86_64-darwin ArchiSteamFarm | |
aarch64-linux rubyPackages_3_2.tiny_tds | |
x86_64-darwin python312Packages.mutf8 | |
x86_64-darwin python311Packages.openai | |
x86_64-darwin haskellPackages.genvalidity-path | |
x86_64-linux python312Packages.jsonschema-specifications | |
aarch64-darwin libadwaita | |
x86_64-linux perl536Packages.BHooksEndOfScope | |
aarch64-darwin python311Packages.pyatem | |
aarch64-linux python312Packages.paste | |
x86_64-darwin librealsenseWithCuda | |
aarch64-linux python311Packages.types-aiobotocore-transfer | |
x86_64-linux dzen2 | |
x86_64-darwin go-autoconfig | |
aarch64-darwin perl536Packages.LogAnyAdapterLog4perl | |
x86_64-linux linuxKernel.packages.linux_6_1.oci-seccomp-bpf-hook | |
aarch64-linux haskellPackages.STMonadTrans | |
aarch64-linux haskellPackages.netrc | |
aarch64-linux aspellDicts.tet | |
aarch64-linux python311Packages.mypy-boto3-billingconductor | |
aarch64-darwin haskellPackages.fsharp | |
x86_64-darwin ebook_tools | |
x86_64-linux perl538Packages.TestKwalitee | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.zenpower | |
x86_64-darwin lmmath | |
aarch64-linux harvid | |
aarch64-linux tdb | |
aarch64-linux dictdDBs.eng2nld | |
x86_64-darwin python312Packages.aiocurrencylayer | |
aarch64-linux zeal-qt6 | |
x86_64-darwin python312Packages.pyp | |
x86_64-darwin alerta-server | |
x86_64-linux perl538Packages.TextBrew | |
aarch64-linux fftwMpi | |
x86_64-darwin postgresql14JitPackages.pg_rational | |
x86_64-linux davix-copy | |
aarch64-darwin emacsPackages.hiccup-cli | |
aarch64-darwin php83Packages.grumphp | |
x86_64-linux verdict | |
x86_64-linux python311Packages.qnapstats | |
x86_64-linux chickenPackages_5.chickenEggs.pipes | |
x86_64-darwin rubyPackages_3_3.base64 | |
x86_64-linux quodlibet-full | |
x86_64-linux waypaper | |
aarch64-darwin pony-corral | |
x86_64-darwin python311Packages.oscrypto | |
aarch64-darwin cplay-ng | |
x86_64-linux cups-brother-hll2375dw | |
aarch64-linux librsb | |
x86_64-darwin moosefs | |
aarch64-linux postgresql15Packages.pg_topn | |
aarch64-darwin python312Packages.pika-pool | |
aarch64-linux dterm | |
aarch64-linux python312Packages.envisage | |
aarch64-linux zk-shell | |
x86_64-linux perl536Packages.EncodeNewlines | |
aarch64-linux update-systemd-resolved | |
aarch64-linux coq_8_9 | |
x86_64-linux haskellPackages.effects-parser | |
x86_64-linux python312Packages.growattserver | |
aarch64-darwin rubyPackages_3_3.cairo | |
aarch64-linux emacsPackages.git-undo | |
aarch64-linux python312Packages.mypy-boto3-appsync | |
aarch64-darwin focus | |
x86_64-linux emacsPackages.wat-ts-mode | |
x86_64-darwin haskellPackages.mmark | |
x86_64-linux perl538Packages.TermVT102Boundless | |
x86_64-darwin python311Packages.leather | |
aarch64-linux woodpecker-cli | |
x86_64-darwin pidgin | |
x86_64-darwin python312Packages.theano-pymc | |
x86_64-linux rubyPackages_3_1.cocoapods-dependencies | |
x86_64-darwin haskellPackages.jacinda | |
aarch64-darwin tokei | |
x86_64-linux haskellPackages.infinite-search | |
aarch64-linux python311Packages.py-bip39-bindings | |
x86_64-linux textpieces | |
aarch64-linux haskellPackages.dove | |
aarch64-darwin dleyna-renderer | |
x86_64-linux livepeer | |
aarch64-linux rubyPackages_3_3.cocoapods-generate | |
x86_64-linux emacsPackages.plim-mode | |
aarch64-darwin haskellPackages.fountain | |
aarch64-linux perl538Packages.PerlIOviasymlink | |
x86_64-linux python312Packages.clf | |
x86_64-darwin emacsPackages.flx-ido | |
aarch64-darwin migra | |
x86_64-linux tests.cuda.cudaPackages_10_2.cuda-samples | |
x86_64-linux gnomeExtensions.lock-keys-2 | |
x86_64-darwin vimPlugins.tabmerge | |
x86_64-linux linuxKernel.packages.linux_5_10.r8168 | |
x86_64-darwin perl538Packages.PlackMiddlewareHeader | |
x86_64-darwin xorg.fontbitstream100dpi | |
aarch64-linux haskellPackages.tempgres-client | |
x86_64-linux os-prober | |
aarch64-linux pulseaudio-module-xrdp | |
aarch64-linux emacsPackages.pcmpl-pip | |
x86_64-linux slirp4netns | |
x86_64-darwin emacsPackages.verilog-ts-mode | |
x86_64-linux plasma5Packages.kontactinterface | |
aarch64-linux adoptopenjdk-icedtea-web | |
x86_64-darwin python311Packages.quandl | |
x86_64-linux hunspellDicts.nb_NO | |
aarch64-linux python312Packages.deepmerge | |
aarch64-linux mdbtools | |
aarch64-linux sound-of-sorting | |
x86_64-linux python311Packages.functiontrace | |
x86_64-linux python312Packages.limitlessled | |
x86_64-linux llvmPackages_9.stdenv | |
aarch64-linux python312Packages.pyrabbit2 | |
aarch64-linux python311Packages.click | |
aarch64-darwin python311Packages.cdcs | |
x86_64-linux python311Packages.youtube-search-python | |
aarch64-linux linuxKernel.packages.linux_5_10.ax99100 | |
aarch64-darwin haskellPackages.lambdabot | |
aarch64-darwin python312Packages.catppuccin | |
aarch64-linux haskellPackages.acme-omitted | |
aarch64-darwin vimPlugins.vim-fubitive | |
aarch64-linux xvidcore | |
x86_64-darwin emacsPackages.popup | |
x86_64-linux python311Packages.xsdata | |
aarch64-linux haskellPackages.ifscs | |
x86_64-darwin plasma5Packages.qt5.qtwebkit | |
aarch64-linux soundtouch | |
x86_64-linux emacsPackages.bliss-theme | |
aarch64-linux perl536Packages.CatalystComponentInstancePerContext | |
aarch64-darwin python312Packages.sharkiq | |
aarch64-darwin python312Packages.stevedore | |
x86_64-linux python311Packages.types-aiobotocore-redshift-serverless | |
x86_64-linux perl538Packages.ConfigProperties | |
aarch64-linux rapidfuzz-cpp | |
aarch64-linux msgviewer | |
aarch64-darwin luaPackages.luadbi-postgresql | |
x86_64-linux python311Packages.wrapt | |
aarch64-linux emacsPackages.ox-haunt | |
x86_64-darwin python312Packages.curio | |
x86_64-linux python311Packages.mkdocs-macros | |
aarch64-linux opencsg | |
aarch64-linux emacsPackages.bencoding | |
aarch64-darwin mononoki | |
x86_64-darwin haskellPackages.http-pony-transformer-startline | |
aarch64-darwin rubyPackages.bacon | |
aarch64-darwin emacsPackages.wand | |
x86_64-linux emacsPackages.shell-split-string | |
aarch64-linux gnome-themes-extra | |
aarch64-linux python311Packages.anyascii | |
aarch64-linux python312Packages.nbconflux | |
aarch64-darwin python312Packages.argh | |
aarch64-darwin haxePackages.hxnodejs_6 | |
aarch64-darwin jiten | |
x86_64-linux linuxPackages_latest-libre.ivsc-driver | |
aarch64-darwin vimPlugins.codeium-nvim | |
aarch64-darwin erlfmt | |
aarch64-darwin haskellPackages.finite-semigroups | |
x86_64-linux python311Packages.pytest-celery | |
aarch64-linux python312Packages.bthome-ble | |
x86_64-linux linuxKernel.packages.linux_5_10.virtualboxGuestAdditions | |
x86_64-darwin python311Packages.azure-synapse-managedprivateendpoints | |
aarch64-linux matchbox | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.openrazer | |
x86_64-linux postgresql14Packages.pg_safeupdate | |
aarch64-linux lxqt.lxqt-archiver | |
aarch64-linux haskellPackages.directory-listing-webpage-parser | |
x86_64-linux csvquote | |
aarch64-darwin emacsPackages.git-gutter-fringe+ | |
aarch64-linux pixelfed | |
aarch64-linux haskellPackages.nthable | |
x86_64-darwin haskellPackages.regex | |
aarch64-darwin elixir_1_12 | |
x86_64-linux linuxPackages_6_7_hardened.vmware | |
x86_64-darwin perl538Packages.CryptEksblowfish | |
aarch64-linux kicad | |
aarch64-linux boolstuff | |
x86_64-linux linuxKernel.kernels.linux_xanmod_latest | |
x86_64-darwin protobufc | |
aarch64-darwin readosm | |
x86_64-darwin python312Packages.eventlet | |
x86_64-linux haskellPackages.generic-functor | |
aarch64-darwin python311Packages.pypblib | |
x86_64-linux perl536Packages.PodWeaver | |
x86_64-linux python311Packages.scikit-learn-extra | |
aarch64-darwin snapshot | |
x86_64-linux python311Packages.pydaikin | |
x86_64-linux perl538Packages.DevelDeprecationsEnvironmental | |
x86_64-linux python311Packages.sseclient | |
x86_64-darwin python312Packages.azure-mgmt-security | |
x86_64-darwin ferium | |
x86_64-darwin php82Packages.grumphp | |
aarch64-linux haskellPackages.Data-Angle | |
aarch64-linux libsForQt5.kpimtextedit | |
aarch64-linux haskellPackages.generically | |
aarch64-linux haskellPackages.Hclip | |
aarch64-linux python312Packages.types-aiobotocore-kms | |
aarch64-darwin python312Packages.azure-applicationinsights | |
x86_64-darwin ettercap | |
aarch64-linux haskellPackages.test-framework-leancheck | |
x86_64-linux pxattr | |
aarch64-darwin python311Packages.weboob | |
x86_64-darwin chickenPackages_5.chickenEggs.srfi-27 | |
aarch64-linux emacsPackages.amread-mode | |
x86_64-linux emacsPackages.evil-expat | |
aarch64-linux hyprland-per-window-layout | |
x86_64-linux python312Packages.pytlv | |
aarch64-linux python312Packages.uharfbuzz | |
aarch64-linux perl538Packages.LocaleCodes | |
aarch64-darwin xlsxgrep | |
x86_64-linux perl536Packages.DataURIEncode | |
x86_64-linux python311Packages.types-aiobotocore-sts | |
aarch64-darwin python312Packages.minikanren | |
x86_64-darwin haskellPackages.seal-module | |
x86_64-linux php81Extensions.snmp | |
aarch64-darwin emacsPackages.cljr-helm | |
x86_64-linux python312Packages.hass-nabucasa | |
aarch64-linux beluga | |
aarch64-linux rubyPackages_3_3.red-colors | |
x86_64-linux emacsPackages.archive-phar | |
aarch64-darwin llvmPackages_16.openmp | |
x86_64-linux drumkv1 | |
x86_64-linux gfortran12 | |
aarch64-linux python311Packages.smart-meter-texas | |
aarch64-linux emacsPackages.flycheck-dedukti | |
x86_64-darwin python311Packages.croniter | |
aarch64-darwin haskellPackages.cardano-coin-selection | |
aarch64-darwin checkSSLCert | |
aarch64-linux perfect-hash | |
aarch64-linux ephemeralpg | |
x86_64-linux python311Packages.pyu2f | |
x86_64-linux python312Packages.pyscaffoldext-travis | |
x86_64-linux terraform-providers.opennebula | |
aarch64-darwin python311Packages.opentelemetry-exporter-otlp-proto-grpc | |
x86_64-darwin haskellPackages.stack-all | |
x86_64-darwin weechat-unwrapped | |
aarch64-linux x264 | |
aarch64-darwin haskellPackages.ar-timestamp-wiper | |
aarch64-linux python312Packages.snakeviz | |
aarch64-darwin python311Packages.requirements-parser | |
x86_64-darwin vimPlugins.vimproc-vim | |
x86_64-darwin python312Packages.types-aiobotocore-grafana | |
aarch64-linux unixODBCDrivers.sqlite | |
x86_64-linux emacsPackages.ace-link | |
aarch64-darwin mate.mate-panel | |
aarch64-darwin gtkspell2 | |
x86_64-darwin perl536Packages.StringShellQuote | |
x86_64-darwin perl538Packages.TaskTestRunAllPlugins | |
aarch64-linux haskellPackages.lumberjack | |
aarch64-linux haskellPackages.lens-family-th | |
aarch64-darwin python311Packages.fastpair | |
aarch64-darwin python311Packages.logi-circle | |
x86_64-linux rubyPackages.regexp_parser | |
aarch64-darwin perl538Packages.LinuxACL | |
x86_64-linux python311Packages.autoit-ripper | |
x86_64-linux haskellPackages.sdl2-gfx | |
x86_64-darwin emacsPackages.robe | |
aarch64-linux chickenPackages_5.chickenEggs.typeclass | |
x86_64-darwin chickenPackages_5.chickenEggs.plot | |
x86_64-linux python311Packages.imgtool | |
aarch64-darwin python311Packages.sphinx | |
aarch64-darwin idrisPackages.graphviz | |
x86_64-linux git-secret | |
aarch64-darwin niftyseg | |
x86_64-linux emacsPackages.tsort | |
x86_64-linux python311Packages.preshed | |
x86_64-linux stacer | |
x86_64-linux home-assistant-component-tests.version | |
aarch64-darwin python311Packages.simplegeneric | |
x86_64-linux gup | |
aarch64-linux haskellPackages.hs-speedscope | |
x86_64-linux xorg.xset | |
aarch64-linux emacsPackages.zeno-theme | |
aarch64-darwin haskellPackages.ansi-terminal | |
x86_64-linux pidginPackages.purple-mm-sms | |
aarch64-darwin haskellPackages.proto-lens-jsonpb | |
x86_64-darwin haskellPackages.union-color | |
aarch64-darwin python311Packages.pykmtronic | |
x86_64-darwin rubyPackages.unicode-blocks | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.ddcci-driver | |
x86_64-darwin haskellPackages.hex | |
x86_64-linux bowtie | |
x86_64-darwin sourcehut.gitsrht | |
aarch64-linux linuxPackages_lqx.shufflecake | |
x86_64-darwin yamale | |
aarch64-linux emacsPackages.zone-nyan | |
x86_64-linux sameboy | |
aarch64-darwin emacsPackages.icsql | |
aarch64-linux python312Packages.adguardhome | |
aarch64-linux emacsPackages.zotero | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.wgsl_bevy | |
x86_64-linux elementsd-simplicity | |
aarch64-darwin python312Packages.types-aiobotocore-evidently | |
x86_64-darwin emacsPackages.jscs | |
aarch64-linux lua53Packages.sqlite | |
aarch64-darwin chroma | |
x86_64-darwin libsForQt5.qtbase | |
aarch64-linux emacsPackages.darcsum | |
x86_64-darwin haskellPackages.pwstore-purehaskell | |
aarch64-linux ceres-solver | |
aarch64-linux haskellPackages.hxt-charproperties | |
x86_64-linux vowpal-wabbit | |
x86_64-darwin rubyPackages.sequel | |
aarch64-darwin vgmtools | |
aarch64-linux vimPlugins.replacer-nvim | |
x86_64-darwin aspellDicts.sw | |
x86_64-linux mopidy-ytmusic | |
x86_64-linux home-assistant-component-tests.anova | |
x86_64-linux python311Packages.simple-rlp | |
x86_64-darwin python312Packages.mypy-boto3-cloudsearchdomain | |
x86_64-linux rubyPackages_3_2.jekyll-github-metadata | |
aarch64-linux libsForQt5.kturtle | |
x86_64-linux python312Packages.types-aiobotocore-iot | |
aarch64-darwin haskellPackages.bpann | |
aarch64-linux haskellPackages.midi | |
x86_64-linux python312Packages.oslo-log | |
aarch64-darwin rubyPackages_3_3.em-websocket | |
x86_64-linux emacsPackages.ob-asymptote | |
aarch64-darwin python311Packages.asyncssh | |
x86_64-linux python312Packages.treelog | |
x86_64-linux vdrPlugins.nopacity | |
aarch64-linux deepin.deepin-editor | |
aarch64-linux nettools | |
x86_64-linux rubyPackages_3_1.tilt | |
aarch64-linux sway-overfocus | |
x86_64-darwin zz | |
aarch64-linux diskonaut | |
x86_64-darwin cfripper | |
x86_64-darwin haskellPackages.bindings-DSL | |
x86_64-darwin sqlite-analyzer | |
x86_64-darwin python312Packages.circuit-webhook | |
x86_64-darwin haskellPackages.stm-tlist | |
aarch64-linux lua52Packages.middleclass | |
x86_64-darwin python312Packages.nocasedict | |
aarch64-linux perl538Packages.SubExporterGlobExporter | |
aarch64-darwin emacsPackages.delim-kill | |
x86_64-linux gcc49Stdenv | |
aarch64-linux emacsPackages.lux-mode | |
x86_64-darwin connect | |
aarch64-darwin python312Packages.wheezy-captcha | |
x86_64-linux wolfebin | |
aarch64-linux feishin | |
aarch64-darwin emacsPackages.coffee-mode | |
x86_64-linux perl536Packages.WWWYoutubeViewer | |
x86_64-darwin python311Packages.xdot | |
x86_64-darwin aspellDicts.be | |
x86_64-linux haskellPackages.ranges | |
x86_64-darwin emacsPackages.vcsh | |
x86_64-linux rubyPackages_3_2.jekyll-seo-tag | |
x86_64-linux haskellPackages.lrucache | |
aarch64-darwin python311Packages.plexapi | |
x86_64-darwin haskellPackages.aos-signature | |
aarch64-linux python311Packages.arpeggio | |
x86_64-linux python311Packages.jc | |
x86_64-darwin vimPlugins.vim-pasta | |
aarch64-darwin libsForQt5.yuview | |
aarch64-darwin python312Packages.serialio | |
x86_64-darwin python312Packages.cirq-pasqal | |
x86_64-darwin ungit | |
aarch64-darwin emacsPackages.virtualenv | |
aarch64-darwin python311Packages.arrow | |
x86_64-darwin diff-so-fancy | |
x86_64-linux emacsPackages.smart-jump | |
aarch64-darwin emacsPackages.ca65-mode | |
x86_64-linux dydisnix | |
x86_64-darwin perl536Packages.XMLFilterSort | |
x86_64-darwin haskellPackages.quickcheck-assertions | |
x86_64-darwin perl538Packages.Test2PluginUUID | |
aarch64-darwin gatekeeper | |
x86_64-linux lua51Packages.luassert | |
aarch64-linux lua54Packages.luasystem | |
aarch64-linux python311Packages.parsedatetime | |
x86_64-linux brogue-ce | |
x86_64-linux jadx | |
aarch64-linux linuxPackages-libre.kernel | |
aarch64-darwin python311Packages.flask-versioned | |
aarch64-linux vulkan-validation-layers | |
x86_64-darwin haskellPackages.genvalidity-case-insensitive | |
x86_64-linux haskellPackages.epub-metadata | |
x86_64-darwin postgresql14JitPackages.apache_datasketches | |
x86_64-linux haskellPackages.ed25519 | |
x86_64-linux emacsPackages.magit-section | |
x86_64-linux kodiPackages.pvr-vdr-vnsi | |
aarch64-darwin mpi | |
x86_64-darwin slippy | |
aarch64-linux xorg.xfsinfo | |
x86_64-darwin chickenPackages_5.chickenEggs.foof-loop | |
x86_64-darwin rubyPackages_3_2.actiontext | |
aarch64-darwin python311Packages.django-statici18n | |
x86_64-linux python311Packages.svg2tikz | |
x86_64-darwin coqPackages.metalib | |
aarch64-linux python311Packages.httptools | |
x86_64-linux emacsPackages.atcoder-tools | |
x86_64-linux libretro.snes9x2005 | |
x86_64-darwin python311Packages.ninja | |
aarch64-linux haskellPackages.japanese-calendar | |
aarch64-linux pugixml | |
x86_64-linux haskellPackages.optics-core | |
x86_64-darwin libsForQt5.qtmacextras | |
x86_64-darwin rubyPackages.data_objects | |
x86_64-linux perl538Packages.HookLexWrap | |
x86_64-linux linuxKernel.packages.linux_zen.ipu6-drivers | |
x86_64-linux green-pdfviewer | |
aarch64-darwin haskellPackages.mime-string | |
aarch64-darwin emacsPackages.flycheck-mypy | |
x86_64-linux lua51Packages.jsregexp | |
x86_64-darwin python312Packages.smarthab | |
x86_64-darwin python312Packages.dj-search-url | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.x86_energy_perf_policy | |
x86_64-darwin haskellPackages.hmatrix-special | |
x86_64-linux kustomize_3 | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.ddcci-driver | |
aarch64-darwin emem | |
aarch64-linux linuxKernel.packages.linux_lqx.ithc | |
x86_64-darwin rubyPackages_3_3.connection_pool | |
x86_64-linux python312Packages.types-aiobotocore-macie | |
x86_64-linux python311Packages.coreschema | |
x86_64-darwin yx | |
aarch64-linux python311Packages.progressbar33 | |
x86_64-linux minio | |
aarch64-darwin yaml-cpp | |
x86_64-darwin perl538Packages.SubExporterGlobExporter | |
x86_64-linux krusader | |
aarch64-darwin python311Packages.gpustat | |
aarch64-darwin python311Packages.plexauth | |
aarch64-linux python311Packages.keba-kecontact | |
aarch64-linux der-ascii | |
x86_64-linux haskellPackages.text-render | |
aarch64-linux elfx86exts | |
x86_64-linux python311Packages.django-simple-captcha | |
x86_64-darwin fusesoc | |
x86_64-darwin python312Packages.slicedimage | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.new-lg4ff | |
x86_64-darwin fsautocomplete | |
x86_64-linux vimPlugins.typst-vim | |
x86_64-linux haskellPackages.lsp-test | |
aarch64-linux haskellPackages.aeson-generic-compat | |
aarch64-linux vertcoin | |
aarch64-linux emacsPackages.dilbert | |
x86_64-darwin haskellPackages.inject | |
aarch64-linux rubyPackages_3_2.scrypt | |
x86_64-darwin cargo-diet | |
aarch64-darwin nuttcp | |
x86_64-linux python311Packages.types-aiobotocore-resource-groups | |
aarch64-linux drogon | |
aarch64-linux perl538Packages.CpanelJSONXS | |
x86_64-darwin perl538Packages.TestFileContents | |
aarch64-darwin tile38 | |
aarch64-darwin clingo | |
x86_64-linux python311Packages.pyradiomics | |
x86_64-linux postgresql14JitPackages.jsonb_deep_sum | |
x86_64-linux elixir_1_11 | |
aarch64-linux haskellPackages.ostree-pin | |
aarch64-darwin lua51Packages.basexx | |
x86_64-darwin python312Packages.mypy-boto3-workspaces-web | |
aarch64-darwin prometheus-rtl_433-exporter | |
x86_64-linux perl536Packages.LWPUserAgentDetermined | |
x86_64-darwin emacsPackages.squirrel-mode | |
x86_64-linux python312Packages.docx2txt | |
x86_64-darwin emacsPackages.fix-word | |
x86_64-linux haskellPackages.freetype2 | |
x86_64-linux pantheon.elementary-iconbrowser | |
x86_64-linux home-assistant-component-tests.plaato | |
x86_64-linux ophis | |
x86_64-linux emacsPackages.save-load-path | |
aarch64-darwin python312Packages.remotezip | |
aarch64-linux simutrans_binaries | |
x86_64-darwin emacsPackages.no-littering | |
aarch64-linux emacsPackages.transwin | |
aarch64-darwin python312Packages.sphinxcontrib-jsmath | |
x86_64-darwin mpvScripts.autodeint | |
aarch64-darwin fastgron | |
aarch64-darwin emacsPackages.apheleia | |
aarch64-darwin python312Packages.justbytes | |
aarch64-linux haskellPackages.data-accessor-mtl | |
aarch64-darwin time-ghc-modules | |
aarch64-linux linuxPackages_zen.systemtap | |
aarch64-linux emacsPackages.lexic | |
x86_64-darwin mitama-cpp-result | |
aarch64-linux python311Packages.types-aiobotocore-storagegateway | |
x86_64-linux gnuradioPackages.osmosdr | |
aarch64-linux linuxKernel.packages.linux_6_1.shufflecake | |
aarch64-darwin lua53Packages.luv | |
x86_64-darwin genext2fs | |
aarch64-darwin notcurses | |
x86_64-linux haskellPackages.cabal-file | |
aarch64-linux python312Packages.weasyprint | |
x86_64-linux linuxPackages_4_19_hardened.chipsec | |
x86_64-linux php82Extensions.ftp | |
x86_64-darwin rubyPackages_3_3.jekyll-paginate | |
x86_64-linux libsForQt5.xdg-desktop-portal-kde | |
aarch64-darwin emacsPackages.librera-sync | |
x86_64-linux ctpv | |
x86_64-darwin json2hcl | |
aarch64-darwin emacsPackages.ddskk | |
x86_64-linux sbclPackages.cl-cairo2-xlib | |
x86_64-darwin iay | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.llvm | |
x86_64-linux python312Packages.black-macchiato | |
aarch64-darwin haskellPackages.elynx-nexus | |
aarch64-darwin python311Packages.types-aiobotocore-workdocs | |
aarch64-linux python312Packages.aiohttp-retry | |
aarch64-linux wad | |
aarch64-linux emacsPackages.erc-yt | |
x86_64-darwin lazydocker | |
x86_64-linux uwufetch | |
x86_64-linux rubyPackages_3_1.netrc | |
aarch64-linux hugs | |
aarch64-darwin emacsPackages.latex-math-preview | |
aarch64-linux linuxKernel.packages.linux_6_7_hardened.r8168 | |
aarch64-linux rubyPackages.kramdown-rfc2629 | |
x86_64-darwin python312Packages.pytapo | |
x86_64-darwin python311Packages.jsonref | |
x86_64-darwin python311Packages.types-aiobotocore-sms | |
aarch64-darwin python311Packages.aioconsole | |
aarch64-linux python312Packages.eagle100 | |
aarch64-linux emacsPackages.plsense-direx | |
x86_64-darwin haskellPackages.murmur-hash | |
x86_64-darwin perl536Packages.EncodeIMAPUTF7 | |
x86_64-darwin haskellPackages.pa-prelude | |
x86_64-linux gnomeExtensions.adjust-display-brightness | |
x86_64-linux emacsPackages.consult-spotify | |
x86_64-linux emacsPackages.kubernetes | |
x86_64-linux python311Packages.upnpy | |
x86_64-darwin postgresql12JitPackages.hypopg | |
aarch64-linux haskellPackages.monadlist | |
aarch64-linux python312Packages.py-sneakers | |
aarch64-linux linuxKernel.packages.linux_5_10.openafs_1_8 | |
x86_64-linux emacsPackages.smart-window | |
x86_64-linux mediaelch-qt5 | |
aarch64-linux rubyPackages_3_2.highline | |
x86_64-darwin libretro.beetle-gba | |
x86_64-linux emacsPackages.shrink-path | |
aarch64-linux python311Packages.broadlink | |
aarch64-darwin perl536Packages.DataFormValidator | |
x86_64-linux python312Packages.debts | |
x86_64-linux postgresql16Packages.plv8 | |
aarch64-linux haskellPackages.aeson-iproute | |
aarch64-linux emacsPackages.xwwp-follow-link-helm | |
x86_64-linux linuxPackages_xanmod_latest.rtl8192eu | |
x86_64-linux python311Packages.requests-toolbelt | |
x86_64-linux python312Packages.snowballstemmer | |
aarch64-linux home-assistant-component-tests.nexia | |
x86_64-darwin wineWow64Packages.minimal | |
x86_64-linux drogon | |
x86_64-linux python311Packages.ndg-httpsclient | |
x86_64-linux cpuminer | |
x86_64-linux home-assistant-component-tests.kostal_plenticore | |
x86_64-darwin haskellPackages.vector-stream | |
aarch64-darwin haskellPackages.processing-for-haskell | |
x86_64-linux python311Packages.django-model-utils | |
aarch64-darwin emacsPackages.org2jekyll | |
x86_64-linux fatsort | |
x86_64-linux perl536Packages.CryptRandomSource | |
x86_64-darwin python312Packages.elementpath | |
aarch64-linux python311Packages.repath | |
x86_64-linux python312Packages.snack | |
aarch64-linux python312Packages.limiter | |
x86_64-darwin vimPlugins.hop-nvim | |
aarch64-linux python311Packages.cramjam | |
x86_64-linux python312Packages.jupyter-cache | |
aarch64-darwin python312Packages.tvdb-api | |
aarch64-linux rubyPackages.e2mmap | |
x86_64-linux linuxPackages_latest-libre.asus-ec-sensors | |
x86_64-linux deepin.deepin-clone | |
x86_64-linux gawkextlib.select | |
aarch64-linux python311Packages.types-aiobotocore-emr-serverless | |
x86_64-linux python312Packages.types-aiobotocore-docdb | |
x86_64-darwin emacsPackages.dired-avfs | |
x86_64-darwin hunspellDicts.uk_UA | |
x86_64-linux php81Extensions.openssl | |
x86_64-darwin python312Packages.aiopylgtv | |
x86_64-linux emacsPackages.gitter | |
aarch64-darwin json-plot | |
x86_64-darwin pgcenter | |
aarch64-linux emacsPackages.key-quiz | |
x86_64-linux buildbot-plugins.react-wsgi-dashboards | |
aarch64-linux python311Packages.pika-pool | |
aarch64-darwin python312Packages.dsinternals | |
aarch64-linux libnxml | |
x86_64-linux python312Packages.nextdns | |
x86_64-darwin python312Packages.stopit | |
aarch64-linux zabbix60.proxy-mysql | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.xerces-c | |
x86_64-linux python311Packages.teletype | |
aarch64-linux clang-tools_12 | |
x86_64-darwin emacsPackages.mote-mode | |
x86_64-darwin wtfis | |
x86_64-darwin haskellPackages.network-transport-zeromq | |
x86_64-darwin vimPlugins.zoxide-vim | |
aarch64-darwin perl538Packages.ConfigGitLike | |
aarch64-darwin cozy | |
aarch64-linux pidginPackages.pidgin-opensteamworks | |
aarch64-darwin vimPlugins.lir-nvim | |
x86_64-darwin emacsPackages.ansible-vault | |
x86_64-darwin basex | |
x86_64-darwin perl536Packages.autovivification | |
aarch64-linux lilo | |
x86_64-linux coturn | |
aarch64-linux plasma5Packages.qt5.qtdatavis3d | |
aarch64-linux emacsPackages.term-manager | |
aarch64-darwin libsForQt5.qt5.qtserialbus | |
aarch64-linux haskellPackages.validity-primitive | |
aarch64-darwin postgresql14JitPackages.jsonb_deep_sum | |
x86_64-linux haskellPackages.invariant | |
x86_64-linux python311Packages.types-aiobotocore-lakeformation | |
x86_64-darwin python311Packages.types-aiobotocore-translate | |
x86_64-darwin python311Packages.gemfileparser | |
x86_64-linux python312Packages.nampa | |
x86_64-darwin xorg.xcursorthemes | |
aarch64-darwin perl538Packages.ActionCircuitBreaker | |
x86_64-linux maltego | |
aarch64-linux haskellPackages.program | |
aarch64-linux haskellPackages.faster-megaparsec | |
aarch64-darwin python312Packages.types-aiobotocore-apigatewaymanagementapi | |
x86_64-linux haskellPackages.selfrestart | |
aarch64-linux python312Packages.django-stubs | |
x86_64-linux ubpm | |
x86_64-linux python312Packages.python-telegram | |
x86_64-darwin python311Packages.ed25519 | |
aarch64-linux python312Packages.python-songpal | |
x86_64-linux perl536Packages.MooseXDaemonize | |
x86_64-darwin python312Packages.json-merge-patch | |
aarch64-linux haskellPackages.glue-ekg | |
aarch64-darwin emacsPackages.dictcc | |
aarch64-linux dbxml | |
aarch64-linux demoit | |
aarch64-linux python312Packages.zigpy-cc | |
x86_64-darwin emacsPackages.battle-haxe | |
x86_64-linux mongodb-5_0 | |
x86_64-linux python311Packages.pymacaroons | |
aarch64-linux python312Packages.pyipma | |
x86_64-darwin fmt_10 | |
aarch64-linux libretro.beetle-saturn | |
x86_64-linux python311Packages.patsy | |
x86_64-linux emacsPackages.systemd | |
x86_64-darwin emacsPackages.helm-lxc | |
aarch64-darwin python312Packages.guessit | |
aarch64-linux emacsPackages.ob-mermaid | |
x86_64-linux gnome-feeds | |
x86_64-linux perl538Packages.HTMLTemplate | |
aarch64-darwin haskellPackages.brick-tabular-list | |
aarch64-linux rubyPackages.rack | |
x86_64-linux jdupes | |
x86_64-linux python311Packages.types-aiobotocore-license-manager-linux-subscriptions | |
x86_64-linux vamp-plugin-sdk | |
aarch64-linux inetutils | |
x86_64-darwin rubyPackages_3_1.faraday | |
aarch64-linux haskellPackages.bindings-codec2 | |
x86_64-linux python311Packages.asgiref | |
aarch64-darwin python311Packages.types-aiobotocore-redshift-serverless | |
aarch64-linux python312Packages.types-aiobotocore-codebuild | |
aarch64-linux haskellPackages.integer-roots | |
aarch64-linux sx-go | |
x86_64-darwin vimPlugins.ltex_extra-nvim | |
x86_64-darwin python312Packages.mypy-boto3-outposts | |
x86_64-linux python312Packages.pykodi | |
aarch64-linux cvc4 | |
x86_64-linux python311Packages.opencensus-ext-azure | |
aarch64-linux linuxPackages_6_7_hardened.mstflint_access | |
aarch64-darwin aspellDicts.ga | |
x86_64-linux home-assistant-component-tests.update | |
x86_64-linux home-assistant-component-tests.yeelight | |
x86_64-linux python312Packages.finvizfinance | |
x86_64-darwin terraform-ls | |
aarch64-darwin emacsPackages.org-view-mode | |
aarch64-linux hdf5-fortran | |
x86_64-linux linuxPackages_lqx.nvidia_x11_beta_open | |
x86_64-darwin python311Packages.pytest-tornado | |
aarch64-darwin python312Packages.mypy-boto3-timestream-write | |
aarch64-darwin python312Packages.circuit-webhook | |
x86_64-darwin emacsPackages.dracula-theme | |
x86_64-darwin emacsPackages.scala-mode | |
x86_64-darwin python312Packages.acquire | |
x86_64-linux python312Packages.fastpbkdf2 | |
aarch64-darwin perl538Packages.CryptIDEA | |
aarch64-linux home-assistant-component-tests.media_source | |
aarch64-darwin haskellPackages.patch | |
x86_64-linux perl536Packages.FilePid | |
aarch64-darwin python311Packages.wasmer | |
x86_64-linux traceroute | |
x86_64-darwin emacsPackages.emms-player-simple-mpv | |
x86_64-darwin emacsPackages.lsp-docker | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.tuxedo-keyboard | |
aarch64-linux jush | |
aarch64-darwin esbuild-config | |
x86_64-linux python311Packages.fontpens | |
x86_64-linux python312Packages.mitmproxy | |
x86_64-linux linuxPackages_xanmod.virtio_vmmci | |
aarch64-linux rubyPackages_3_3.tty-color | |
aarch64-darwin htmx-lsp | |
x86_64-darwin python311Packages.fastecdsa | |
x86_64-linux haskellPackages.vulkan-utils | |
aarch64-linux haskellPackages.hasql-migration | |
aarch64-linux dufs | |
aarch64-darwin luajitPackages.luazip | |
aarch64-darwin emacsPackages.sensitive | |
aarch64-linux haskellPackages.recommender-als | |
aarch64-darwin postgresql13Packages.rum | |
x86_64-linux xfce.xfwm4 | |
x86_64-darwin emacsPackages.helm-j-cheatsheet | |
x86_64-darwin nix-unit | |
x86_64-linux twtxt | |
x86_64-linux python311Packages.dissect-ntfs | |
aarch64-linux python312Packages.tesla-powerwall | |
x86_64-linux linuxKernel.packages.linux_6_5_hardened.vmware | |
x86_64-linux linuxPackages_zen.lttng-modules | |
x86_64-linux vimPlugins.vim-over | |
x86_64-linux perl536Packages.PodAbstract | |
aarch64-darwin python311Packages.cftime | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.lkrg | |
x86_64-darwin perl536Packages.BDB | |
x86_64-darwin python311Packages.actdiag | |
aarch64-linux hcloud | |
aarch64-darwin openboardview | |
aarch64-linux yaft | |
aarch64-darwin libsForQt5.kquickcharts | |
x86_64-linux hpack | |
x86_64-darwin vimPlugins.vim-haskell-module-name | |
x86_64-darwin crabz | |
x86_64-linux haskellPackages.non-negative | |
aarch64-darwin python312Packages.coincurve | |
aarch64-linux qlcplus | |
aarch64-linux python311Packages.kornia | |
aarch64-darwin slingshot | |
aarch64-darwin chickenPackages_5.chickenEggs.ftl | |
x86_64-linux home-assistant-component-tests.kegtron | |
x86_64-linux rubyPackages.kramdown-rfc2629 | |
x86_64-linux php83 | |
x86_64-darwin perl536Packages.CGISession | |
aarch64-darwin qt6Packages.qtmqtt | |
aarch64-linux speex | |
x86_64-linux wyrd | |
x86_64-darwin mongoaudit | |
aarch64-linux python311Packages.nclib | |
aarch64-darwin emacsPackages.zerodark-theme | |
aarch64-linux python312Packages.halo | |
x86_64-darwin aacgain | |
x86_64-darwin python311Packages.trove-classifiers | |
x86_64-darwin perl538Packages.Future | |
aarch64-linux libxmlb | |
aarch64-linux amass | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.pangocairo | |
x86_64-linux ktfmt | |
x86_64-darwin ptcollab | |
x86_64-linux foomatic-db-ppds-withNonfreeDb | |
x86_64-linux qt6Packages.qtforkawesome | |
aarch64-darwin raycast | |
x86_64-darwin tapview | |
aarch64-linux haskellPackages.data-default-class | |
x86_64-linux python312Packages.pyspnego | |
aarch64-linux easyrpg-player | |
x86_64-darwin perl536Packages.FileBaseDir | |
x86_64-linux haskellPackages.libxml | |
x86_64-darwin python311Packages.executing | |
aarch64-linux python311Packages.pygal | |
x86_64-darwin python311Packages.zeroconf | |
x86_64-linux caudec | |
x86_64-linux home-assistant-component-tests.subaru | |
x86_64-linux python312Packages.pycryptodomex | |
aarch64-linux rubyPackages_3_1.simpleidn | |
x86_64-darwin terraform-providers.hetznerdns | |
x86_64-linux terraform-providers.kubectl | |
aarch64-darwin python312Packages.sly | |
aarch64-linux perl538Packages.grepmail | |
aarch64-linux haskellPackages.pandoc-symreg | |
x86_64-darwin python312Packages.scipy | |
aarch64-darwin git-review | |
aarch64-linux python312Packages.mopeka-iot-ble | |
aarch64-darwin asc-key-to-qr-code-gif | |
x86_64-darwin mcomix | |
aarch64-linux rubyPackages_3_3.unicode-display_width | |
aarch64-linux python311Packages.imantics | |
aarch64-darwin python311Packages.fixerio | |
x86_64-linux cudaPackages.nccl-tests | |
x86_64-linux php81Extensions.pdo_pgsql | |
x86_64-darwin easyeasm | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.opensnitch-ebpf | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.perf | |
x86_64-linux python312Packages.sqlalchemy-citext | |
aarch64-linux haskellPackages.sleep | |
aarch64-linux lightlocker | |
aarch64-darwin perl536Packages.MailMessage | |
x86_64-darwin tg | |
aarch64-darwin haskellPackages.opentheory-probability | |
x86_64-linux python312Packages.azure-mgmt-machinelearningcompute | |
aarch64-darwin rubyPackages_3_3.ast | |
aarch64-darwin cyrus_sasl | |
aarch64-darwin emacsPackages.nord-theme | |
x86_64-darwin python312Packages.mkdocs-minify-plugin | |
x86_64-linux qtchan | |
x86_64-linux perl538Packages.FilePid | |
aarch64-darwin perl536Packages.TestVars | |
x86_64-darwin python312Packages.std2 | |
x86_64-linux python311Packages.vowpalwabbit | |
aarch64-darwin emacsPackages.german-holidays | |
x86_64-darwin haskellPackages.amazonka-arc-zonal-shift | |
aarch64-darwin kubectl-evict-pod | |
x86_64-linux python311Packages.pygobject-stubs | |
aarch64-darwin python312Packages.bootstrap.flit-core | |
x86_64-linux python311Packages.hdf5plugin | |
aarch64-linux notcurses | |
x86_64-linux python311Packages.wasmer | |
aarch64-linux home-assistant-component-tests.peco | |
aarch64-linux nettle | |
x86_64-darwin jxrlib | |
aarch64-darwin emacsPackages.gitlab | |
x86_64-darwin rsbkb | |
x86_64-linux coloredlogs | |
aarch64-linux mousai | |
aarch64-linux python311Packages.pyobihai | |
x86_64-linux javaPackages.openjfx15 | |
aarch64-linux python311Packages.nanoleaf | |
aarch64-darwin python311Packages.authheaders | |
aarch64-linux python312Packages.pymorphy2-dicts-ru | |
x86_64-linux python311Packages.warrant | |
aarch64-linux rubyPackages_3_1.rpam2 | |
aarch64-darwin terraform-providers.icinga2 | |
aarch64-linux usermount | |
aarch64-linux llvmPackages_12.lldb | |
x86_64-linux python311Packages.lime | |
x86_64-darwin async | |
aarch64-darwin emacsPackages.kakoune | |
aarch64-linux emacsPackages.expreg | |
x86_64-darwin rubyPackages_3_3.paru | |
aarch64-darwin mfoc-hardnested | |
x86_64-darwin haskellPackages.language-ecmascript-analysis | |
x86_64-darwin vimPlugins.cmp-emoji | |
x86_64-linux python312Packages.sphinxcontrib-fulltoc | |
x86_64-linux python312Packages.google-cloud-container | |
x86_64-darwin chez-racket | |
aarch64-linux linuxPackages_6_5_hardened.prl-tools | |
x86_64-darwin python312Packages.sisyphus-control | |
aarch64-darwin haskellPackages.hs-opentelemetry-propagator-b3 | |
aarch64-linux python312Packages.sphinxcontrib-excel-table | |
x86_64-darwin davmail | |
x86_64-darwin luajitPackages.http | |
aarch64-linux haskellPackages.gloss-rendering | |
aarch64-darwin hunspellDicts.es-py | |
aarch64-linux mullvad | |
x86_64-linux haskellPackages.xdg-userdirs | |
x86_64-darwin haskellPackages.ghc-stdin | |
x86_64-linux emacsPackages.c-eldoc | |
aarch64-linux emacsPackages.wordnut | |
x86_64-linux emacsPackages.diminish-buffer | |
aarch64-linux plasma5Packages.khtml | |
x86_64-linux perl536Packages.TermShell | |
aarch64-linux emacsPackages.purty-mode | |
x86_64-linux taschenrechner | |
x86_64-darwin haskellPackages.include-env | |
aarch64-linux libsForQt5.kitemmodels | |
aarch64-linux haskellPackages.fcf-base | |
aarch64-darwin delayarchitect | |
x86_64-linux emacsPackages.geiser-chez | |
aarch64-darwin haskellPackages.wordpress-auth | |
aarch64-linux perl538Packages.ExtUtilsHelpers | |
x86_64-darwin mtools | |
x86_64-darwin emacsPackages.firrtl-mode | |
x86_64-linux lego | |
x86_64-darwin python311Packages.laspy | |
aarch64-linux chickenPackages_5.chickenEggs.compile-file | |
x86_64-linux linuxPackages_lqx.ddcci-driver | |
x86_64-linux maildrop | |
aarch64-linux vimPlugins.nvim-nu | |
x86_64-linux haskellPackages.hexpat-tagsoup | |
aarch64-darwin petidomo | |
x86_64-linux haskellPackages.nonce | |
aarch64-linux simavr | |
x86_64-linux terraform-providers.hcloud | |
x86_64-linux hotspot | |
aarch64-linux tml | |
x86_64-linux linuxKernel.packages.linux_zen.nvidia_x11_vulkan_beta_open | |
x86_64-linux emacsPackages.scf-mode | |
aarch64-linux python311Packages.requests-aws4auth | |
aarch64-linux vimPlugins.vim-bookmarks | |
aarch64-linux linuxPackages_lqx.fwts-efi-runtime | |
x86_64-darwin oak | |
x86_64-linux ipget | |
aarch64-darwin emacsPackages.boxy-headings | |
aarch64-darwin perl536Packages.UnicodeCheckUTF8 | |
aarch64-darwin edk2-uefi-shell | |
aarch64-darwin xmake | |
aarch64-darwin husky | |
x86_64-darwin perl536Packages.TextTemplate | |
x86_64-linux emacsPackages.ron-mode | |
aarch64-darwin pkgconf-unwrapped | |
x86_64-linux python311Packages.types-aiobotocore-logs | |
x86_64-darwin qt6Packages.qtquick3dphysics | |
x86_64-darwin python311Packages.radicale-infcloud | |
x86_64-linux python312Packages.calmjs-parse | |
x86_64-linux linuxKernel.packages.linux_4_19.system76-io | |
aarch64-linux plasma5Packages.klines | |
x86_64-darwin python311Packages.aiomysql | |
aarch64-darwin python311Packages.hikvision | |
x86_64-darwin python311Packages.aioasuswrt | |
x86_64-linux master_me | |
x86_64-linux python311Packages.pytile | |
aarch64-linux perl536Packages.TestRun | |
x86_64-linux python311Packages.sklearn-deap | |
aarch64-linux haskellPackages.amazonka-servicecatalog | |
aarch64-darwin emacsPackages.eev | |
aarch64-darwin emacsPackages.io-mode | |
aarch64-darwin python312Packages.pytest-httpserver | |
x86_64-darwin python312Packages.moddb | |
aarch64-darwin python311Packages.django-auditlog | |
x86_64-darwin emacsPackages.helm-rdefs | |
aarch64-linux linuxKernel.packages.linux_5_4.perf | |
aarch64-darwin python312Packages.pytest-vcr | |
x86_64-linux linuxPackages_6_1_hardened.bpftrace | |
aarch64-darwin python312Packages.openturns | |
x86_64-linux packr | |
x86_64-darwin libzen | |
aarch64-darwin python311Packages.flufl-i18n | |
aarch64-darwin python311Packages.spatial-image | |
x86_64-darwin python312Packages.mypy-boto3-textract | |
x86_64-linux hyperfine | |
aarch64-linux cloudfoundry-cli | |
aarch64-linux sftpgo | |
x86_64-linux sbclPackages.iolib_dot_base | |
x86_64-linux haskellPackages.gloss-rendering | |
x86_64-darwin fg-virgil | |
x86_64-darwin fluidsynth | |
aarch64-linux python312Packages.jax-jumpy | |
x86_64-linux python311Packages.pip-api | |
x86_64-darwin python312Packages.proton-vpn-killswitch-network-manager | |
aarch64-linux apacheHttpdPackages.mod_spkac | |
aarch64-linux worker-build | |
aarch64-darwin vimPlugins.ale | |
x86_64-linux python311Packages.redis-om | |
aarch64-linux darkhttpd | |
aarch64-linux python312Packages.arpy | |
aarch64-darwin python312Packages.asyncpg | |
aarch64-darwin python311Packages.wasmerPackages.wasmer-compiler-singlepass | |
x86_64-linux rubyPackages_3_3.ruby-graphviz | |
aarch64-darwin angie | |
x86_64-darwin haskellPackages.simple-templates | |
x86_64-darwin dsvpn | |
x86_64-linux openssl_1_1 | |
aarch64-linux luaPackages.luacheck | |
aarch64-darwin perl538Packages.StringCRC32 | |
x86_64-linux haskellPackages.co-log-json | |
x86_64-linux php81Extensions.maxminddb | |
x86_64-linux gnome-icon-theme | |
x86_64-linux emacsPackages.listenbrainz | |
aarch64-linux python312Packages.pyemby | |
aarch64-linux perl536Packages.DigestMD2 | |
x86_64-linux emacsPackages.moe-theme | |
aarch64-linux python312Packages.apsw | |
aarch64-linux haskellPackages.ascii-caseless | |
x86_64-linux haskellPackages.bordacount | |
aarch64-linux emacsPackages.elcouch | |
aarch64-linux linuxPackages_latest.xone | |
x86_64-linux emacsPackages.annotate-depth | |
aarch64-darwin python312Packages.mypy-boto3-lookoutvision | |
x86_64-linux boost178 | |
aarch64-linux perl536Packages.MetaCPANClient | |
x86_64-darwin python311Packages.casttube | |
x86_64-linux python311Packages.homematicip | |
x86_64-linux linuxKernel.packages.linux_hardened.ddcci-driver | |
aarch64-darwin python312Packages.pylibdmtx | |
x86_64-linux python311Packages.fontforge | |
aarch64-linux dd_rescue | |
x86_64-darwin python311Packages.aiorpcx | |
x86_64-darwin emacsPackages.org-seek | |
x86_64-linux malcontent | |
aarch64-linux python311Packages.pynvml | |
aarch64-darwin python312Packages.python-youtube | |
x86_64-darwin emacsPackages.amsreftex | |
aarch64-darwin python311Packages.acquire | |
aarch64-linux python312Packages.loguru | |
x86_64-linux python312Packages.lsassy | |
x86_64-darwin luaPackages.cldr | |
aarch64-linux pg_flame | |
aarch64-darwin python311Packages.pylatex | |
x86_64-linux postgresql14JitPackages.pg_repack | |
aarch64-darwin python312Packages.locket | |
x86_64-darwin python311Packages.telegram-text | |
x86_64-darwin electron_16 | |
x86_64-linux python312Packages.mypy-boto3-keyspaces | |
aarch64-darwin emacsPackages.broadcast | |
x86_64-darwin python312Packages.ansi | |
x86_64-darwin haskellPackages.multimap | |
aarch64-darwin haskellPackages.prelude-edsl | |
x86_64-darwin haskellPackages.algebraic-graphs-io | |
aarch64-darwin python312Packages.azure-mgmt-appcontainers | |
x86_64-darwin python312Packages.pylatex | |
aarch64-linux tessen | |
aarch64-darwin emacsPackages.elscreen-tab | |
aarch64-darwin easyrsa | |
x86_64-darwin epgstation | |
x86_64-linux python311Packages.types-aiobotocore-ebs | |
x86_64-darwin plasma5Packages.knotifications | |
x86_64-linux python311Packages.jaraco-test | |
aarch64-darwin python312Packages.wsgidav | |
aarch64-darwin haskellPackages.split-channel | |
x86_64-linux vimPlugins.tup | |
aarch64-darwin kalign | |
x86_64-darwin emacsPackages.midi-kbd | |
aarch64-darwin motion | |
x86_64-linux emacsPackages.simplicity-theme | |
aarch64-darwin cargo-graph | |
x86_64-linux ttyd | |
x86_64-linux photoflare | |
x86_64-darwin espup | |
x86_64-linux haskellPackages.http-client | |
x86_64-linux haskellPackages.RefSerialize | |
aarch64-darwin chickenPackages_5.chickenEggs.stb-image-resize | |
x86_64-darwin haskellPackages.shannon-fano | |
aarch64-darwin python312Packages.doit | |
aarch64-darwin perl536Packages.AppPackager | |
x86_64-darwin python312Packages.draftjs-exporter | |
x86_64-linux ugs | |
aarch64-darwin python312Packages.pymemoize | |
aarch64-darwin dsview | |
aarch64-linux haskellPackages.HDBC-postgresql | |
x86_64-darwin jump | |
aarch64-darwin optifinePackages.optifine_1_12 | |
aarch64-darwin perl538Packages.NumberFormat | |
x86_64-linux picoc | |
aarch64-linux graalvmCEPackages.graaljs | |
aarch64-darwin python311Packages.jstyleson | |
aarch64-darwin postgresql14Packages.pg_ed25519 | |
x86_64-linux charles4 | |
aarch64-darwin python311Packages.cvxpy | |
x86_64-darwin gandom-fonts | |
aarch64-darwin qxmledit | |
aarch64-darwin chickenPackages_5.chickenEggs.sha2 | |
aarch64-linux linuxPackages_6_7_hardened.nvidia_x11_production_open | |
aarch64-darwin woodpecker-server | |
x86_64-darwin stow | |
x86_64-darwin py-spy | |
x86_64-linux python311Packages.django-reversion | |
x86_64-darwin python312Packages.ppdeep | |
x86_64-darwin python312Packages.types-aiobotocore-pi | |
x86_64-linux haskellPackages.keid-sound-openal | |
x86_64-darwin python311Packages.fuzzyfinder | |
x86_64-linux plasma-hud | |
x86_64-linux scmccid | |
x86_64-darwin haskellPackages.x509-validation | |
aarch64-darwin rtags | |
aarch64-darwin emacsPackages.counsel-org-clock | |
x86_64-darwin clinfo | |
x86_64-darwin python312Packages.finalfusion | |
x86_64-linux rivalcfg | |
aarch64-darwin emacsPackages.annotate | |
aarch64-linux python312Packages.fonttools | |
aarch64-linux rocmPackages_5.rocm-thunk | |
aarch64-linux python311Packages.jdcal | |
x86_64-darwin vimPlugins.Shade-nvim | |
x86_64-darwin perl536Packages.DistZillaPluginTestSynopsis | |
aarch64-darwin python311Packages.more-itertools | |
x86_64-linux plasma5Packages.mauikit-documents | |
x86_64-linux rubyPackages_3_2.rubocop-performance | |
x86_64-darwin vimPlugins.fzf-lua | |
x86_64-linux libsForQt5.kalgebra | |
x86_64-darwin python312Packages.napari-svg | |
x86_64-linux gfortran11 | |
aarch64-darwin golangci-lint | |
aarch64-linux python311Packages.qutip | |
x86_64-darwin x264 | |
x86_64-linux charasay | |
x86_64-darwin python311Packages.pydmd | |
aarch64-darwin fish-irssi | |
x86_64-darwin see | |
x86_64-darwin pet | |
aarch64-darwin emacsPackages.occur-x | |
aarch64-darwin python312Packages.ofxparse | |
x86_64-darwin openswitcher | |
x86_64-linux vimPlugins.vim-pico8-syntax | |
x86_64-darwin neovim-gtk | |
x86_64-darwin python311Packages.qcodes-contrib-drivers | |
x86_64-linux python312Packages.pytest-datadir | |
x86_64-linux haskellPackages.generic-deriving | |
x86_64-linux linuxKernel.packages.linux_6_6.nvidia_x11_production | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.rtl8723ds | |
x86_64-linux python312Packages.django-rosetta | |
x86_64-darwin emacsPackages.with-namespace | |
x86_64-linux haskellPackages.flexible-numeric-parsers | |
x86_64-linux perl536Packages.TestJSON | |
aarch64-darwin python312Packages.wasmer | |
aarch64-linux python311Packages.fx2 | |
aarch64-darwin chickenPackages_5.chickenEggs.preserve | |
x86_64-linux python311Packages.libpurecool | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.ithc | |
x86_64-linux emacsPackages.flycheck-jest | |
x86_64-linux perl538Packages.LWPProtocolConnect | |
x86_64-darwin zon2nix | |
aarch64-linux chickenPackages_5.chickenEggs.slib-wt-tree | |
x86_64-darwin haskellPackages.amazonka-mwaa | |
aarch64-darwin emacsPackages.e2wm-R | |
x86_64-darwin plasma5Packages.kquickcharts | |
aarch64-linux chickenPackages_5.chickenEggs.srfi-209 | |
aarch64-darwin emacsPackages.find-dupes-dired | |
aarch64-linux python312Packages.libtorrent-rasterbar | |
x86_64-linux python311Packages.google-cloud-texttospeech | |
x86_64-linux python311Packages.speechbrain | |
x86_64-linux haskellPackages.vaultenv | |
x86_64-linux python312Packages.flask-silk | |
x86_64-linux haskellPackages.hxt-relaxng | |
x86_64-linux jbig2enc | |
x86_64-linux haskellPackages.List | |
aarch64-darwin python311Packages.django-contrib-comments | |
aarch64-darwin emacsPackages.pillar | |
aarch64-darwin emacsPackages.helm-directory | |
x86_64-linux luajit | |
x86_64-darwin yuzuPackages.qtutilities | |
x86_64-linux memtester | |
aarch64-darwin python311Packages.parver | |
aarch64-darwin python311Packages.flake8 | |
aarch64-linux pokerth-server | |
x86_64-linux haskellPackages.merkle-tree | |
x86_64-linux python311Packages.qualysclient | |
x86_64-linux hoppet | |
x86_64-linux python312Packages.influxdb-client | |
aarch64-darwin emacsPackages.leaf-defaults | |
aarch64-darwin mlarchive2maildir | |
x86_64-linux perl538Packages.TestOutput | |
x86_64-darwin perl538Packages.UNIVERSALisa | |
x86_64-darwin python311Packages.ipyvue | |
aarch64-darwin python311Packages.tensorboard-plugin-profile | |
x86_64-linux python311Packages.cgroup-utils | |
aarch64-linux haskellPackages.EdisonAPI | |
x86_64-darwin chickenPackages_5.chickenEggs.modular-arithmetic | |
aarch64-darwin perl536Packages.DevelOverloadInfo | |
aarch64-darwin python311Packages.pyicu | |
x86_64-darwin python311Packages.aiohttp-swagger | |
x86_64-linux emacsPackages.org | |
x86_64-darwin flootty | |
x86_64-darwin python311Packages.mypy-boto3-signer | |
x86_64-linux bencodetools | |
x86_64-linux python311Packages.qbittorrent-api | |
x86_64-darwin emacsPackages.es-windows | |
aarch64-linux python312Packages.snaptime | |
aarch64-darwin perl536Packages.ConfigTiny | |
aarch64-linux perl538Packages.CatalystPluginAuthorizationRoles | |
x86_64-darwin libodb-sqlite | |
aarch64-linux plasma5Packages.xdg-desktop-portal-kde | |
aarch64-darwin python311Packages.azure-mgmt-batchai | |
x86_64-linux python311Packages.django-bootstrap3 | |
aarch64-darwin python312Packages.clevercsv | |
x86_64-linux vimPlugins.coc-css | |
x86_64-darwin vimPlugins.deoplete-notmuch | |
aarch64-linux haskellPackages.SimpleTableGenerator | |
x86_64-darwin nss_esr | |
aarch64-darwin perl536Packages.TimeLocal | |
aarch64-darwin godspeed | |
aarch64-darwin neko | |
x86_64-darwin perl536Packages.TestAssertions | |
aarch64-darwin regexploit | |
aarch64-darwin python311Packages.python-sat | |
aarch64-linux haskellPackages.isomorphism-class | |
aarch64-darwin electron_14-bin | |
x86_64-linux emacsPackages.reaper | |
aarch64-darwin haskellPackages.aeson-optics | |
x86_64-darwin perl536Packages.SysCpuAffinity | |
aarch64-linux haskellPackages.folds | |
x86_64-darwin perl538Packages.DBI | |
aarch64-linux gubbi-font | |
aarch64-linux haskellPackages.openweathermap | |
x86_64-darwin python312Packages.eth-utils | |
x86_64-darwin haskellPackages.path-extra | |
x86_64-darwin python312Packages.types-aiobotocore-sagemaker-runtime | |
x86_64-linux postgresql15JitPackages.periods | |
x86_64-linux python311Packages.mypy-boto3-verifiedpermissions | |
aarch64-linux python311Packages.aiopg | |
x86_64-linux maptool | |
aarch64-linux python311Packages.types-aiobotocore-forecastquery | |
x86_64-linux emacsPackages.projectile | |
x86_64-darwin vimPlugins.lessspace-vim | |
x86_64-linux linuxKernel.packages.linux_lqx.zenpower | |
aarch64-darwin python311Packages.rich-rst | |
aarch64-darwin python311Packages.ha-ffmpeg | |
x86_64-darwin python312Packages.parameterized | |
x86_64-darwin emacsPackages.dfmt | |
aarch64-darwin kafkactl | |
aarch64-darwin ossutil | |
aarch64-linux coqPackages.serapi | |
aarch64-darwin perl536Packages.DataVisitor | |
x86_64-linux emacsPackages.circe-notifications | |
aarch64-linux python311Packages.mako | |
x86_64-linux perl536Packages.ModuleCompile | |
x86_64-darwin python311Packages.tf2onnx | |
x86_64-linux python311Packages.utils | |
aarch64-darwin python312Packages.multiprocess | |
x86_64-linux intercal | |
aarch64-darwin postgresql13JitPackages.pg_hint_plan | |
x86_64-darwin python311Packages.django-payments | |
aarch64-linux libsForQt5.qtgamepad | |
aarch64-darwin python311Packages.aio-georss-gdacs | |
aarch64-darwin python312Packages.ete3 | |
aarch64-linux python312Packages.jsonschema-path | |
aarch64-linux rubyPackages_3_3.net-imap | |
aarch64-darwin ctodo | |
aarch64-linux luajitPackages.luacheck | |
aarch64-darwin haskellPackages.htags | |
x86_64-darwin haskellPackages.http-test | |
x86_64-darwin python311Packages.duct-py | |
aarch64-darwin perl536Packages.DevelOverrideGlobalRequire | |
x86_64-darwin python311Packages.types-mock | |
x86_64-linux linuxPackages_5_4_hardened.digimend | |
aarch64-linux linuxKernel.packages.linux_6_5.nvidia_x11_beta | |
aarch64-linux python311Packages.visitor | |
x86_64-linux octavePackages.econometrics | |
aarch64-darwin emacsPackages.multishell | |
x86_64-linux publii | |
x86_64-darwin rubyPackages_3_2.tty-color | |
x86_64-linux chickenPackages_5.chickenEggs.oauth | |
x86_64-linux tntdb | |
aarch64-linux cura | |
x86_64-darwin python311Packages.cairocffi | |
x86_64-linux python311Packages.fairscale | |
x86_64-linux vimPlugins.vim-julia-cell | |
x86_64-linux vxl | |
aarch64-darwin drawpile-server-headless | |
aarch64-linux emacsPackages.helm-firefox | |
x86_64-darwin python312Packages.eth-keyfile | |
x86_64-darwin python312Packages.rjsmin | |
aarch64-darwin rubyPackages_3_2.globalid | |
x86_64-darwin python312Packages.pyvoro | |
aarch64-linux haskellPackages.cereal | |
x86_64-linux haskellPackages.cltw | |
x86_64-darwin haskell.compiler.ghc96 | |
aarch64-linux s6-rc-man-pages | |
aarch64-darwin haskellPackages.servant-pagination | |
x86_64-linux postgresql13Packages.apache_datasketches | |
aarch64-darwin xorg.xmodmap | |
aarch64-darwin rubyPackages_3_1.rack | |
aarch64-darwin python312Packages.picos | |
x86_64-linux fypp | |
x86_64-linux haskellPackages.sydtest-process | |
x86_64-linux tcl-fcgi | |
x86_64-linux soundmodem | |
aarch64-darwin emacsPackages.exec-path-from-shell | |
x86_64-linux postgresql13JitPackages.pg_squeeze | |
x86_64-linux haskellPackages.docusign-base-minimal | |
aarch64-darwin svu | |
aarch64-linux python311Packages.aiosteamist | |
aarch64-linux python312Packages.snakemake-interface-storage-plugins | |
aarch64-darwin haskellPackages.hedgehog-quickcheck | |
x86_64-linux python311Packages.filebytes | |
x86_64-linux emacsPackages.terminal-toggle | |
x86_64-linux linuxPackages_xanmod_latest.mbp2018-bridge-drv | |
aarch64-darwin guile-cairo | |
x86_64-linux haskellPackages.speculate | |
x86_64-darwin libzra | |
aarch64-darwin emacsPackages.undersea-theme | |
x86_64-linux python311Packages.dm-haiku | |
x86_64-linux gnomeExtensions.quick-settings-audio-panel | |
x86_64-darwin lua53Packages.lua | |
aarch64-linux python312Packages.python-pkcs11 | |
x86_64-linux libpseudo | |
x86_64-darwin numcpp | |
x86_64-linux orchard | |
x86_64-linux perl536Packages.enum | |
aarch64-darwin python311Packages.duckduckgo-search | |
aarch64-darwin diffstat | |
aarch64-linux python311Packages.nestedtext | |
aarch64-darwin guile_2_2 | |
x86_64-linux mfcj470dwlpr | |
x86_64-darwin cringify | |
x86_64-linux rotp | |
aarch64-darwin solana-cli | |
aarch64-darwin python311Packages.mypy-boto3-cloudtrail | |
aarch64-darwin python311Packages.onnxruntime-tools | |
x86_64-linux emacsPackages.org-dp | |
x86_64-darwin vimPlugins.vim-advanced-sorters | |
x86_64-linux libsForQt5.kscreenlocker | |
aarch64-linux python312Packages.pygsl | |
aarch64-linux rubik | |
x86_64-darwin haskellPackages.elynx-nexus | |
x86_64-darwin haskellPackages.hasql-dynamic-statements | |
aarch64-linux haskellPackages.ipynb | |
aarch64-darwin perl536Packages.StringRandom | |
aarch64-darwin postgresql16JitPackages.pgroonga | |
aarch64-linux emacsPackages.replace-with-inflections | |
x86_64-darwin haskellPackages.pattern-arrows | |
x86_64-darwin mdbook-pdf-outline | |
aarch64-darwin python311Packages.pyinstrument | |
aarch64-darwin python312Packages.weaviate-client | |
x86_64-linux theLoungePlugins.themes.neuron-fork | |
x86_64-darwin silenthound | |
x86_64-linux vikunja-frontend | |
x86_64-darwin haskellPackages.sarif | |
x86_64-linux home-assistant-component-tests.traccar | |
aarch64-linux ised | |
x86_64-linux vimPlugins.nvim-lightbulb | |
x86_64-darwin opusfile | |
aarch64-linux prometheus-node-exporter | |
x86_64-linux postgresql16JitPackages.promscale_extension | |
x86_64-linux oculante | |
x86_64-darwin python311Packages.authcaptureproxy | |
aarch64-darwin rtrtr | |
x86_64-linux linuxKernel.packages.linux_5_15.xpadneo | |
aarch64-linux python312Packages.yarl | |
x86_64-linux python311Packages.opentelemetry-instrumentation-wsgi | |
aarch64-linux vimPlugins.tinykeymap | |
aarch64-darwin python311Packages.azure-mgmt-datalake-analytics | |
aarch64-darwin haskellPackages.tasty-checklist | |
x86_64-darwin perl536Packages.W3CLinkChecker | |
aarch64-linux python311Packages.types-aiobotocore-mwaa | |
aarch64-linux vimPlugins.treesj | |
x86_64-linux argp-standalone | |
aarch64-darwin faust2 | |
aarch64-linux python312Packages.libpcap | |
aarch64-darwin drake | |
aarch64-darwin haskellPackages.finite-field | |
x86_64-linux perl536Packages.MLDBM | |
x86_64-darwin matrix-conduit | |
aarch64-linux python312Packages.zope-cachedescriptors | |
x86_64-darwin emacsPackages.el-secretario-elfeed | |
x86_64-linux haskellPackages.inj | |
x86_64-darwin siege | |
x86_64-linux codevis | |
x86_64-linux haskellPackages.fuzzytime | |
x86_64-darwin graphite-cursors | |
aarch64-linux dogecoin | |
aarch64-linux postgresql16JitPackages.rum | |
x86_64-darwin haskellPackages.hsass | |
aarch64-darwin python311Packages.minimal-snowplow-tracker | |
aarch64-linux python312Packages.vcversioner | |
aarch64-darwin perl536Packages.ConvertBase32 | |
x86_64-darwin python312Packages.bayesian-optimization | |
x86_64-linux udev-gothic-nf | |
x86_64-darwin libjpeg_turbo | |
x86_64-darwin haskellPackages.mwc-probability-transition | |
x86_64-darwin python312Packages.types-aiobotocore-devops-guru | |
aarch64-linux rubyPackages.jekyll-theme-modernist | |
aarch64-linux unscd | |
aarch64-darwin emacsPackages.mu4easy | |
aarch64-darwin plasma5Packages.qtbase | |
x86_64-linux qogir-icon-theme | |
x86_64-linux emacsPackages.rcirc-alertify | |
x86_64-linux haskellPackages.hyphenation | |
x86_64-linux emacsPackages.parseedn | |
aarch64-darwin postgresqlJitPackages.pg_relusage | |
x86_64-darwin haskellPackages.pipes-extras | |
x86_64-linux python312Packages.pyhumps | |
aarch64-linux nix-prefetch-github | |
x86_64-linux pcapfix | |
aarch64-linux python311Packages.dissect-sql | |
aarch64-darwin haskellPackages.crypton-x509-validation | |
x86_64-darwin emacsPackages.all | |
x86_64-linux emacsPackages.epc | |
x86_64-darwin rubyPackages.rack-test | |
x86_64-linux plasma5Packages.kcolorchooser | |
x86_64-linux python311Packages.pylibacl | |
x86_64-darwin rubyPackages_3_2.jekyll | |
x86_64-linux vbetool | |
aarch64-darwin vimPlugins.popup-nvim | |
aarch64-linux python312Packages.getkey | |
aarch64-linux kitty-img | |
x86_64-linux highfive-mpi | |
aarch64-linux python311Packages.pushover-complete | |
x86_64-darwin python311Packages.zwave-js-server-python | |
aarch64-darwin perl538Packages.TestNeeds | |
aarch64-linux haskellPackages.quick-generator | |
aarch64-linux timew-sync-server | |
x86_64-linux linuxPackages_4_19_hardened.akvcam | |
x86_64-linux perl536Packages.TermAnimation | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.ax99100 | |
x86_64-linux cudaPackagesGoogle.cuda_demo_suite | |
x86_64-linux python311Packages.meld3 | |
aarch64-darwin haskellPackages.circle-packing | |
aarch64-darwin tf2pulumi | |
aarch64-linux python311Packages.pygobject-stubs | |
aarch64-linux python312Packages.langchain-community | |
x86_64-darwin python311Packages.faraday-agent-parameters-types | |
aarch64-linux emacsPackages.windower | |
aarch64-linux python311Packages.djangorestframework-guardian2 | |
aarch64-darwin libjpeg_original | |
x86_64-linux emacsPackages.helm-img-tiqav | |
aarch64-darwin libuiohook | |
aarch64-linux iosevka-comfy.comfy-fixed | |
aarch64-linux python311Packages.py3rijndael | |
aarch64-linux python311Packages.colorzero | |
aarch64-darwin lua51Packages.loadkit | |
aarch64-linux php83Packages.psysh | |
x86_64-darwin vimPlugins.scope-nvim | |
aarch64-linux cracklib | |
aarch64-darwin kube-bench | |
x86_64-linux python311Packages.pytest-flake8 | |
x86_64-darwin python312Packages.pytube | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.bpftrace | |
aarch64-linux _2048-cli-terminal | |
x86_64-linux perl536Packages.LogDispatchouli | |
aarch64-linux rubyPackages_3_2.jekyll-mentions | |
aarch64-darwin python312Packages.allure-python-commons-test | |
aarch64-darwin haskellPackages.semiring-simple | |
x86_64-linux libibmad | |
aarch64-linux python311Packages.mypy-boto3-clouddirectory | |
aarch64-linux xow_dongle-firmware | |
aarch64-linux go-audit | |
aarch64-darwin haskellPackages.hs-opentelemetry-exporter-otlp | |
x86_64-linux python312Packages.async-interrupt | |
x86_64-darwin rubyPackages_3_1.pathutil | |
x86_64-darwin re2c | |
x86_64-linux urdfdom-headers | |
x86_64-darwin chickenPackages_5.chickenEggs.stfl | |
aarch64-linux haskellPackages.shake-plus-extended | |
x86_64-darwin juicity | |
aarch64-linux python311Packages.azure-appconfiguration | |
x86_64-linux python312Packages.mdformat-gfm | |
aarch64-linux perl536Packages.BusinessHours | |
aarch64-darwin haskellPackages.subzero | |
aarch64-darwin python312Packages.debianbts | |
aarch64-linux plasma5Packages.qt5.qttools | |
aarch64-linux librsync | |
x86_64-linux llvmPackages_14.clangNoCompilerRtWithLibc | |
x86_64-linux python311Packages.pcodedmp | |
aarch64-linux linuxKernel.packages.linux_6_7.decklink | |
x86_64-linux ejabberd | |
x86_64-linux xorg.libAppleWM | |
aarch64-linux python312Packages.cftime | |
x86_64-linux php82Extensions.ds | |
aarch64-linux nootka | |
x86_64-darwin emacsPackages.inform | |
x86_64-linux python311Packages.types-aiobotocore-sagemaker-metrics | |
aarch64-linux haskellPackages.amazonka-cloudformation | |
x86_64-darwin dockutil | |
x86_64-linux python311Packages.canals | |
x86_64-linux libdevil | |
x86_64-darwin python311Packages.spake2 | |
x86_64-linux python312Packages.jinja2-git | |
aarch64-darwin spark_3_3 | |
aarch64-linux python311Packages.commonmark | |
x86_64-linux sbclPackages.array-utils | |
aarch64-linux xorg.xdpyinfo | |
aarch64-darwin yasm | |
x86_64-linux haskellPackages.hs-gchart | |
aarch64-linux python311Packages.uharfbuzz | |
aarch64-darwin python311Packages.pulumi-random | |
x86_64-linux emacsPackages.dklrt | |
aarch64-linux vimPlugins.zeavim-vim | |
x86_64-darwin haskellPackages.linear-circuit | |
aarch64-linux haskellPackages.cold-widow | |
x86_64-linux perl536Packages.MojoliciousPluginOpenAPI | |
aarch64-darwin vit | |
x86_64-darwin llvmPackages_13.llvm-manpages | |
aarch64-darwin haskellPackages.hlrdb-core | |
aarch64-darwin python311Packages.django-crispy-bootstrap4 | |
aarch64-darwin haskellPackages.sorted-list | |
aarch64-darwin python312Packages.livelossplot | |
x86_64-linux emacsPackages.uiua-ts-mode | |
x86_64-darwin llvmPackages_13.libunwind | |
x86_64-linux haskellPackages.futhark-server | |
x86_64-darwin python311Packages.image-go-nord | |
aarch64-linux python311Packages.patch-ng | |
x86_64-darwin haskellPackages.commonmark-wikilink | |
aarch64-darwin emacsPackages.sqlup-mode | |
x86_64-linux python311Packages.zfec | |
aarch64-linux home-assistant-component-tests.dlna_dmr | |
aarch64-darwin xcolor | |
x86_64-linux python312Packages.mypy-boto3-oam | |
aarch64-darwin ftxui | |
x86_64-darwin vimPlugins.vim-textobj-multiblock | |
aarch64-linux incrtcl | |
x86_64-linux xen-guest-agent | |
x86_64-darwin form | |
x86_64-linux emacsPackages.occur-x | |
aarch64-darwin pagefind | |
aarch64-darwin haste-client | |
aarch64-linux linuxPackages_6_1_hardened.openafs_1_8 | |
aarch64-linux python311Packages.uonet-request-signer-hebe | |
x86_64-darwin python311Packages.ax | |
x86_64-darwin deluge-gtk | |
aarch64-darwin haskellPackages.flick-duration | |
aarch64-linux terraform-providers.thunder | |
aarch64-linux haskellPackages.brotli | |
x86_64-linux python312Packages.pescea | |
x86_64-darwin gitstats | |
x86_64-linux python312Packages.textual-universal-directorytree | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.dpdk-kmods | |
x86_64-linux haskellPackages.clr-win-linker | |
aarch64-darwin elasticsearchPlugins.analysis-kuromoji | |
x86_64-darwin erlang-ls | |
x86_64-linux linuxKernel.packages.linux_latest_libre.nvidia_x11 | |
x86_64-linux ngrep | |
aarch64-darwin haskellPackages.hreader | |
x86_64-linux unixbench | |
aarch64-darwin perl538Packages.Pegex | |
x86_64-linux emacsPackages.mediawiki | |
x86_64-linux python311Packages.types-aiobotocore-sso-admin | |
x86_64-darwin browserpass | |
x86_64-darwin python311Packages.openwebifpy | |
aarch64-linux rubyPackages_3_1.jekyll-default-layout | |
x86_64-darwin emacsPackages.popup-kill-ring | |
aarch64-darwin koboredux | |
x86_64-linux emacsPackages.parsebib | |
x86_64-linux perl538Packages.ExtUtilsCppGuess | |
aarch64-linux python312Packages.py-partiql-parser | |
x86_64-darwin python311Packages.manifestoo-core | |
aarch64-darwin python312Packages.google-cloud-iot | |
x86_64-darwin python311Packages.xpath-expressions | |
aarch64-linux emacsPackages.libmpdel | |
x86_64-linux emacsPackages.pager | |
x86_64-linux bfr | |
x86_64-linux haskellPackages.storable-endian | |
aarch64-linux emacsPackages.helm-lib-babel | |
x86_64-linux linuxPackages_xanmod_latest.nct6687d | |
aarch64-darwin python311Packages.nose-timer | |
aarch64-linux emacsPackages.cubicle-mode | |
x86_64-darwin python312Packages.google-cloud-vision | |
x86_64-darwin terraforming | |
x86_64-linux python312Packages.pyenvisalink | |
aarch64-darwin vimPlugins.ranger-vim | |
x86_64-linux perl538Packages.TestNeeds | |
x86_64-linux adoptopenjdk-openj9-bin-16 | |
x86_64-linux perl538Packages.Autodia | |
x86_64-darwin python312Packages.pygtkspellcheck | |
aarch64-darwin osv-detector | |
aarch64-darwin minica | |
x86_64-linux talentedhack | |
aarch64-darwin haskellPackages.console-style | |
x86_64-linux emacsPackages.dianyou | |
aarch64-linux paratest | |
x86_64-linux advancecomp | |
x86_64-darwin emacsPackages.decor | |
x86_64-linux haskellPackages.strictify | |
x86_64-darwin telegram-bot-api | |
aarch64-linux vscode-with-extensions | |
aarch64-linux haskellPackages.dual-tree | |
x86_64-darwin bullet-roboschool | |
x86_64-linux emacsPackages.flycheck-popup-tip | |
aarch64-linux aemu | |
aarch64-linux rocmPackages.hipify | |
aarch64-darwin php82Packages.psalm | |
aarch64-linux parlatype | |
x86_64-linux python312Packages.mypy-boto3-workspaces-web | |
aarch64-linux haskellPackages.SimpleAES | |
x86_64-darwin python312Packages.yark | |
x86_64-linux wineWowPackages.full | |
x86_64-darwin python312Packages.scrapy-deltafetch | |
aarch64-darwin python312Packages.dbf | |
x86_64-linux emacsPackages.heaven-and-hell | |
aarch64-darwin emacsPackages.psci | |
x86_64-linux linuxPackages_xanmod.rtl8723ds | |
aarch64-linux rubyPackages.mail | |
aarch64-darwin python311Packages.pywbem | |
x86_64-darwin emacsPackages.ac-haskell-process | |
aarch64-linux kubecm | |
x86_64-darwin perl536Packages.DistZillaPluginPodWeaver | |
x86_64-linux haskellPackages.filters-basic | |
x86_64-linux emacsPackages.advice-patch | |
x86_64-linux llvmPackages_14.compiler-rt-libc | |
aarch64-linux netproc | |
aarch64-linux ivpn | |
x86_64-linux python312Packages.pyxbe | |
aarch64-darwin python311Packages.referencing | |
x86_64-linux python312Packages.wasmerPackages.wasmer-compiler-llvm | |
x86_64-linux perl538Packages.UnicodeStringprep | |
x86_64-linux zabbix60.agent2 | |
x86_64-linux haskellPackages.cipher-rc4 | |
aarch64-darwin lua53Packages.compat53 | |
x86_64-darwin python312Packages.pyasyncore | |
x86_64-linux python312Packages.pytomorrowio | |
x86_64-darwin netclient | |
aarch64-darwin gst_all_1.gst-plugins-base | |
aarch64-linux nfd | |
aarch64-linux plasma5Packages.kwin-dynamic-workspaces | |
x86_64-linux haskellPackages.amazonka-codeguruprofiler | |
x86_64-darwin prismlauncher-unwrapped | |
x86_64-darwin python311Packages.pytest-tap | |
x86_64-darwin python311Packages.zict | |
x86_64-linux python312Packages.docker-py | |
aarch64-darwin rubyPackages_3_3.opus-ruby | |
aarch64-darwin haskellPackages.tardis | |
aarch64-darwin python312Packages.minidump | |
aarch64-linux sentry-cli | |
aarch64-linux haskellPackages.bustle | |
x86_64-darwin gcc-arm-embedded-13 | |
x86_64-darwin libgovirt | |
aarch64-darwin newsflash | |
x86_64-linux python312Packages.azure-mgmt-redis | |
aarch64-linux haskellPackages.bytedump | |
aarch64-darwin perl536Packages.StringToIdentifierEN | |
aarch64-linux emacsPackages.swiper-helm | |
x86_64-linux ikiwiki | |
x86_64-darwin python312Packages.fnv-hash-fast | |
aarch64-linux vimPlugins.coc-tslint | |
aarch64-linux haskellPackages.api-maker | |
x86_64-darwin python312Packages.strictyaml | |
aarch64-darwin emacsPackages.paredit-everywhere | |
aarch64-linux llvmPackages_12.libcxx | |
x86_64-linux linuxKernel.kernels.linux_rt_5_15 | |
aarch64-linux python311Packages.tatsu | |
x86_64-linux tests.hardeningFlags.fortifyExplicitDisabled | |
x86_64-linux python311Packages.getkey | |
x86_64-linux emacsPackages.company-glsl | |
aarch64-darwin tests.cc-wrapper.llvmTests.llvmPackages_17.clang | |
aarch64-linux chickenPackages_5.chickenEggs.estraier-client | |
x86_64-darwin emacsPackages.bnf-mode | |
aarch64-linux linuxKernel.packages.linux_6_5_hardened.pktgen | |
x86_64-darwin certsync | |
x86_64-linux linuxKernel.packages.linux_xanmod.hid-tmff2 | |
aarch64-linux python311Packages.faster-whisper | |
aarch64-darwin perl538Packages.MojoJWT | |
x86_64-darwin xcode-install | |
x86_64-linux kcli | |
aarch64-linux bioawk | |
x86_64-linux python312Packages.twitter-common-options | |
x86_64-linux linuxKernel.packages.linux_lqx.turbostat | |
x86_64-linux sublime4-dev | |
x86_64-darwin python311Packages.vega-datasets | |
x86_64-darwin bin2c | |
x86_64-darwin emacsPackages.cbor | |
aarch64-darwin lua51Packages.dkjson | |
x86_64-linux haskellPackages.digest-sig | |
x86_64-linux emacsPackages.flymake-python-pyflakes | |
aarch64-linux haskellPackages.comonad-transformers | |
x86_64-darwin haskellPackages.net-mqtt | |
x86_64-linux kaf | |
aarch64-linux python312Packages.types-aiobotocore-detective | |
x86_64-linux python312Packages.pytestcache | |
x86_64-linux spark_3_5 | |
aarch64-linux python311Packages.weboob | |
aarch64-darwin python312Packages.types-tqdm | |
aarch64-linux emacsPackages.elf-mode | |
x86_64-darwin emacsPackages.ampc | |
aarch64-linux samurai | |
aarch64-linux emacsPackages.catmacs | |
aarch64-darwin haskellPackages.mailtrap | |
aarch64-darwin emacsPackages.chatwork | |
aarch64-linux perl538Packages.LinguaENInflectPhrase | |
x86_64-linux python311Packages.neoteroi-mkdocs | |
aarch64-darwin vimPlugins.terminus | |
x86_64-linux git-standup | |
x86_64-darwin python311Packages.black | |
x86_64-linux ghost | |
x86_64-darwin haskellPackages.hgal | |
aarch64-linux rubyPackages_3_3.forwardable-extended | |
x86_64-linux linuxKernel.packages.linux_libre.asus-wmi-sensors | |
x86_64-darwin python311Packages.alexapy | |
aarch64-darwin chickenPackages_5.chickenEggs.taglib | |
aarch64-darwin rubyPackages_3_1.mab | |
x86_64-linux python311Packages.py-expression-eval | |
x86_64-linux taskwarrior | |
aarch64-linux just | |
aarch64-darwin python312Packages.types-aiobotocore-rds-data | |
aarch64-darwin terraform-providers.vcd | |
x86_64-darwin python312Packages.pmdarima | |
x86_64-linux zigbee2mqtt | |
aarch64-linux armTrustedFirmwareRK3399 | |
aarch64-darwin emacsPackages.org-gamedb | |
aarch64-linux cudaPackages.fabricmanager | |
x86_64-darwin perl538Packages.MozillaCA | |
aarch64-darwin llvmPackages_9.clang-unwrapped | |
aarch64-darwin python311Packages.webdavclient3 | |
aarch64-linux postgresql15JitPackages.rum | |
aarch64-linux linuxKernel.packages.linux_6_5.system76-scheduler | |
aarch64-linux haskellPackages.elynx-tree | |
aarch64-darwin perl536Packages.MathBigIntLite | |
aarch64-darwin python312Packages.ijson | |
x86_64-darwin libsForQt5.kcrash | |
aarch64-darwin python311Packages.matrix-common | |
aarch64-linux python312Packages.pypng | |
aarch64-linux python311Packages.push-receiver | |
aarch64-linux perl538Packages.MojoIOLoopForkCall | |
x86_64-darwin python311Packages.types-aiobotocore-kendra | |
aarch64-linux python311Packages.halo | |
aarch64-darwin haskellPackages.xosd | |
x86_64-darwin haskellPackages.cobot | |
x86_64-linux soft-serve | |
x86_64-linux rubyPackages_3_2.ruby-terminfo | |
aarch64-linux emacsPackages.jumplist | |
aarch64-linux kube-prompt | |
aarch64-linux python312Packages.heapdict | |
aarch64-linux rubyPackages_3_1.hpricot | |
x86_64-darwin kore | |
aarch64-darwin python312Packages.pydeps | |
x86_64-linux emacsPackages.yascroll | |
x86_64-linux perl536Packages.DevelSymdump | |
aarch64-darwin perl536Packages.TestSynopsis | |
aarch64-darwin emacsPackages.java-snippets | |
x86_64-darwin emacsPackages.focus | |
aarch64-linux libretro.mame2003 | |
x86_64-linux python311Packages.http-sfv | |
x86_64-darwin rubyPackages_3_2.redis-store | |
x86_64-darwin cinny | |
aarch64-linux mtxclient | |
aarch64-linux perl538Packages.OpenGL | |
x86_64-darwin haskellPackages.haskeline-repl | |
aarch64-darwin haskellPackages.flight-igc | |
x86_64-darwin perl536Packages.Pegex | |
x86_64-darwin postgresql16Packages.timescaledb | |
aarch64-darwin python311Packages.fuzzywuzzy | |
x86_64-linux emacsPackages.chruby | |
x86_64-linux linuxKernel.packages.linux_hardened.ena | |
aarch64-linux lua52Packages.busted | |
x86_64-darwin emacsPackages.kaesar-file | |
x86_64-darwin haskellPackages.metro-transport-websockets | |
x86_64-darwin python311Packages.types-aiobotocore-migrationhuborchestrator | |
aarch64-linux haskellPackages.reliable-io | |
x86_64-linux flacon | |
aarch64-linux nx-libs | |
x86_64-linux linuxKernel.packages.linux_lqx.zfs | |
x86_64-darwin chickenPackages_5.chickenEggs.endian-blob | |
aarch64-darwin haskellPackages.lapack-carray | |
x86_64-darwin haskellPackages.postgresql-simple-opts | |
aarch64-linux vimPlugins.boo-colorscheme-nvim | |
aarch64-linux crunchy-cli | |
aarch64-linux emacsPackages.ac-js2 | |
x86_64-linux emacsPackages.nyx-theme | |
x86_64-linux linuxPackages_5_4_hardened.nvidia_x11_vulkan_beta_open | |
x86_64-linux haskellPackages.asn1-types | |
x86_64-darwin haskellPackages.husk-scheme-libs | |
aarch64-linux python311Packages.etuples | |
x86_64-linux tasktimer | |
aarch64-linux flamp | |
x86_64-linux rubyPackages.llhttp-ffi | |
aarch64-linux perl538Packages.DataPage | |
aarch64-darwin uutils-coreutils | |
aarch64-darwin perl538Packages.ImportInto | |
aarch64-darwin haskellPackages.egyptian-fractions | |
x86_64-linux pangomm_2_48 | |
x86_64-linux postgresql13JitPackages.hypopg | |
aarch64-linux python311Packages.fairseq | |
x86_64-linux python311Packages.ruamel-base | |
x86_64-linux aspellDicts.cs | |
x86_64-linux linuxKernel.packages.linux_6_6.rtl8188eus-aircrack | |
x86_64-darwin python311Packages.aiolifx-themes | |
x86_64-linux cudaPackages.tensorrt | |
aarch64-darwin haskellPackages.timezone-series | |
x86_64-darwin python312Packages.faust-cchardet | |
aarch64-linux afpfs-ng | |
aarch64-linux vimPlugins.latex-box | |
x86_64-linux emacsPackages.evalator-clojure | |
aarch64-linux rubyPackages_3_3.jekyll-spaceship | |
aarch64-linux python312Packages.imapclient | |
x86_64-linux lxqt.lxqt-notificationd | |
x86_64-darwin python312Packages.gdata | |
x86_64-darwin emacsPackages.liquid-types | |
x86_64-darwin emacsPackages.gc-buffers | |
x86_64-darwin haskellPackages.align | |
x86_64-linux emacsPackages.malyon | |
aarch64-darwin perl536Packages.PodChecker | |
x86_64-darwin python312Packages.qbittorrent-api | |
x86_64-darwin python312Packages.trove-classifiers | |
x86_64-darwin libretro.beetle-supafaust | |
x86_64-darwin python312Packages.pyparsing | |
aarch64-linux python312Packages.validobj | |
aarch64-darwin python311Packages.vsts | |
x86_64-linux vscode-extensions.vscjava.vscode-java-dependency | |
x86_64-linux emacsPackages.el-secretario-notmuch | |
aarch64-linux starlark | |
x86_64-linux haskellPackages.MusicBrainz-libdiscid | |
aarch64-darwin python311Packages.pysigma-backend-sqlite | |
x86_64-linux haskellPackages.libffi | |
aarch64-linux coqPackages.mathcomp-classical | |
x86_64-darwin alps | |
x86_64-darwin sgrep | |
aarch64-linux home-assistant-component-tests.homeassistant_hardware | |
aarch64-linux linuxKernel.packages.linux_4_19.zfsStable | |
x86_64-linux psmisc | |
aarch64-darwin haskellPackages.clay | |
x86_64-darwin python311Packages.types-aiobotocore-route53domains | |
x86_64-linux rubyPackages.mini_mime | |
x86_64-darwin python312Packages.plyplus | |
aarch64-darwin oauth2l | |
x86_64-linux lua51Packages.fluent | |
x86_64-darwin haskellPackages.proxied | |
x86_64-darwin vendir | |
x86_64-darwin notary | |
aarch64-linux emacsPackages.light-soap-theme | |
aarch64-darwin emacsPackages.git-io | |
aarch64-linux clang-tools_14 | |
x86_64-linux lethe | |
x86_64-linux python312Packages.dissect-fat | |
x86_64-linux rubyPackages_3_3.rainbow | |
aarch64-darwin python312Packages.tokenizers | |
x86_64-darwin vimPlugins.cmp-nvim-lua | |
x86_64-linux haskellPackages.nonlinear | |
aarch64-linux postgresql13JitPackages.plpgsql_check | |
aarch64-linux python312Packages.netcdf4 | |
x86_64-darwin haskellPackages.HSmarty | |
x86_64-linux postgresql15Packages.tds_fdw | |
x86_64-linux molot-lite | |
x86_64-darwin python312Packages.boto3-stubs | |
x86_64-linux haskellPackages.mountpoints | |
aarch64-linux python312Packages.nototools | |
aarch64-linux home-assistant-component-tests.volumio | |
x86_64-linux linuxKernel.packages.linux_latest_libre.virtio_vmmci | |
aarch64-linux python311Packages.hyperpyyaml | |
x86_64-linux haskellPackages.cassava-megaparsec | |
x86_64-darwin python312Packages.pytest-vcr | |
x86_64-linux driversi686Linux.libvdpau-va-gl | |
aarch64-darwin perl538Packages.MCE | |
x86_64-linux python311Packages.yq | |
aarch64-linux home-assistant-component-tests.scene | |
x86_64-darwin kodiPackages.joystick | |
aarch64-linux python312Packages.nextdns | |
x86_64-linux ironbar | |
aarch64-darwin postgresql16JitPackages.pg_partman | |
aarch64-darwin shellspec | |
aarch64-linux python312Packages.parfive | |
aarch64-linux rubyPackages_3_2.cocoapods-whitelist | |
aarch64-darwin python312Packages.onetimepad | |
x86_64-linux python311Packages.pyrealsense2 | |
aarch64-linux xorg.mkfontdir | |
x86_64-linux raspberrypi-eeprom | |
x86_64-linux emacsPackages.audacious | |
aarch64-darwin haskellPackages.failable | |
x86_64-linux haskellPackages.hasql-notifications | |
x86_64-linux python311Packages.tree-sitter | |
aarch64-linux python311Packages.wurlitzer | |
aarch64-linux rubyPackages_3_3.sass | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.akvcam | |
x86_64-darwin emacsPackages.howdoi | |
x86_64-linux rpi-imager | |
x86_64-darwin sonar-scanner-cli | |
aarch64-darwin python311Packages.types-aiobotocore-oam | |
aarch64-linux home-assistant-custom-lovelace-modules.zigbee2mqtt-networkmap | |
x86_64-darwin obuild | |
aarch64-darwin python311Packages.lsassy | |
aarch64-linux perl538Packages.MooXCmd | |
x86_64-linux postgresqlPackages.pgsql-http | |
x86_64-linux python311Packages.pipdeptree | |
x86_64-darwin libburn | |
aarch64-darwin haskellPackages.universe-reverse-instances | |
x86_64-darwin python312Packages.griffe | |
aarch64-linux haskellPackages.scientific | |
x86_64-linux protonvpn-cli | |
x86_64-darwin emacsPackages.goto-last-change | |
aarch64-linux koboredux-free | |
aarch64-linux home-assistant-component-tests.fivem | |
aarch64-linux python311Packages.pyproj | |
x86_64-linux vimPlugins.coc-stylelint | |
aarch64-darwin python311Packages.ecos | |
aarch64-linux lguf-brightness | |
aarch64-darwin emacsPackages.peertube | |
aarch64-darwin haskellPackages.snowglobe | |
aarch64-linux nixos-render-docs | |
x86_64-linux python311Packages.busypie | |
aarch64-linux linuxKernel.packages.linux_6_7.odp-dpdk | |
x86_64-darwin haskellPackages.boltzmann-samplers | |
x86_64-linux haskellPackages.commonmark-extensions | |
x86_64-linux linuxKernel.packages.linux_6_6.ivsc-driver | |
aarch64-darwin python312Packages.ed25519 | |
x86_64-linux home-assistant-component-tests.sun | |
aarch64-linux perl536Packages.StructDumb | |
aarch64-linux minijinja | |
x86_64-linux mdbook-pagetoc | |
x86_64-linux saga | |
x86_64-darwin pcre | |
x86_64-linux python312Packages.youtube-dl-light | |
x86_64-darwin rubyPackages_3_2.jekyll-favicon | |
x86_64-linux linuxPackages_5_4_hardened.amdgpu-pro | |
x86_64-linux go-license-detector | |
aarch64-darwin haskellPackages.amazonka-iotdeviceadvisor | |
x86_64-darwin kubo-migrator | |
aarch64-darwin emacsPackages.nerd-icons-ivy-rich | |
aarch64-linux multitran.libfacet | |
x86_64-linux linuxKernel.packages.linux_4_19.mxu11x0 | |
aarch64-linux haskellPackages.Peano | |
x86_64-darwin bigloo | |
aarch64-darwin perl536Packages.AttributeParamsValidate | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.ixgbevf | |
aarch64-linux roxctl | |
x86_64-linux linuxPackages_6_1_hardened.fwts-efi-runtime | |
aarch64-linux libsForQt5.marble | |
x86_64-darwin python311Packages.blessed | |
x86_64-linux haskellPackages.amazonka-codestar-notifications | |
x86_64-darwin theme-sh | |
x86_64-linux terraria-server | |
x86_64-darwin python312Packages.greenlet | |
aarch64-linux rubyPackages_3_2.ncursesw | |
x86_64-darwin haskellPackages.integer-conversion | |
x86_64-linux haskellPackages.terminal | |
aarch64-linux linuxKernel.packages.linux_6_5.cryptodev | |
x86_64-darwin perl536Packages.CompressRawBzip2 | |
aarch64-darwin lsix | |
x86_64-linux python312Packages.dataset | |
aarch64-linux edac-utils | |
x86_64-linux linuxKernel.packages.linux_4_19.new-lg4ff | |
aarch64-linux libpeas2 | |
aarch64-linux python311Packages.tilt-ble | |
aarch64-linux python312Packages.acunetix | |
x86_64-linux python312Packages.azure-mgmt-billing | |
x86_64-darwin haskellPackages.notmuch | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.nvidia_x11_stable_open | |
x86_64-linux python311Packages.snapcast | |
x86_64-linux python312Packages.pybravia | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.yang | |
x86_64-linux apacheHttpdPackages.mod_dnssd | |
aarch64-darwin transmission_noSystemd | |
aarch64-darwin syncplay-nogui | |
aarch64-linux emacsPackages.bnf-mode | |
aarch64-darwin emacsPackages.chroma | |
x86_64-darwin python312Packages.wavefile | |
aarch64-darwin perl538Packages.ModuleCompile | |
x86_64-darwin python312Packages.mypy-boto3-sso | |
aarch64-linux haskellPackages.yesod-auth-oauth2 | |
aarch64-linux python311Packages.zope-location | |
aarch64-linux python312Packages.torchaudio | |
x86_64-darwin python311Packages.humblewx | |
aarch64-linux perl536Packages.HTMLMasonPSGIHandler | |
x86_64-linux linuxKernel.packages.linux_4_19.system76 | |
aarch64-linux perl538Packages.ClassMakeMethods | |
x86_64-darwin vgm2x | |
x86_64-linux python312Packages.bond-api | |
x86_64-darwin python312Packages.nestedtext | |
aarch64-darwin frei0r | |
aarch64-darwin emacsPackages.fast-scroll | |
aarch64-linux lua51Packages.luabitop | |
aarch64-linux linuxPackages_hardened.netatop | |
x86_64-linux linuxPackages_lqx.xpadneo | |
aarch64-linux perl538Packages.PlackAppProxy | |
aarch64-linux xmobar | |
aarch64-darwin pebble | |
x86_64-darwin emacsPackages.sparkline | |
x86_64-darwin retro-gtk | |
aarch64-linux haskellPackages.blockfrost-client-core | |
aarch64-linux home-assistant-component-tests.sonos | |
x86_64-linux python311Packages.xrootd | |
aarch64-darwin koodousfinder | |
x86_64-linux postgresql16Packages.pg_partman | |
x86_64-darwin perl538Packages.MooseXTypesStructured | |
aarch64-linux python311Packages.podcats | |
x86_64-linux lua52Packages.ljsyscall | |
x86_64-linux perl536Packages.HTTPBody | |
x86_64-linux teamocil | |
aarch64-linux liblcf | |
x86_64-linux bspwm | |
aarch64-darwin emacsPackages.register-channel | |
x86_64-linux prometheus-modemmanager-exporter | |
aarch64-linux shards | |
x86_64-darwin python311Packages.ratelim | |
aarch64-darwin rufo | |
aarch64-darwin python312Packages.flufl-lock | |
aarch64-darwin rubyPackages.cocoapods-generate | |
x86_64-darwin haskellPackages.genvalidity-sydtest-lens | |
aarch64-darwin emacsPackages.empv | |
x86_64-linux python311Packages.mmcif-pdbx | |
aarch64-darwin python311Packages.python-docs-theme | |
aarch64-darwin emacsPackages.cmake-mode | |
aarch64-linux glow | |
x86_64-linux python312Packages.dpkt | |
aarch64-linux python312Packages.aiopg | |
x86_64-linux haskellPackages.rawstring-qm | |
aarch64-darwin clac | |
aarch64-linux rkrlv2 | |
aarch64-linux guile_3_0 | |
aarch64-darwin haskellPackages.infinite-list | |
aarch64-linux rubyPackages_3_1.timeout | |
x86_64-darwin haskellPackages.bitcoin-hash | |
aarch64-darwin rfc-bibtex | |
aarch64-linux rubyPackages_3_1.rchardet | |
aarch64-darwin python311Packages.stim | |
aarch64-linux lua53Packages.luaposix | |
aarch64-darwin python311Packages.bagit | |
x86_64-darwin python311Packages.openpyxl | |
x86_64-darwin python312Packages.rtoml | |
x86_64-darwin emacsPackages.raku-mode | |
aarch64-linux rubyPackages.erubi | |
x86_64-darwin rubyPackages.nap | |
x86_64-linux zabbix50.server-pgsql | |
aarch64-linux python311Packages.pytest-testmon | |
x86_64-darwin luajitPackages.luazip | |
x86_64-linux python312Packages.grandalf | |
aarch64-linux rubyPackages_3_2.sequel_pg | |
x86_64-linux emacsPackages.org-beautify-theme | |
x86_64-linux python311Packages.boschshcpy | |
aarch64-linux emacsPackages.extmap | |
x86_64-darwin coreutils | |
x86_64-linux haskellPackages.monadfibre | |
aarch64-linux emacsPackages.ruby-tools | |
aarch64-darwin python311Packages.bluetooth-data-tools | |
x86_64-linux boost_process | |
aarch64-darwin python311Packages.types-aiobotocore-ec2-instance-connect | |
aarch64-linux git-annex-utils | |
x86_64-linux emacsPackages.highlight-parentheses | |
aarch64-darwin python312Packages.sabyenc3 | |
x86_64-darwin python311Packages.pysma | |
aarch64-linux python312Packages.azure-storage-queue | |
aarch64-darwin emacsPackages.hcel | |
x86_64-linux musl-obstack | |
x86_64-linux home-assistant-component-tests.izone | |
x86_64-linux idrisPackages.console | |
aarch64-darwin emacsPackages.danneskjold-theme | |
aarch64-linux libfilezilla | |
aarch64-darwin python311Packages.justext | |
aarch64-darwin haskellPackages.amazonka-elasticache | |
x86_64-linux python311Packages.xbox-webapi | |
aarch64-darwin python311Packages.opsdroid-get-image-size | |
x86_64-linux zktree | |
aarch64-linux chickenPackages_5.chickenEggs.srfi-115 | |
x86_64-linux credhub-cli | |
aarch64-linux perl538Packages.MojoliciousPluginGravatar | |
aarch64-linux perl536Packages.PlackMiddlewareMethodOverride | |
aarch64-darwin perl538Packages.DataURIEncode | |
x86_64-linux python312Packages.mypy-boto3-ds | |
x86_64-darwin python311Packages.mypy-boto3-outposts | |
x86_64-linux plasma5Packages.plasma-thunderbolt | |
aarch64-linux z3-tptp | |
x86_64-darwin monit | |
x86_64-darwin haskellPackages.HaskellNet | |
aarch64-linux libsForQt5.kdeclarative | |
x86_64-linux python311Packages.mock-open | |
x86_64-linux minijail | |
aarch64-darwin haskellPackages.aeson-match-qq | |
aarch64-linux eflite | |
x86_64-darwin libplist | |
x86_64-darwin cloudsmith-cli | |
x86_64-linux python311Packages.sigtools | |
x86_64-darwin python312Packages.opentelemetry-instrumentation-asgi | |
x86_64-linux linuxPackages.r8168 | |
x86_64-linux haskellPackages.strio | |
x86_64-darwin haskellPackages.line-size | |
x86_64-linux haskellPackages.data-ref | |
aarch64-darwin python311Packages.segments | |
aarch64-linux cbmbasic | |
x86_64-darwin netdata | |
x86_64-linux linuxPackages.cryptodev | |
x86_64-linux emacsPackages.org-agenda-files-track-ql | |
aarch64-darwin python311Packages.argos-translate-files | |
x86_64-darwin python312Packages.pykira | |
x86_64-linux emacsPackages.treefactor | |
aarch64-linux perl538Packages.LocaleUtilsPlaceholderNamed | |
x86_64-linux perl538Packages.TestCheckDeps | |
aarch64-linux jack1 | |
x86_64-darwin LASzip2 | |
aarch64-linux emacsPackages.latex-labeler | |
x86_64-linux emacsPackages.easy-hugo | |
x86_64-linux rubyPackages_3_3.jekyll-theme-hacker | |
aarch64-darwin python312Packages.csscompressor | |
x86_64-linux tela-icon-theme | |
x86_64-linux vimPlugins.neodev-nvim | |
x86_64-linux postgresql13JitPackages.promscale_extension | |
x86_64-linux emacsPackages.org-babel-eval-in-repl | |
x86_64-linux vimPlugins.vim-qml | |
x86_64-darwin python311Packages.asn1crypto | |
aarch64-darwin haskellPackages.haskell-proxy-list | |
x86_64-darwin nixpkgs-fmt | |
x86_64-linux emacsPackages.indent-control | |
aarch64-darwin python311Packages.pykeyatome | |
aarch64-darwin python311Packages.twill | |
aarch64-linux llvm_15 | |
aarch64-darwin python312Packages.cytoolz | |
x86_64-linux perl538Packages.FileSlurper | |
aarch64-darwin emacsPackages.slime-theme | |
x86_64-darwin emacsPackages.filladapt | |
x86_64-linux liberio | |
x86_64-linux linuxKernel.packages.linux_zen.rtl88x2bu | |
aarch64-darwin haskellPackages.enummapset | |
x86_64-linux python312Packages.refery | |
x86_64-linux haskellPackages.mpeff | |
x86_64-linux linuxKernel.packages.linux_6_6.evdi | |
x86_64-linux python312Packages.kubernetes | |
aarch64-linux paco | |
aarch64-linux gnome-hexgl | |
x86_64-darwin python311Packages.mypy-boto3-securitylake | |
x86_64-linux pixelfed | |
x86_64-darwin python311Packages.reprshed | |
x86_64-darwin python312Packages.aiopvapi | |
x86_64-linux php83Extensions.sqlite3 | |
aarch64-linux python311Packages.gumath | |
aarch64-linux perl538Packages.EnvSanctify | |
aarch64-darwin emacsPackages.popup-switcher | |
x86_64-darwin python312Packages.sphinxcontrib-fulltoc | |
aarch64-darwin emacsPackages.ox-spectacle | |
aarch64-linux haskellPackages.optparse-th | |
x86_64-linux python311Packages.pymvglive | |
aarch64-darwin haskellPackages.shakers | |
aarch64-darwin lolcode | |
aarch64-darwin dosfstools | |
x86_64-linux vimPlugins.unicode-vim | |
x86_64-darwin snapraid | |
aarch64-linux haskellPackages.alternators | |
aarch64-linux libsForQt5.krunner-symbols | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.libpulse | |
x86_64-darwin litefs | |
x86_64-linux postgresql12Packages.pgsql-http | |
aarch64-darwin piston-cli | |
x86_64-linux tests.hardeningFlags-clang.pieExplicitDisabled | |
x86_64-darwin vimPlugins.vim-autosource | |
aarch64-darwin haskellPackages.fcf-vinyl | |
aarch64-darwin python311Packages.distro | |
x86_64-darwin perl536Packages.DataUniqid | |
x86_64-darwin perl536Packages.WebScraper | |
x86_64-linux perl538Packages.PerlIOviaTimeout | |
x86_64-linux haskellPackages.xlsx | |
aarch64-darwin emacsPackages.osx-org-clock-menubar | |
x86_64-linux python311Packages.psautohint | |
aarch64-linux haskellPackages.ChannelT | |
aarch64-darwin perl536Packages.IOHTML | |
x86_64-linux linuxKernel.packages.linux_xanmod.trelay | |
x86_64-darwin flutterPackages.v3_13 | |
aarch64-linux python311Packages.autoit-ripper | |
x86_64-darwin rubyPackages_3_3.unf_ext | |
aarch64-linux juicity | |
aarch64-linux nwipe | |
x86_64-darwin python311Packages.typesystem | |
aarch64-linux joomscan | |
x86_64-linux knewave | |
aarch64-linux rubyPackages_3_2.coderay | |
aarch64-darwin python311Packages.goocalendar | |
x86_64-linux haskellPackages.monad-memo | |
x86_64-linux emacsPackages.hover | |
aarch64-darwin perl536Packages.CatalystControllerHTMLFormFu | |
aarch64-darwin perl536Packages.MouseXTypesPathClass | |
aarch64-darwin ssh-copy-id | |
x86_64-linux python311Packages.llfuse | |
aarch64-darwin python311Packages.mypy-boto3-wellarchitected | |
aarch64-linux tuxclocker | |
aarch64-linux python311Packages.types-aiobotocore-marketplace-entitlement | |
aarch64-linux nntp-proxy | |
x86_64-linux libretro.vecx | |
x86_64-linux linuxKernel.packages.linux_zen.zfs | |
x86_64-darwin python311Packages.dash-table | |
x86_64-linux linuxPackages_6_5_hardened.mxu11x0 | |
x86_64-linux python311Packages.python3-application | |
x86_64-darwin vimPlugins.gruvbox | |
x86_64-darwin unifi8 | |
x86_64-linux vimPlugins.nvim-cursorline | |
x86_64-linux linuxPackages_hardened.rtl8812au | |
x86_64-darwin python311Packages.django-webpack-loader | |
aarch64-linux python311Packages.pysvn | |
aarch64-linux emacsPackages.eproject | |
x86_64-linux emacsPackages.clang-format | |
x86_64-linux emacsPackages.tramp-nspawn | |
x86_64-darwin vimPlugins.vim-snipmate | |
x86_64-darwin python311Packages.jupyterlab-lsp | |
x86_64-linux libsForQt5.kimageannotator | |
x86_64-darwin haskellPackages.shellout | |
aarch64-darwin hunspellDicts.sk_SK | |
aarch64-linux emacsPackages.mindre-theme | |
aarch64-linux postgresql15JitPackages.pgsodium | |
x86_64-darwin emacsPackages.initsplit | |
aarch64-linux python311Packages.toonapi | |
aarch64-darwin flink | |
x86_64-darwin python312Packages.lineedit | |
aarch64-linux linuxKernel.packages.linux_hardened.rtl8723ds | |
x86_64-linux hyprland-per-window-layout | |
x86_64-linux lomiri.geonames | |
x86_64-linux python311Packages.meater-python | |
x86_64-darwin perl536Packages.CryptFormat | |
x86_64-linux linuxPackages_5_4_hardened.liquidtux | |
x86_64-linux linuxKernel.packages.linux_6_6.gasket | |
aarch64-linux wbg | |
x86_64-linux lua54Packages.binaryheap | |
aarch64-darwin console-bridge | |
x86_64-linux xawtv | |
aarch64-linux home-assistant-component-tests.google_pubsub | |
aarch64-linux python311Packages.types-aiobotocore-sso | |
x86_64-darwin haskellPackages.data-compat | |
x86_64-linux haskellPackages.webex-teams-conduit | |
x86_64-linux perl536Packages.NetTelnet | |
x86_64-darwin perl536Packages.LogAny | |
aarch64-linux emacsPackages.base32 | |
x86_64-darwin perl538Packages.SerealDecoder | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.mxu11x0 | |
x86_64-darwin python312Packages.quart | |
aarch64-darwin python311Packages.uvicorn | |
x86_64-darwin tailwindcss-language-server | |
x86_64-darwin llvmPackages_11.libstdcxxClang | |
aarch64-linux fnlfmt | |
aarch64-darwin clamav | |
aarch64-linux python311Packages.evdev | |
aarch64-linux haskellPackages.operational-alacarte | |
x86_64-linux perl538Packages.TestIdentity | |
x86_64-linux python311Packages.pysigma-pipeline-windows | |
aarch64-linux python312Packages.asyncio-dgram | |
aarch64-linux spidermonkey_91 | |
aarch64-linux haskellPackages.StringUtils | |
aarch64-darwin rspamd-trainer | |
x86_64-linux envsubst | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.racket | |
x86_64-linux python311Packages.varint | |
x86_64-linux haskellPackages.embeddock-example | |
aarch64-linux linuxPackages_5_4_hardened.new-lg4ff | |
aarch64-linux linuxPackages_6_1_hardened.netatop | |
x86_64-linux haskellPackages.semigroupoid-extras | |
x86_64-darwin vimPlugins.com-cloudedmountain-ide-neovim | |
aarch64-linux haskellPackages.amazonka-connect-contact-lens | |
x86_64-darwin python312Packages.lightning-utilities | |
x86_64-linux perl538Packages.DataVisitor | |
x86_64-linux torsocks | |
x86_64-linux linuxKernel.packages.linux_6_7.vhba | |
aarch64-linux cgiserver | |
x86_64-linux python312Packages.datatable | |
x86_64-linux hobbits | |
aarch64-darwin vimPlugins.vim-crystal | |
x86_64-linux avro-c | |
x86_64-linux mfcl2740dwcupswrapper | |
aarch64-linux elasticmq-server-bin | |
aarch64-linux python311Packages.jaraco-functools | |
x86_64-darwin yuzuPackages.qtserialbus | |
x86_64-linux emacsPackages.kill-file-path | |
aarch64-darwin asar | |
aarch64-linux emacsPackages.clojure-ts-mode | |
x86_64-darwin python311Packages.open-garage | |
x86_64-darwin qt5.qtserialport | |
x86_64-linux chickenPackages_5.chickenEggs.coops | |
aarch64-linux python312Packages.dendropy | |
aarch64-linux haskellPackages.xml-conduit-writer | |
x86_64-darwin apkeep | |
aarch64-darwin perl536Packages.PARDist | |
x86_64-darwin python311Packages.mashumaro | |
aarch64-linux haskellPackages.predicates | |
x86_64-darwin haskellPackages.cborg | |
aarch64-darwin emacsPackages.nikola | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.ImageMagick | |
aarch64-linux python311Packages.georss-generic-client | |
aarch64-linux emacsPackages.intellij-theme | |
x86_64-linux python311Packages.minidb | |
aarch64-linux home-assistant-component-tests.elkm1 | |
x86_64-linux python311Packages.plyplus | |
aarch64-darwin perl538Packages.mod_perl2 | |
x86_64-darwin goocanvas3 | |
x86_64-darwin python311Packages.opentelemetry-semantic-conventions | |
aarch64-linux uftpd | |
x86_64-darwin haskellPackages.webex-teams-api | |
x86_64-linux linuxPackages_6_6_hardened.nvidia_x11_vulkan_beta | |
aarch64-linux xmrig-mo | |
x86_64-darwin mate.mate-power-manager | |
x86_64-linux haskellPackages.foundation-edge | |
aarch64-linux pass | |
x86_64-darwin haskellPackages.headroom | |
x86_64-darwin python311Packages.pytest-mpl | |
aarch64-darwin python312Packages.rencode | |
aarch64-darwin tests.install-shell-files.install-completion-output | |
x86_64-darwin yder | |
x86_64-linux python311Packages.pybalboa | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.rtl88xxau-aircrack | |
aarch64-darwin haskellPackages.lua-arbitrary | |
aarch64-darwin emacsPackages.totp | |
aarch64-linux libsForQt5.qtwebsockets | |
x86_64-linux cudaPackagesGoogle.nccl | |
x86_64-linux python311Packages.sarif-om | |
aarch64-darwin naabu | |
aarch64-linux linuxPackages_zen.trelay | |
aarch64-linux git-remote-codecommit | |
x86_64-darwin rhvoice | |
x86_64-linux optifinePackages.optifine_1_18_2 | |
aarch64-linux emacsPackages.tiny | |
x86_64-linux haskellPackages.hls-cabal-fmt-plugin | |
aarch64-linux gnome-video-effects | |
aarch64-darwin haskellPackages.ivar-simple | |
aarch64-darwin python312Packages.bravia-tv | |
x86_64-linux vit | |
x86_64-darwin rubyPackages_3_3.jekyll-theme-architect | |
x86_64-linux python312Packages.mypy-boto3-lexv2-runtime | |
x86_64-linux haskellPackages.proctest | |
x86_64-darwin libgpg-error-gen-posix-lock-obj | |
x86_64-darwin python311Packages.moviepy | |
aarch64-darwin haskellPackages.requirements | |
aarch64-darwin neosay | |
aarch64-linux hubicfuse | |
x86_64-linux chickenPackages_5.chickenEggs.brev | |
aarch64-linux libsForQt5.qtwebkit | |
aarch64-linux python311Packages.json-stream | |
x86_64-darwin perl536Packages.ClassRefresh | |
x86_64-linux haskellPackages.these-lens | |
aarch64-linux borg-sans-mono | |
x86_64-linux perl536Packages.LogTrace | |
x86_64-linux python312Packages.geojson-client | |
aarch64-linux python311Packages.platformdirs | |
aarch64-linux perl536Packages.CairoGObject | |
aarch64-linux cldr-annotations | |
x86_64-darwin python312Packages.pygnmi | |
aarch64-linux ldc | |
aarch64-linux perl536Packages.Filechdir | |
aarch64-linux plasma5Packages.qtserialbus | |
aarch64-linux rubyPackages_3_3.activemodel | |
x86_64-linux postgresql16JitPackages.age | |
aarch64-linux perl538Packages.AlienBuildPluginDownloadGitLab | |
aarch64-linux chickenPackages_5.chickenEggs.locals | |
aarch64-darwin perl536Packages.AnyMoose | |
x86_64-darwin vimPlugins.neotest-python | |
x86_64-linux libsForQt5.qttranslations | |
x86_64-linux haskellPackages.ghczdecode | |
aarch64-darwin python311Packages.peco | |
x86_64-darwin perl538Packages.TextCSV | |
x86_64-linux python311Packages.matplotlib | |
x86_64-darwin mullvad-closest | |
x86_64-linux magnetophonDSP.shelfMultiBand | |
x86_64-darwin emacsPackages.morrowind-theme | |
aarch64-linux plasma5Packages.kget | |
x86_64-linux haskellPackages.assert-failure | |
aarch64-linux lxqt.qtermwidget | |
aarch64-linux emacsPackages.rase | |
x86_64-linux home-assistant-component-tests.network | |
aarch64-darwin python312Packages.taskw | |
aarch64-linux python311Packages.pyfluidsynth | |
aarch64-darwin apacheKafka_3_3 | |
x86_64-darwin mt32emu-smf2wav | |
x86_64-darwin rman | |
aarch64-darwin rubyPackages_3_2.rbs | |
x86_64-linux python311Packages.pymysql | |
x86_64-darwin python311Packages.textual-universal-directorytree | |
aarch64-linux vimPlugins.ayu-vim | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.libssl | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.lapack | |
x86_64-linux cartridges | |
x86_64-darwin perl538Packages.DateTimeFormatStrptime | |
aarch64-linux vimPlugins.tmux-nvim | |
aarch64-darwin rubyPackages.charlock_holmes | |
aarch64-darwin python312Packages.cmdline | |
aarch64-linux xorg.xorgdocs | |
x86_64-linux libserialport | |
aarch64-linux pipenv | |
x86_64-linux python311Packages.pytest-metadata | |
aarch64-linux python312Packages.aiogram | |
x86_64-linux python312Packages.pytest-twisted | |
x86_64-darwin teler | |
aarch64-darwin haskellPackages.termbox-tea | |
x86_64-linux terraform-providers.openstack | |
aarch64-darwin python312Packages.dissect-ntfs | |
x86_64-darwin perl538Packages.CryptDES | |
aarch64-darwin python311Packages.markdown-include | |
x86_64-darwin perl536Packages.MouseXSimpleConfig | |
x86_64-linux python312Packages.argon2-cffi-bindings | |
aarch64-darwin llvmPackages_15.libstdcxxClang | |
aarch64-darwin perl538Packages.WWWRobotRules | |
aarch64-linux perl536Packages.HTMLGumbo | |
x86_64-linux wayst | |
aarch64-darwin python311Packages.types-aiobotocore-cognito-idp | |
aarch64-darwin emacsPackages.gitolite-clone | |
x86_64-linux python312Packages.bsdiff4 | |
x86_64-darwin colorz | |
x86_64-linux haskellPackages.hasql-pool_0_10_0_1 | |
aarch64-linux perl536Packages.EncodeJIS2K | |
x86_64-darwin python311Packages.mypy-boto3-codeguru-security | |
aarch64-linux python312Packages.adafruit-platformdetect | |
x86_64-darwin python312Packages.mypy-boto3-evidently | |
x86_64-linux postgresql13JitPackages.pgrouting | |
aarch64-linux home-assistant-component-tests.blackbird | |
x86_64-linux haskellPackages.one-time-password | |
aarch64-linux frida-tools | |
x86_64-linux python312Packages.werkzeug | |
x86_64-linux emacsPackages.timesheet | |
aarch64-linux python311Packages.python-bsblan | |
aarch64-darwin nip2 | |
aarch64-linux vimPlugins.mkdx | |
x86_64-darwin perl536Packages.HTMLParser | |
aarch64-linux emacsPackages.toggle-quotes | |
x86_64-darwin python311Packages.niapy | |
x86_64-darwin binserve | |
x86_64-darwin haskellPackages.openal-ffi | |
x86_64-linux emacsPackages.capnp-mode | |
x86_64-darwin xteve | |
aarch64-darwin python312Packages.aiooss2 | |
aarch64-darwin python312Packages.python-frontmatter | |
aarch64-darwin emacsPackages.poet-theme | |
aarch64-linux linuxKernel.packages.linux_libre.zfsUnstable | |
aarch64-darwin perl536Packages.LocaleTextDomainOOUtil | |
x86_64-linux caffe | |
x86_64-darwin haskellPackages.wiringPi | |
aarch64-darwin chickenPackages_5.chickenEggs.sxml-transforms | |
aarch64-linux aspellDicts.sk | |
x86_64-darwin matrix-appservice-slack | |
x86_64-darwin ldmud-full | |
x86_64-linux vivictpp | |
x86_64-darwin ammonite | |
aarch64-linux mailcheck | |
aarch64-darwin haskellPackages.opentheory-primitive | |
x86_64-linux grafana-dash-n-grab | |
x86_64-darwin vimPlugins.deoplete-github | |
aarch64-linux vimPlugins.telescope-fzf-writer-nvim | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.uxntal | |
x86_64-linux perl538Packages.ModuleBuildPluggableCPANfile | |
x86_64-linux python312Packages.tubeup | |
x86_64-darwin python311Packages.sqlalchemy-jsonfield | |
x86_64-linux linuxKernel.packages.linux_hardened.broadcom_sta | |
aarch64-linux hatari | |
x86_64-darwin mate.mate-polkit | |
x86_64-darwin haskellPackages.wai-handler-launch | |
x86_64-darwin lua53Packages.luasec | |
aarch64-darwin python312Packages.nutils | |
aarch64-linux dex-oidc | |
x86_64-linux cloudbrute | |
x86_64-linux python312Packages.flask-api | |
x86_64-linux riemann_c_client | |
x86_64-linux python311Packages.rstcheck-core | |
x86_64-linux python311Packages.tblite | |
aarch64-darwin python312Packages.thorlabspm100 | |
x86_64-linux xorg.libXpresent | |
aarch64-linux libsForQt5.parachute | |
aarch64-darwin plasma5Packages.qmltermwidget | |
aarch64-darwin python311Packages.greynoise | |
x86_64-linux python312Packages.mdformat-tables | |
x86_64-linux gopass-hibp | |
x86_64-linux liblbfgs | |
aarch64-linux perl538Packages.ConfigAny | |
aarch64-linux python312Packages.azure-mgmt-appcontainers | |
x86_64-linux vimPlugins.vim-airline | |
x86_64-darwin cppzmq | |
aarch64-darwin python311Packages.pyqt5 | |
x86_64-linux podofo010 | |
aarch64-linux rtfm | |
aarch64-linux emacsPackages.parser-generator | |
x86_64-darwin qt6.qttools | |
x86_64-linux perl538Packages.OpenOfficeOODoc | |
x86_64-linux python311Packages.dissect-btrfs | |
aarch64-linux python311Packages.pymacaroons | |
x86_64-darwin haskellPackages.tree-fun | |
x86_64-darwin perl536Packages.DataSpreadPagination | |
aarch64-darwin charybdis | |
aarch64-linux haskell.compiler.ghc90 | |
aarch64-linux kibi | |
x86_64-darwin python311Packages.id | |
x86_64-darwin emacsPackages.toggle-window | |
x86_64-linux emacsPackages.calmer-forest-theme | |
x86_64-linux hpccm | |
aarch64-darwin python312Packages.pygeos | |
x86_64-linux libsForQt5.qt5.qtwebview | |
x86_64-linux mp3cat | |
aarch64-linux haskellPackages.hpc-threshold | |
aarch64-darwin haskellPackages.constraint-classes | |
x86_64-darwin perl538Packages.MP3Info | |
x86_64-darwin vimPlugins.sky-color-clock-vim | |
x86_64-linux python312Packages.strct | |
aarch64-linux ccrtp | |
aarch64-linux linuxKernel.packages.linux_latest_libre.systemtap | |
x86_64-linux hue-cli | |
x86_64-linux python311Packages.ezyrb | |
x86_64-darwin open-in-mpv | |
x86_64-darwin emacsPackages.ac-slime | |
x86_64-linux redland | |
x86_64-linux utox | |
aarch64-darwin prevo-tools | |
x86_64-linux python312Packages.bless | |
aarch64-linux python312Packages.flask-caching | |
aarch64-darwin python312Packages.types-aiobotocore-docdb | |
aarch64-darwin perl536Packages.CryptPBKDF2 | |
x86_64-darwin haskellPackages.hextream | |
aarch64-linux emacsPackages.focus | |
x86_64-darwin python312Packages.autocommand | |
aarch64-darwin xorg.fontbh100dpi | |
x86_64-darwin spooles | |
aarch64-linux haskellPackages.lz4 | |
aarch64-linux haskellPackages.dotnet-timespan | |
x86_64-linux i3a | |
x86_64-darwin sayonara | |
aarch64-darwin emacsPackages.python-x | |
aarch64-darwin regols | |
x86_64-linux haskellPackages.text-format-simple | |
aarch64-linux emacsPackages.cpputils-cmake | |
x86_64-linux linuxPackages_6_5_hardened.rtl88xxau-aircrack | |
aarch64-darwin ddns-go | |
x86_64-linux perl538Packages.MooseXRunnable | |
x86_64-linux emacsPackages.nushell-ts-mode | |
x86_64-darwin perl538Packages.DigestSRI | |
x86_64-darwin emacsPackages.esmond-theme | |
x86_64-darwin python312Packages.lazr-delegates | |
x86_64-darwin plasma5Packages.qt5.qtmultimedia | |
aarch64-linux postgresql12JitPackages.pg_hint_plan | |
x86_64-darwin emacsPackages.nord-theme | |
aarch64-linux home-assistant-component-tests.airtouch4 | |
x86_64-darwin xorg.libXfixes | |
x86_64-linux libsForQt5.plasma-browser-integration | |
aarch64-linux perl538Packages.PPIxRegexp | |
aarch64-darwin python311Packages.pyramid-multiauth | |
x86_64-linux python311Packages.pyinfra | |
aarch64-darwin python311Packages.types-aiobotocore-support-app | |
aarch64-darwin python311Packages.pyzufall | |
aarch64-linux haskellPackages.bktrees | |
x86_64-darwin python311Packages.mypy-boto3-pinpoint-sms-voice | |
aarch64-darwin haskellPackages.fileplow | |
aarch64-linux python311Packages.oldest-supported-numpy | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.rtl8189es | |
aarch64-linux emacsPackages.elfeed-curate | |
x86_64-linux sile | |
x86_64-linux libunarr | |
aarch64-linux libva1 | |
aarch64-darwin python312Packages.roku | |
x86_64-darwin root | |
x86_64-linux python312Packages.djangorestframework-simplejwt | |
aarch64-linux ghauri | |
x86_64-darwin python311Packages.uharfbuzz | |
aarch64-linux cntlm | |
x86_64-darwin python312Packages.stickytape | |
aarch64-linux haskellPackages.version-natural-dhall-instance | |
aarch64-linux python312Packages.txzmq | |
aarch64-darwin rubyPackages_3_3.tty-command | |
x86_64-darwin python312Packages.fusepy | |
x86_64-linux haskellPackages.timelens | |
x86_64-linux python312Packages.libxml2 | |
x86_64-darwin python311Packages.mypy-boto3-imagebuilder | |
aarch64-linux aaaaxy | |
aarch64-linux python312Packages.pip-tools | |
x86_64-linux haskellPackages.tibetan-utils | |
aarch64-darwin python312Packages.mypy-boto3-personalize-events | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.oci-seccomp-bpf-hook | |
aarch64-linux esptool-ck | |
x86_64-linux corosync | |
aarch64-darwin verifpal | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.llvm | |
aarch64-darwin link-grammar | |
x86_64-linux perl536Packages.ArrayFIFO | |
aarch64-darwin emacsPackages.demap | |
aarch64-darwin rubyPackages_3_1.jaro_winkler | |
x86_64-darwin bililiverecorder | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.zfsStable | |
x86_64-linux emacsPackages.helm-bibtexkey | |
aarch64-darwin rubyPackages_3_2.hashie | |
x86_64-linux dioxus-cli | |
x86_64-darwin emacsPackages.elwm | |
aarch64-linux minetest | |
aarch64-darwin bitcoind-unlimited | |
x86_64-darwin perl536Packages.URITemplate | |
x86_64-linux python311Packages.ecpy | |
aarch64-linux haskellPackages.gtk3 | |
aarch64-darwin python312Packages.mypy-boto3-workspaces-web | |
aarch64-darwin python312Packages.rpmfluff | |
aarch64-linux emacsPackages.flycheck-grammarly | |
x86_64-darwin emacsPackages.vale-mode | |
x86_64-linux python312Packages.soapysdr | |
aarch64-linux tcat | |
x86_64-darwin haskellPackages.xmlgen | |
x86_64-linux plasma5Packages.qtserialport | |
x86_64-linux python312Packages.compiledb | |
x86_64-linux luaPackages.haskell-tools-nvim | |
x86_64-linux perl538Packages.MathFibonacci | |
aarch64-linux haskellPackages.tasty-bench | |
x86_64-darwin plasma5Packages.qwt | |
aarch64-linux cargo-duplicates | |
x86_64-linux perl536Packages.ModuleBuild | |
aarch64-darwin python311Packages.secp256k1 | |
aarch64-linux nordzy-cursor-theme | |
aarch64-darwin emacsPackages.muse | |
x86_64-linux perl536Packages.ConfigStd | |
x86_64-darwin haskellPackages.hsharc | |
x86_64-darwin sloc | |
x86_64-darwin python312Packages.biliass | |
x86_64-darwin haskellPackages.ghc-symbol | |
aarch64-darwin rubyPackages.cocoapods-keys | |
x86_64-linux python311Packages.types-aiobotocore-dataexchange | |
x86_64-darwin emacsPackages.speed-type | |
aarch64-darwin python312Packages.aioasuswrt | |
aarch64-darwin vimPlugins.cmp-npm | |
aarch64-darwin haskellPackages.mnist-idx-conduit | |
aarch64-linux gopls | |
x86_64-darwin postgresql12JitPackages.smlar | |
x86_64-darwin python311Packages.tomli | |
x86_64-linux jdt-language-server | |
x86_64-linux flycast | |
x86_64-darwin perl536Packages.Furl | |
x86_64-darwin libmysqlclient_3_1 | |
aarch64-linux lxqt.qtxdg-tools | |
aarch64-darwin vimPlugins.vim-mergetool | |
x86_64-linux python311Packages.mandown | |
aarch64-darwin lua52Packages.std-_debug | |
x86_64-darwin haskellPackages.strict-base | |
x86_64-darwin perl536Packages.ScalarListUtils | |
aarch64-linux emacsPackages.helm-kythe | |
aarch64-darwin tandoor-recipes | |
x86_64-darwin luajitPackages.luabitop | |
aarch64-linux rubyPackages_3_2.net-smtp | |
x86_64-darwin k8sgpt | |
x86_64-linux cringify | |
aarch64-darwin python311Packages.django-appconf | |
aarch64-linux python311Packages.jaraco-context | |
aarch64-linux python311Packages.types-aiobotocore-discovery | |
x86_64-linux python312Packages.curtsies | |
x86_64-linux imgp | |
aarch64-linux lua53Packages.plenary-nvim | |
aarch64-linux python312Packages.google-cloud-iot | |
aarch64-linux libsForQt5.knights | |
aarch64-linux python311Packages.dissononce | |
x86_64-linux vimPlugins.codeium-nvim | |
aarch64-linux haskellPackages.extensible-exceptions | |
x86_64-darwin fftwFloat | |
x86_64-darwin python311Packages.tabview | |
aarch64-darwin vimPlugins.vim-capslock | |
x86_64-darwin emacsPackages.mqr | |
aarch64-linux libuv | |
x86_64-darwin llvmPackages_16.clangNoLibcxx | |
aarch64-darwin haskellPackages.ixset-typed-cassava | |
x86_64-darwin python311Packages.mypy-boto3-cloudformation | |
aarch64-linux linuxKernel.packages.linux_6_7.trelay | |
aarch64-linux home-assistant-component-tests.usgs_earthquakes_feed | |
aarch64-darwin clingcon | |
x86_64-darwin emacsPackages.cinspect | |
x86_64-darwin emacsPackages.sticky | |
x86_64-darwin perl536Packages.EmailDateFormat | |
aarch64-darwin scli | |
aarch64-linux vimPlugins.securemodelines | |
x86_64-darwin haskellPackages.setlocale | |
aarch64-linux perl538Packages.PPIxQuoteLike | |
aarch64-linux terraform-providers.acme | |
aarch64-darwin python312Packages.gmpy2 | |
x86_64-darwin haskellPackages.paymill | |
x86_64-darwin aldente | |
aarch64-linux python311Packages.miniupnpc | |
x86_64-darwin python312Packages.types-aiobotocore-sms | |
x86_64-darwin lua53Packages.loadkit | |
x86_64-darwin rubyPackages.marcel | |
aarch64-darwin llvmPackages_17.compiler-rt | |
x86_64-linux terraform-providers.secret | |
x86_64-darwin python312Packages.aws-lambda-builders | |
aarch64-darwin haskellPackages.derive-storable-plugin | |
x86_64-linux linuxKernel.packages.linux_5_15.intel-speed-select | |
aarch64-linux lxdvdrip | |
aarch64-darwin python312Packages.robotframework | |
aarch64-linux apacheHttpdPackages_2_4.mod_timestamp | |
aarch64-linux scorched3d | |
aarch64-linux perl538Packages.PerlIOeol | |
x86_64-linux emacsPackages.recentf-remove-sudo-tramp-prefix | |
aarch64-linux luajitPackages.luuid | |
x86_64-linux perl538Packages.EmailSimple | |
x86_64-linux shotgun | |
x86_64-darwin python311Packages.bitvavo-aio | |
aarch64-darwin python311Packages.u-msgpack-python | |
aarch64-linux coqPackages.InfSeqExt | |
aarch64-linux haskellPackages.numeric-tools | |
x86_64-linux catdvi | |
x86_64-linux systemdMinimal | |
x86_64-linux haskellPackages.hls-call-hierarchy-plugin | |
x86_64-darwin python311Packages.ttls | |
aarch64-darwin blockbook | |
x86_64-darwin python311Packages.python-memcached | |
aarch64-darwin mqttui | |
aarch64-darwin python312Packages.mongoengine | |
x86_64-linux python311Packages.resampy | |
aarch64-darwin rivet | |
x86_64-darwin haskellPackages.poly-rec | |
aarch64-linux emacsPackages.elisp-demos | |
aarch64-linux python311Packages.slither-analyzer | |
aarch64-linux b612 | |
x86_64-linux python312Packages.pyxiaomigateway | |
aarch64-linux zircolite | |
x86_64-darwin perl538Packages.AlienLibGumbo | |
x86_64-linux sslh | |
aarch64-linux antlr4_13 | |
x86_64-darwin haskellPackages.amazonka-marketplace-entitlement | |
aarch64-linux python311Packages.kafka-python | |
x86_64-linux python311Packages.xboxapi | |
aarch64-linux sfml | |
x86_64-darwin python311Packages.sunpy | |
x86_64-linux bpp-phyl | |
aarch64-darwin rubyPackages_3_1.liquid | |
aarch64-linux haskellPackages.amazonka-sdb | |
x86_64-linux python311Packages.inquirerpy | |
x86_64-linux linuxPackages_xanmod_stable.digimend | |
x86_64-linux emacsPackages.elscreen-separate-buffer-list | |
x86_64-darwin telescope | |
aarch64-darwin haskellPackages.temporal-media | |
aarch64-darwin sandboxfs | |
aarch64-linux bitlbee-steam | |
aarch64-linux haskellPackages.jwt | |
aarch64-linux python311Packages.backcall | |
aarch64-linux python312Packages.construct | |
aarch64-linux haskellPackages.patat | |
aarch64-linux linuxKernel.kernels.linux_rpi4 | |
aarch64-darwin ladybird | |
x86_64-linux emacsPackages.netrunner | |
x86_64-darwin rubyPackages.ruby-progressbar | |
x86_64-linux linuxPackages_lqx.turbostat | |
aarch64-linux edwood | |
aarch64-linux perl538Packages.NetINET6Glue | |
aarch64-darwin libsForQt5.qttools | |
aarch64-linux chickenPackages_5.chickenEggs.sha1 | |
x86_64-darwin python311Packages.types-aiobotocore-macie2 | |
aarch64-darwin python312Packages.readchar | |
aarch64-linux asymptote | |
aarch64-darwin python311Packages.aioprocessing | |
x86_64-linux linuxPackages_5_15_hardened.veikk-linux-driver | |
aarch64-linux perl536Packages.FileSlurper | |
aarch64-linux python311Packages.keepkey | |
x86_64-linux python311Packages.mypy-boto3-cognito-sync | |
aarch64-linux vimPlugins.neoscroll-nvim | |
x86_64-darwin terraform-providers.skytap | |
aarch64-darwin vimPlugins.vim-pandoc-syntax | |
x86_64-linux perl538Packages.MailSPF | |
x86_64-darwin imagelol | |
aarch64-linux home-assistant-component-tests.radiotherm | |
x86_64-linux emacsPackages.bracketed-paste | |
aarch64-linux activate-linux | |
x86_64-linux python311Packages.kivy | |
aarch64-linux bear | |
aarch64-linux emacsPackages.leader-key | |
x86_64-darwin python311Packages.std2 | |
aarch64-darwin cddiscid | |
aarch64-linux python311Packages.mypy-boto3-appsync | |
x86_64-darwin python311Packages.aioimaplib | |
x86_64-darwin python311Packages.curtsies | |
x86_64-darwin vimPlugins.cmp-path | |
aarch64-linux python312Packages.flask-swagger-ui | |
x86_64-linux abseil-cpp | |
aarch64-darwin python311Packages.linkify-it-py | |
aarch64-darwin liblognorm | |
aarch64-darwin rubyPackages.cocoapods-fix-react-native | |
aarch64-linux simh | |
x86_64-darwin haskellPackages.amazonka-redshift | |
x86_64-darwin python312Packages.ufolint | |
x86_64-darwin emacsPackages.flexoki-themes | |
aarch64-linux vimPlugins.vim-hybrid | |
x86_64-darwin perl538Packages.LocaleGettext | |
aarch64-darwin python312Packages.python-djvulibre | |
x86_64-linux haskellPackages.pqueue | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.rtl88xxau-aircrack | |
x86_64-linux gnomeExtensions.always-show-workspace-thumbnails | |
aarch64-linux python312Packages.types-aiobotocore-lexv2-runtime | |
aarch64-linux haskellPackages.doctemplates | |
x86_64-darwin python311Packages.pyexcel-xls | |
x86_64-darwin llvmPackages.clangNoLibc | |
aarch64-linux perl536Packages.ClassAccessorChained | |
x86_64-linux dcraw | |
x86_64-linux libsepol | |
x86_64-linux templ | |
x86_64-linux python311Packages.onlykey-solo-python | |
aarch64-linux greybird | |
aarch64-linux emacsPackages.helm-taskswitch | |
aarch64-darwin luaPackages.luadbi-mysql | |
aarch64-darwin haskellPackages.ProxN | |
aarch64-darwin python312Packages.ld2410-ble | |
x86_64-darwin influxdb | |
x86_64-linux symfony-cli | |
x86_64-linux p4est-sc-dbg | |
x86_64-linux mbedtls_2 | |
aarch64-linux postgresql13JitPackages.pg_cron | |
x86_64-linux heartbeat7 | |
aarch64-linux python312Packages.slob | |
x86_64-linux python312Packages.nad-receiver | |
aarch64-darwin emacsPackages.github-theme | |
aarch64-darwin python312Packages.simplemma | |
aarch64-linux python311Packages.anyio | |
aarch64-darwin python312Packages.versiontools | |
aarch64-darwin opencsg | |
aarch64-linux home-assistant-component-tests.airly | |
aarch64-linux rust-bindgen | |
x86_64-darwin rubyPackages.gpgme | |
aarch64-darwin clang-tools_13 | |
aarch64-darwin tailor | |
aarch64-darwin python311Packages.atomicwrites | |
aarch64-linux python311Packages.hypothesis | |
x86_64-darwin intltool | |
x86_64-darwin docker_24 | |
x86_64-linux python311Packages.python-swiftclient | |
x86_64-darwin rlwrap | |
aarch64-darwin python311Packages.pyisemail | |
x86_64-linux perl536Packages.HashOrdered | |
x86_64-darwin python311Packages.css-inline | |
aarch64-linux python311Packages.ssdeep | |
aarch64-darwin wambo | |
aarch64-linux home-assistant-component-tests.metoffice | |
x86_64-linux vimPlugins.bufdelete-nvim | |
x86_64-linux haskellPackages.include-file | |
aarch64-darwin python312Packages.django-stubs-ext | |
aarch64-darwin python311Packages.md2gemini | |
x86_64-linux vimPlugins.vim-qf | |
aarch64-linux python311Packages.msg-parser | |
x86_64-linux oil-python | |
aarch64-linux f1_8 | |
aarch64-darwin haskellPackages.flush-queue | |
aarch64-linux python311Packages.oci | |
x86_64-linux vscode-extensions.dart-code.dart-code | |
aarch64-linux python312Packages.authcaptureproxy | |
aarch64-linux lua54Packages.middleclass | |
aarch64-linux gnuplot_qt | |
x86_64-darwin python311Packages.merge3 | |
aarch64-linux python312Packages.offtrac | |
x86_64-darwin tomlcpp | |
aarch64-darwin python311Packages.advocate | |
aarch64-linux python311Packages.patsy | |
x86_64-linux python311Packages.types-aiobotocore-cloudhsmv2 | |
aarch64-darwin emacsPackages.rigid-tabs | |
x86_64-darwin haskellPackages.amazonka-cloudfront | |
x86_64-darwin haskellPackages.patience | |
x86_64-linux perl538Packages.TestPodLinkCheck | |
aarch64-darwin sysprof | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.formw | |
aarch64-darwin python311Packages.fordpass | |
x86_64-darwin coqPackages.metacoq-erasure | |
x86_64-linux emacsPackages.podcaster | |
aarch64-linux linuxKernel.packages.linux_zen.ena | |
x86_64-darwin emacsPackages.dired-explorer | |
x86_64-darwin python311Packages.ofxparse | |
x86_64-darwin python311Packages.types-aiobotocore-groundstation | |
aarch64-linux python312Packages.greatfet | |
x86_64-linux emacsPackages.org-tree-slide-pauses | |
aarch64-linux corepack_20 | |
aarch64-linux kitsas | |
aarch64-linux python311Packages.dask-glm | |
aarch64-linux python311Packages.pypca | |
aarch64-darwin python311Packages.types-aiobotocore-redshift-data | |
x86_64-linux emacsPackages.flycheck-flow | |
aarch64-linux linuxPackages_xanmod.veikk-linux-driver | |
x86_64-darwin iperf2 | |
x86_64-darwin vimPlugins.nvim-julia-autotest | |
aarch64-darwin haskellPackages.Peano | |
x86_64-darwin python311Packages.google-cloud-vpc-access | |
aarch64-darwin black | |
aarch64-darwin haskellPackages.foobar | |
aarch64-linux conda | |
x86_64-linux python312Packages.python-keystoneclient | |
aarch64-linux perl538Packages.StatisticsBasic | |
aarch64-linux home-assistant-component-tests.bayesian | |
x86_64-darwin aspellDicts.pl | |
aarch64-darwin python311Packages.tempest | |
x86_64-darwin perl536Packages.MojoliciousPluginTemplateToolkit | |
aarch64-darwin python312Packages.mypy-boto3-macie | |
x86_64-darwin python312Packages.nbformat | |
aarch64-linux scanbd | |
x86_64-linux haskellPackages.quickcheck-enum-instances | |
aarch64-darwin python311Packages.ariadne | |
aarch64-linux python311Packages.libarcus | |
x86_64-linux salt | |
aarch64-linux vimPlugins.yuck-vim | |
x86_64-darwin openorienteering-mapper | |
aarch64-linux home-assistant-component-tests.mobile_app | |
x86_64-linux gnome2.libart_lgpl | |
x86_64-linux python312Packages.vprof | |
x86_64-darwin perl536Packages.AnyURIEscape | |
aarch64-linux python312Packages.cson | |
aarch64-linux python312Packages.rich-click | |
aarch64-darwin getdata | |
x86_64-linux androidStudioPackages.dev | |
x86_64-darwin mas | |
aarch64-linux coffeegrindsize | |
x86_64-darwin haskellPackages.peano-inf | |
aarch64-darwin python311Packages.mdformat-simple-breaks | |
x86_64-darwin resgate | |
aarch64-darwin python311Packages.sybil | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.glut | |
aarch64-linux python311Packages.pytest-tornasync | |
aarch64-linux python312Packages.litemapy | |
x86_64-darwin python311Packages.timecop | |
aarch64-linux python312Packages.capturer | |
x86_64-darwin haskellPackages.fuzzy-time-gen | |
x86_64-linux perl536Packages.ExcelWriterXLSX | |
aarch64-darwin perl536Packages.AlgorithmMerge | |
x86_64-darwin trurl | |
aarch64-darwin chickenPackages_5.chickenEggs.ipfs | |
x86_64-linux perl536Packages.MooseXOneArgNew | |
aarch64-linux python311Packages.aiorun | |
aarch64-linux librealsenseWithoutCuda | |
x86_64-darwin haskellPackages.xmonad-utils | |
x86_64-linux linuxPackages_xanmod_stable.chipsec | |
aarch64-linux python312Packages.pymorphy3 | |
x86_64-linux python312Packages.types-aiobotocore-lightsail | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.Qt5Test | |
x86_64-darwin gimme-aws-creds | |
x86_64-linux lua51Packages.basexx | |
x86_64-linux grail | |
x86_64-linux haskellPackages.language-javascript | |
x86_64-linux python311Packages.tensorrt | |
aarch64-linux python311Packages.wheezy-template | |
x86_64-linux cudaPackages.libnpp | |
aarch64-linux haskellPackages.fft | |
aarch64-linux perl538Packages.DBDSQLite | |
aarch64-linux libsForQt5.qt5.qtmultimedia | |
x86_64-darwin ugarit-manifest-maker | |
aarch64-linux linuxPackages_4_19_hardened.veikk-linux-driver | |
x86_64-linux python311Packages.phx-class-registry | |
aarch64-linux sigal | |
aarch64-linux ddccontrol-db | |
x86_64-linux python312Packages.lazy-imports | |
aarch64-linux emacsPackages.other-frame-window | |
aarch64-linux python312Packages.morphys | |
aarch64-linux python311Packages.google-cloud-access-context-manager | |
x86_64-linux emacsPackages.shr-tag-pre-highlight | |
x86_64-darwin git-remote-codecommit | |
x86_64-linux chickenPackages_5.chickenEggs.simple-contracts | |
aarch64-linux emacsPackages.sunriseCommander | |
aarch64-darwin kor | |
x86_64-linux emacsPackages.simple-httpd | |
x86_64-linux home-assistant-component-tests.obihai | |
x86_64-linux python311Packages.types-aiobotocore-firehose | |
x86_64-darwin python312Packages.google | |
x86_64-linux python312Packages.siobrultech-protocols | |
aarch64-darwin unar | |
aarch64-darwin plasma5Packages.kdesignerplugin | |
aarch64-darwin tests.cc-wrapper.llvmTests.llvmPackages_16.libcxx | |
x86_64-darwin python311Packages.scikits-samplerate | |
aarch64-linux haskellPackages.binary-parser | |
x86_64-darwin python311Packages.gemfileparser2 | |
aarch64-darwin crackmapexec | |
aarch64-linux linuxKernel.packages.linux_6_7.lenovo-legion-module | |
aarch64-darwin haskellPackages.loop | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.tlaplus | |
x86_64-linux emacsPackages.kkp | |
x86_64-linux farge | |
x86_64-linux idrisPackages.xhr | |
aarch64-linux haskellPackages.netpbm | |
aarch64-linux python312Packages.mypy-boto3-lookoutmetrics | |
x86_64-darwin vimPlugins.vim-puppet | |
x86_64-linux python312Packages.aio-geojson-nsw-rfs-incidents | |
x86_64-darwin python312Packages.mypy-boto3-cur | |
x86_64-linux dotnetPackages.Nuget | |
x86_64-darwin haskellPackages.mwc-random | |
aarch64-linux linuxPackages_6_5_hardened.openrazer | |
x86_64-linux python312Packages.django-parler | |
x86_64-linux linuxPackages_4_19_hardened.rtl88x2bu | |
aarch64-darwin python312Packages.py-sonic | |
x86_64-linux hylafaxplus | |
x86_64-darwin libsForQt5.qt5.qtwebchannel | |
x86_64-darwin python311Packages.aiowebostv | |
aarch64-linux amule-web | |
aarch64-darwin python312Packages.drms | |
x86_64-darwin vimPlugins.nvchad | |
x86_64-darwin emacsPackages.auth-source-1password | |
x86_64-darwin chipmunk | |
x86_64-darwin sic | |
x86_64-darwin python311Packages.nbmerge | |
aarch64-linux python311Packages.qudida | |
x86_64-linux sublime4 | |
x86_64-linux lua54Packages.vstruct | |
aarch64-linux python312Packages.clarifai-grpc | |
x86_64-darwin python311Packages.slob | |
x86_64-darwin radamsa | |
x86_64-linux elmPackages.elm-language-server | |
x86_64-darwin vimPlugins.vim-addon-signs | |
x86_64-linux python312Packages.pillowfight | |
x86_64-linux python312Packages.pyqt-builder | |
aarch64-linux python312Packages.nexia | |
aarch64-darwin vimPlugins.LazyVim | |
x86_64-darwin haskell.compiler.native-bignum.ghc92 | |
aarch64-darwin libsvm | |
aarch64-darwin emacsPackages.chee | |
aarch64-darwin python312Packages.google-cloud-monitoring | |
x86_64-linux phosh | |
aarch64-darwin lmp | |
x86_64-linux linuxPackages_4_19_hardened.nvidiabl | |
x86_64-linux emacsPackages.sakura-theme | |
x86_64-linux postgresql13Packages.pgvector | |
aarch64-linux python312Packages.cohere | |
aarch64-darwin lndhub-go | |
x86_64-linux python311Packages.cocotb-bus | |
aarch64-darwin gawkextlib.abort | |
aarch64-darwin python311Packages.nimfa | |
aarch64-linux perl538Packages.Gtk2 | |
aarch64-linux gt | |
x86_64-linux python312Packages.astroquery | |
x86_64-linux linuxKernel.packages.linux_latest_libre.vmware | |
aarch64-linux haskellPackages.indexed-free | |
aarch64-darwin perl538Packages.GDGraph | |
aarch64-darwin perl538Packages.UUIDURandom | |
aarch64-linux linuxPackages_5_10_hardened.zfsStable | |
x86_64-darwin adguardian | |
x86_64-linux linuxKernel.packages.linux_hardened.nvidiabl | |
x86_64-darwin python312Packages.openpyxl | |
aarch64-darwin perl538Packages.CLASS | |
x86_64-linux linuxKernel.packages.linux_5_15.kvmfr | |
aarch64-darwin ydict | |
x86_64-linux python311Packages.niworkflows | |
aarch64-linux emacsPackages.roguel-ike | |
aarch64-linux linuxPackages.rtl8812au | |
aarch64-linux haskellPackages.purebred-email | |
x86_64-linux squashfuse | |
aarch64-linux haskellPackages.static-bytes | |
x86_64-linux linuxPackages_hardened.ndiswrapper | |
aarch64-darwin python311Packages.htseq | |
aarch64-linux llvmPackages_17.mlir | |
x86_64-linux vimPlugins.lexima-vim | |
aarch64-linux emacsPackages.java-snippets | |
aarch64-linux libmysqlclient_3_3 | |
x86_64-linux sniglet | |
x86_64-darwin perl536Packages.perlldap | |
aarch64-darwin terraform-providers.age | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.netatop | |
aarch64-linux python311Packages.screed | |
aarch64-linux rubyPackages_3_1.safe_yaml | |
x86_64-darwin vimPlugins.comment-nvim | |
x86_64-darwin haskellPackages.binance-exports | |
aarch64-linux chickenPackages_5.chickenEggs.premodules | |
x86_64-darwin hostctl | |
x86_64-linux haskellPackages.hs-opentelemetry-instrumentation-hspec | |
aarch64-linux haskellPackages.netcode-io | |
aarch64-linux nuspell | |
x86_64-linux emacsPackages.project-treemacs | |
x86_64-darwin libbitcoin | |
aarch64-linux python312Packages.pydigiham | |
aarch64-linux emacsPackages.minesweeper | |
aarch64-darwin haskellPackages.conferer-aeson | |
x86_64-darwin haskellPackages.libffi | |
aarch64-linux home-assistant-component-tests.pvoutput | |
aarch64-darwin kodiPackages.libretro-fuse | |
aarch64-darwin litehtml | |
x86_64-darwin python312Packages.wasmerPackages.wasmer | |
x86_64-darwin haskellPackages.di-monad | |
x86_64-linux php82Extensions.grpc | |
aarch64-linux linuxKernel.packages.linux_6_6.digimend | |
x86_64-darwin mpc-qt | |
aarch64-darwin sigdigger | |
aarch64-linux ubootPinebookPro | |
aarch64-linux python312Packages.pynacl | |
x86_64-darwin haskellPackages.Unixutils | |
aarch64-linux perl538Packages.LWPUserAgentDNSHosts | |
x86_64-darwin perl536Packages.DataCompare | |
aarch64-darwin emacsPackages.gle-mode | |
x86_64-darwin rubyPackages_3_1.jekyll-spaceship | |
x86_64-linux emacsPackages.company-maxima | |
x86_64-linux emacsPackages.helm-rdefs | |
x86_64-darwin lnx | |
x86_64-darwin python311Packages.symengine | |
aarch64-linux python312Packages.types-aiobotocore-verifiedpermissions | |
x86_64-darwin cargo-web | |
x86_64-linux emacsPackages.yarn-mode | |
aarch64-darwin emacsPackages.bracketed-paste | |
aarch64-linux python311Packages.rasterio | |
aarch64-darwin emacsPackages.wallpreview | |
x86_64-darwin cntb | |
x86_64-linux clamav | |
x86_64-linux haskellPackages.postgresql-binary | |
x86_64-linux cargo-outdated | |
x86_64-darwin haskellPackages.prometheus | |
aarch64-linux python311Packages.nampa | |
aarch64-darwin python312Packages.smbprotocol | |
aarch64-linux python311Packages.gym-notices | |
x86_64-linux chickenPackages_5.chickenEggs.geo-utils | |
aarch64-linux haskellPackages.libnix | |
x86_64-darwin python312Packages.pyduotecno | |
x86_64-linux python312Packages.rcssmin | |
x86_64-linux python311Packages.deal | |
aarch64-linux opengrm-ngram | |
x86_64-linux python312Packages.requests-download | |
x86_64-linux haskellPackages.strict-list | |
x86_64-darwin python311Packages.tensorboard-data-server | |
aarch64-darwin haskellPackages.nimber | |
aarch64-linux haskellPackages.posix-error-codes | |
x86_64-linux ffado | |
aarch64-darwin python311Packages.scs | |
aarch64-darwin python311Packages.pyramid-exclog | |
aarch64-linux java-service-wrapper | |
x86_64-darwin perl538Packages.LexicalSealRequireHints | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.opensnitch-ebpf | |
x86_64-linux rubyPackages.forwardable-extended | |
x86_64-darwin python312Packages.azure-batch | |
aarch64-linux perl536Packages.PrometheusTinyShared | |
aarch64-linux linuxPackages_6_6_hardened.rtl8189es | |
x86_64-linux sherlock | |
aarch64-linux cdxgen | |
x86_64-linux vimPlugins.deol-nvim | |
x86_64-linux haskellPackages.SDL-image | |
aarch64-darwin python311Packages.beancount-docverif | |
x86_64-linux bandwidth | |
aarch64-darwin emacsPackages.pyvenv-auto | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.fennel | |
aarch64-darwin sss-cli | |
x86_64-darwin haskellPackages.styx | |
aarch64-darwin emacsPackages.evil-replace-with-char | |
x86_64-linux root | |
aarch64-darwin python311Packages.elasticsearch | |
aarch64-linux cargo-deb | |
aarch64-darwin python311Packages.georss-ingv-centro-nazionale-terremoti-client | |
aarch64-linux python311Packages.prisma | |
aarch64-linux python312Packages.javaproperties | |
x86_64-darwin python312Packages.screed | |
x86_64-darwin python311Packages.noise | |
aarch64-linux python311Packages.zigpy-deconz | |
x86_64-darwin perl538Packages.GooCanvas2CairoTypes | |
aarch64-darwin python312Packages.openllm-core | |
x86_64-linux vscode-extensions.ms-vscode.anycode | |
x86_64-linux mupdf | |
x86_64-linux haskellPackages.integer-roots | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.shufflecake | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.haskell_persistent | |
aarch64-darwin emacsPackages.le-thesaurus | |
aarch64-linux texinfo6_5 | |
aarch64-linux bitcoind-abc | |
aarch64-darwin gotify-desktop | |
x86_64-linux linuxPackages_6_1_hardened.linux-gpib | |
aarch64-darwin emacsPackages.ns-auto-titlebar | |
x86_64-darwin python311Packages.pysmf | |
x86_64-darwin corepack_20 | |
aarch64-linux luau | |
aarch64-linux perl536Packages.DBIxClassDynamicDefault | |
x86_64-darwin python311Packages.mypy-boto3-amplifyuibuilder | |
x86_64-darwin xorg.gccmakedep | |
x86_64-linux python312Packages.typical | |
x86_64-linux spacecookie | |
aarch64-linux perl538Packages.ClassAccessorChained | |
aarch64-darwin python311Packages.mergedb | |
aarch64-darwin python312Packages.google-cloud-asset | |
x86_64-darwin emacsPackages.smalltalk-mode | |
x86_64-linux luaPackages.luv | |
aarch64-linux python312Packages.python-flirt | |
x86_64-darwin python311Packages.cppe | |
aarch64-linux emacsPackages.helm-hunks | |
aarch64-darwin llvmPackages_12.clangNoCompilerRtWithLibc | |
x86_64-linux emacsPackages.session-async | |
aarch64-linux haskellPackages.aeson-warning-parser | |
x86_64-darwin wpscan | |
x86_64-linux python311Packages.dllogger | |
x86_64-linux imagelol | |
x86_64-linux perl536Packages.ClassFactory | |
x86_64-darwin cargo-bump | |
aarch64-darwin rerun | |
aarch64-linux python312Packages.types-aiobotocore-wisdom | |
x86_64-linux linuxKernel.packages.linux_libre.asus-ec-sensors | |
x86_64-linux professor | |
x86_64-linux python311Packages.sqlalchemy-views | |
aarch64-linux python311Packages.mypy-boto3-emr-containers | |
x86_64-darwin python312Packages.tcolorpy | |
aarch64-darwin perl536Packages.TestHarnessStraps | |
x86_64-darwin perl538Packages.NetCIDR | |
x86_64-linux linuxPackages_6_6_hardened.virtualbox | |
aarch64-linux linuxPackages_latest-libre.openrazer | |
x86_64-darwin python311Packages.sounddevice | |
aarch64-darwin perl538Packages.EncodePunycode | |
aarch64-linux python312Packages.types-aiobotocore-transfer | |
x86_64-darwin protoc-gen-tonic | |
aarch64-darwin terraform-providers.ansible | |
x86_64-darwin python312Packages.inlinestyler | |
x86_64-darwin davix-copy | |
x86_64-linux yamlfmt | |
x86_64-darwin emacsPackages.undo-tree | |
aarch64-linux munin | |
x86_64-darwin python311Packages.ircstates | |
x86_64-darwin gnome.gnome-clocks | |
aarch64-linux emacsPackages.capf-autosuggest | |
x86_64-darwin python311Packages.pytest-forked | |
x86_64-linux linuxKernel.packages.linux_6_6.bcc | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.odp-dpdk | |
x86_64-linux purescript | |
aarch64-linux emacsPackages.flycheck-apertium | |
x86_64-linux castget | |
aarch64-linux haskellPackages.multi-except | |
aarch64-linux t | |
x86_64-darwin perl538Packages.X11GUITest | |
aarch64-darwin libcifpp | |
aarch64-darwin emacsPackages.gvpr-mode | |
x86_64-linux bevelbar | |
aarch64-linux emacsPackages.engine-mode | |
aarch64-linux pinta | |
aarch64-linux python311Packages.pyvlx | |
x86_64-darwin emacsPackages.perfect-margin | |
x86_64-darwin python311Packages.bottleneck | |
aarch64-linux zitadel-tools | |
aarch64-darwin hyfetch | |
aarch64-linux linuxKernel.packages.linux_6_7.digimend | |
x86_64-linux lua54Packages.image-nvim | |
aarch64-linux python312Packages.curio | |
aarch64-linux emacsPackages.mandm-theme | |
aarch64-linux emacsPackages.sweeprolog | |
x86_64-darwin tomlc99 | |
x86_64-linux vimPlugins.doki-theme-vim | |
x86_64-darwin haskellPackages.conversion | |
x86_64-linux haskellPackages.effects | |
aarch64-linux haskellPackages.queue | |
aarch64-darwin perl538Packages.LocaleMaketextFuzzy | |
x86_64-darwin gnome-themes-extra | |
x86_64-darwin python311Packages.viennarna | |
x86_64-linux martin | |
x86_64-linux chiaki4deck | |
aarch64-linux python311Packages.sqlparse | |
aarch64-linux diod | |
aarch64-linux haskellPackages.reactive-jack | |
x86_64-linux emacsPackages.devdocs-browser | |
x86_64-linux python312Packages.hyrule | |
x86_64-darwin perl536Packages.Later | |
aarch64-darwin python312Packages.findimports | |
x86_64-linux pru | |
aarch64-darwin python312Packages.ntc-templates | |
x86_64-darwin haskellPackages.yaml | |
aarch64-darwin Xaw3d | |
x86_64-linux cinny | |
aarch64-darwin oh | |
x86_64-linux home-assistant-component-tests.hvv_departures | |
x86_64-darwin librest_1_0 | |
aarch64-darwin cargo-web | |
x86_64-darwin emacsPackages.proportional | |
x86_64-darwin python312Packages.can | |
x86_64-linux haskellPackages.hath | |
aarch64-linux python311Packages.aiostream | |
x86_64-darwin python311Packages.msprime | |
aarch64-linux linuxPackages_5_4_hardened.rtw88 | |
x86_64-linux haskellPackages.linux-mount | |
aarch64-darwin vimPlugins.tint-nvim | |
x86_64-linux linuxKernel.packages.linux_6_6.ply | |
aarch64-darwin emacsPackages.pbcopy | |
x86_64-darwin python312Packages.yolink-api | |
aarch64-linux terraform-providers.rabbitmq | |
aarch64-darwin haskellPackages.th-env | |
x86_64-darwin perl536Packages.TaskCatalystTutorial | |
x86_64-linux emacsPackages.async-backup | |
x86_64-linux qt5.qtnetworkauth | |
x86_64-darwin speedread | |
x86_64-linux offpunk | |
x86_64-darwin haskellPackages.logfloat | |
x86_64-darwin emacsPackages.pylint | |
x86_64-darwin fm | |
aarch64-linux emacsPackages.tickscript-mode | |
x86_64-linux bore | |
x86_64-darwin haskellPackages.console-style | |
aarch64-linux nrpl | |
aarch64-linux linuxKernel.packages.linux_zen.openafs | |
x86_64-linux perl536Packages.FileFinder | |
aarch64-darwin python312Packages.azure-mgmt-msi | |
aarch64-darwin haskellPackages.rampart | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.vmware | |
x86_64-linux haskellPackages.ghc-check | |
aarch64-darwin rubyPackages.og-corefoundation | |
x86_64-linux ezminc | |
x86_64-linux radamsa | |
x86_64-linux luaPackages.luarocksCheckHook | |
aarch64-darwin perl538Packages.MooseXStorage | |
x86_64-darwin haskellPackages.portray-prettyprinter | |
x86_64-darwin python312Packages.tahoma-api | |
x86_64-linux python311Packages.plexapi | |
x86_64-linux rofi-vpn | |
aarch64-linux rubyPackages.pwntools | |
aarch64-darwin lxi-tools-gui | |
x86_64-linux emacsPackages.pastehub | |
x86_64-darwin haskellPackages.truthful | |
x86_64-linux glxinfo | |
aarch64-linux way-displays | |
aarch64-darwin python312Packages.aioboto3 | |
aarch64-darwin perl536Packages.CGIEmulatePSGI | |
x86_64-linux python311Packages.hdfs | |
x86_64-linux python312Packages.pysigma-pipeline-sysmon | |
aarch64-linux perl536Packages.XSObjectMagic | |
aarch64-linux netproxrc | |
aarch64-linux python311Packages.openidc-client | |
x86_64-linux perl536Packages.IODigest | |
aarch64-darwin perl536Packages.SQLAbstract | |
aarch64-linux genxword | |
aarch64-linux haskellPackages.romkan | |
aarch64-linux linuxKernel.packages.linux_6_1.nvidia_x11_vulkan_beta_open | |
aarch64-linux haskellPackages.shake-ccjs | |
x86_64-linux python311Packages.botocore-stubs | |
aarch64-darwin rkpd2 | |
aarch64-darwin vimPlugins.cmp-buffer | |
aarch64-linux wprecon | |
aarch64-darwin haskellPackages.prometheus-client | |
aarch64-linux menumaker | |
x86_64-linux home-assistant-component-tests.youless | |
x86_64-linux ytt | |
aarch64-darwin haskellPackages.aivika | |
x86_64-darwin python312Packages.aiodns | |
aarch64-darwin haskellPackages.dirforest | |
aarch64-linux emacsPackages.el2org | |
aarch64-linux linuxKernel.packages.linux_6_5_hardened.fwts-efi-runtime | |
aarch64-darwin python312Packages.empy | |
aarch64-linux haskellPackages.leanpub-wreq | |
aarch64-linux rubyPackages_3_2.netrc | |
aarch64-linux coqPackages.metacoq-erasure | |
x86_64-linux lua51Packages.lua_cliargs | |
x86_64-darwin om4 | |
aarch64-darwin python311Packages.torch-audiomentations | |
x86_64-darwin piper-train | |
x86_64-linux python312Packages.nvdlib | |
aarch64-linux cudaPackages.cutensor | |
x86_64-linux python312Packages.nbconvert | |
aarch64-darwin python312Packages.swift | |
aarch64-linux pulseeffects-legacy | |
aarch64-darwin python312Packages.fasttext | |
aarch64-darwin python312Packages.permissionedforms | |
x86_64-linux chickenPackages_5.chickenEggs.salmonella | |
aarch64-darwin gen-license | |
aarch64-linux cargo-insta | |
x86_64-linux panopticon | |
x86_64-darwin phpactor | |
aarch64-darwin lklug-sinhala | |
x86_64-darwin haskellPackages.utility-ht | |
aarch64-linux heartbeat7 | |
x86_64-darwin libhandy_0 | |
aarch64-darwin python312Packages.flet | |
x86_64-linux linuxKernel.packages.linux_xanmod.rtl8189es | |
aarch64-linux python312Packages.pyramid-chameleon | |
x86_64-linux haskellPackages.IntGraph | |
x86_64-linux python311Packages.imantics | |
aarch64-linux linuxKernel.packages.linux_6_6.nct6687d | |
x86_64-linux cinnamon.xviewer | |
x86_64-darwin python311Packages.mkdocs-git-authors-plugin | |
aarch64-linux align | |
x86_64-darwin emacsPackages.buffer-move | |
x86_64-darwin python311Packages.types-aiobotocore-cognito-identity | |
x86_64-darwin python311Packages.gipc | |
aarch64-linux python312Packages.tracing | |
x86_64-linux python312Packages.peft | |
x86_64-darwin libsForQt5.qtwebsockets | |
x86_64-linux llvmPackages_9.clangNoLibcxx | |
x86_64-darwin dotnetCorePackages.sdk_8_0 | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.ply | |
aarch64-linux archivemount | |
aarch64-darwin rubyPackages_3_3.cairo-gobject | |
x86_64-darwin plasma5Packages.qca | |
aarch64-darwin python312Packages.ipaddr | |
aarch64-linux lua53Packages.mpack | |
x86_64-linux emacsPackages.gpr-mode | |
x86_64-linux chickenPackages_5.chickenEggs.pseudolists | |
aarch64-linux perl538Packages.TestRunValgrind | |
x86_64-linux linuxPackages_6_7_hardened.perf | |
x86_64-linux linuxPackages.mxu11x0 | |
x86_64-linux rftg | |
aarch64-linux emacsPackages.refine | |
aarch64-darwin python312Packages.pytest-twisted | |
aarch64-linux python311Packages.mayim | |
aarch64-darwin python311Packages.omnikinverter | |
aarch64-linux agdaPackages._1lab | |
x86_64-darwin emacsPackages.scss-mode | |
aarch64-darwin haskellPackages.eventsourcing | |
x86_64-darwin perl536Packages.TreeSimple | |
x86_64-linux llvmPackages_16.clangUseLLVM | |
x86_64-linux xorg.fontmiscmeltho | |
x86_64-darwin python311Packages.markdown-macros | |
aarch64-darwin python312Packages.python-dateutil | |
x86_64-linux haskellPackages.amazonka-mediapackage | |
x86_64-darwin python311Packages.plac | |
x86_64-linux ctypes_sh | |
aarch64-linux python312Packages.osc-sdk-python | |
x86_64-linux php81Extensions.dom | |
aarch64-darwin haskellPackages.telegram-bot-simple | |
x86_64-darwin emacsPackages.org-ros | |
aarch64-linux jotdown | |
aarch64-linux libtommath | |
aarch64-linux linuxPackages_xanmod_stable.mbp2018-bridge-drv | |
aarch64-darwin emacsPackages.govc | |
aarch64-darwin perl538Packages.ImageInfo | |
x86_64-linux emacsPackages.alert-toast | |
x86_64-linux haskellPackages.gjk2d | |
x86_64-linux gnomeExtensions.arrange-windows | |
x86_64-linux gnome.seahorse | |
x86_64-linux perl538Packages.AuthenPassphrase | |
x86_64-darwin perl536Packages.strictures | |
x86_64-linux perl538Packages.ModuleInstallReadmeMarkdownFromPod | |
x86_64-darwin perl538Packages.EmailOutlookMessage | |
aarch64-darwin python311Packages.navec | |
x86_64-linux lohit-fonts.telugu | |
x86_64-darwin python312Packages.keepalive | |
aarch64-linux libretro.picodrive | |
x86_64-linux emacsPackages.smart-comment | |
x86_64-darwin material-symbols | |
x86_64-linux python312Packages.snscrape | |
aarch64-darwin python312Packages.sphinx-intl | |
x86_64-linux haskellPackages.function-builder | |
aarch64-linux termtosvg | |
x86_64-linux graylogPlugins.twitter | |
aarch64-linux _9base | |
x86_64-darwin emacsPackages.lush-theme | |
aarch64-linux mailctl | |
aarch64-linux haskellPackages.x11-xinput | |
x86_64-darwin rubyPackages_3_3.tzinfo | |
aarch64-darwin emacsPackages.eimp | |
aarch64-linux haskellPackages.lazy-async | |
aarch64-linux python311Packages.webcolors | |
x86_64-linux python312Packages.types-aiobotocore-appmesh | |
aarch64-linux haskellPackages.monad-primitive | |
aarch64-linux python312Packages.mypy-boto3-apigatewayv2 | |
aarch64-linux perl538Packages.FennecLite | |
aarch64-darwin vimPlugins.splitjoin-vim | |
aarch64-linux python311Packages.rank-bm25 | |
x86_64-darwin perl538Packages.NetZooKeeper | |
x86_64-linux python311Packages.datadog | |
aarch64-darwin haskellPackages.deriving-trans | |
x86_64-linux rubyPackages.jekyll-theme-tactile | |
aarch64-linux home-assistant-component-tests.nibe_heatpump | |
aarch64-linux rubyPackages_3_2.link-header-parser | |
aarch64-linux lua52Packages.std-normalize | |
x86_64-darwin vimPlugins.vim-gist | |
x86_64-darwin emacsPackages.filelock | |
x86_64-darwin guile-chickadee | |
aarch64-linux xbrightness | |
x86_64-darwin console-bridge | |
aarch64-linux wf-config | |
aarch64-linux emacsPackages.ditz-mode | |
x86_64-linux perl538Packages.CryptPassphraseBcrypt | |
x86_64-linux rubyPackages_3_3.railties | |
x86_64-linux perl536Packages.MathInt64 | |
aarch64-darwin emacsPackages.ediprolog | |
x86_64-darwin perl538Packages.PodTidy | |
x86_64-darwin python312Packages.pytest-xvfb | |
x86_64-linux emacsPackages.ydk-mode | |
x86_64-darwin python311Packages.gentools | |
aarch64-darwin emacsPackages.flycheck-dedukti | |
aarch64-linux chickenPackages_5.chickenEggs.pandoc | |
x86_64-darwin python312Packages.imbalanced-learn | |
aarch64-linux python312Packages.cvelib | |
aarch64-darwin python312Packages.requirements-parser | |
x86_64-linux perl538Packages.CatalystPluginCacheHTTP | |
aarch64-linux chickenPackages_5.chickenEggs.fancypants | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.gdscript | |
aarch64-darwin python312Packages.scrapy-fake-useragent | |
x86_64-linux python312Packages.flatdict | |
aarch64-darwin python311Packages.pyaes | |
x86_64-darwin python312Packages.types-aiobotocore-support | |
x86_64-linux perl538Packages.SnowballNorwegian | |
aarch64-linux linuxPackages_6_1_hardened.r8168 | |
x86_64-linux haskellPackages.s-cargot-letbind | |
aarch64-linux emacsPackages.flycheck-tcl | |
aarch64-linux snowmachine | |
x86_64-darwin haskellPackages.hmatrix-gsl-stats | |
x86_64-linux kmsxx | |
aarch64-linux emacsPackages.mistty | |
aarch64-linux linuxPackages_xanmod.tuxedo-keyboard | |
aarch64-darwin python311Packages.spiderpy | |
aarch64-darwin emacsPackages.symbol-overlay | |
x86_64-linux pandoc-katex | |
aarch64-linux upx | |
aarch64-darwin haskellPackages.simplelru | |
aarch64-darwin emacsPackages.emms-player-mpv-jp-radios | |
aarch64-darwin libverto | |
x86_64-linux perl538Packages.DataValidateDomain | |
x86_64-linux wingpanel-indicator-ayatana | |
aarch64-linux yafetch | |
aarch64-linux python312Packages.adb-enhanced | |
aarch64-linux libfprint-2-tod1-goodix | |
x86_64-darwin haskellPackages.HDBC-sqlite3 | |
x86_64-linux libsForQt5.kpurpose | |
aarch64-linux aspellDicts.vi | |
aarch64-linux python311Packages.pywbem | |
x86_64-linux emacsPackages.helm-directory | |
x86_64-darwin haskellPackages.gh-pocket-knife | |
aarch64-linux linuxKernel.packages.linux_latest_libre.bcc | |
x86_64-darwin perl538Packages.EmailMIMEContentType | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.pug | |
x86_64-darwin vimPlugins.lightspeed-nvim | |
aarch64-darwin rubyPackages_3_3.keystone-engine | |
aarch64-darwin python312Packages.pycritty | |
x86_64-darwin haskellPackages.http-download_0_2_1_0 | |
aarch64-linux python312Packages.mypy-boto3-pinpoint-email | |
x86_64-linux python311Packages.permissionedforms | |
aarch64-darwin haskellPackages.exinst | |
x86_64-linux linuxPackages_xanmod.facetimehd | |
x86_64-darwin postgresql12Packages.promscale_extension | |
x86_64-linux srecord | |
aarch64-linux chickenPackages_5.chickenEggs.henrietta | |
aarch64-darwin python311Packages.requests-aws4auth | |
x86_64-linux haskellPackages.run-haskell-module | |
x86_64-linux haskellPackages.env-locale | |
aarch64-linux netsurf.libnsgif | |
aarch64-linux perlnavigator | |
x86_64-darwin python312Packages.uhi | |
x86_64-darwin python311Packages.ply | |
aarch64-darwin python311Packages.caio | |
aarch64-darwin python311Packages.spacy-legacy | |
x86_64-darwin python311Packages.awsipranges | |
x86_64-linux python311Packages.poolsense | |
aarch64-linux haskellPackages.bindings-parport | |
x86_64-darwin python312Packages.jupyterlab-server | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.graphql | |
aarch64-linux python312Packages.dnslib | |
x86_64-darwin vimPlugins.neocomplete-vim | |
aarch64-linux haskellPackages.day-comonoid | |
aarch64-darwin emacsPackages.php-cs-fixer | |
x86_64-linux eriscmd | |
x86_64-linux roam-research | |
aarch64-linux pbzip2 | |
aarch64-linux cinnamon.mint-artwork | |
x86_64-linux python312Packages.motionblinds | |
x86_64-darwin rubyPackages_3_1.dnsruby | |
x86_64-darwin python312Packages.azure-mgmt-botservice | |
aarch64-darwin python311Packages.classify-imports | |
aarch64-linux haskellPackages.digamma | |
aarch64-darwin python312Packages.proton-vpn-killswitch-network-manager | |
x86_64-linux python311Packages.argos-translate-files | |
aarch64-linux haskellPackages.truelevel | |
x86_64-linux beanstalkd | |
aarch64-darwin postgresqlJitPackages.pgtap | |
x86_64-linux python311Packages.quantities | |
x86_64-darwin emacsPackages.pspp-mode | |
aarch64-linux emacsPackages.format-sql | |
x86_64-darwin gofu | |
x86_64-darwin emacsPackages.toc-org | |
x86_64-linux python312Packages.pydantic-extra-types | |
aarch64-linux python312Packages.readability-lxml | |
aarch64-linux lua52Packages.luautf8 | |
x86_64-linux emacsPackages.helm-spaces | |
x86_64-linux perl536Packages.SysHostnameLong | |
x86_64-linux python311Packages.alarmdecoder | |
aarch64-linux haskellPackages.pareto-front | |
x86_64-linux emacsPackages.emamux-ruby-test | |
aarch64-linux python312Packages.aioruckus | |
x86_64-linux python312Packages.mypy-boto3-autoscaling | |
aarch64-linux python311Packages.mypy-protobuf | |
x86_64-linux python312Packages.apptools | |
aarch64-linux plasma5Packages.khotkeys | |
aarch64-darwin perl538Packages.CompilerLexer | |
aarch64-linux vimPlugins.vim-mergetool | |
aarch64-darwin beancount-ing-diba | |
aarch64-linux brickd | |
x86_64-linux haskellPackages.hssourceinfo | |
aarch64-darwin python311Packages.android-backup | |
aarch64-linux haskellPackages.aeson-qq | |
aarch64-darwin scaleway-cli | |
aarch64-linux python311Packages.blinker | |
aarch64-linux hypnotix | |
x86_64-darwin netsurf.libwapcaplet | |
aarch64-linux haskellPackages.generic-optics | |
aarch64-linux python312Packages.dockerfile-parse | |
aarch64-linux haskellPackages.streaming-pcap | |
aarch64-darwin fstar | |
aarch64-darwin coccinelle | |
x86_64-darwin emacsPackages.http-post-simple | |
x86_64-linux haskellPackages.hlrdb-core | |
x86_64-linux python312Packages.pydiscourse | |
x86_64-linux linuxKernel.packages.linux_4_19.rtw88 | |
aarch64-darwin haskellPackages.language-toolkit | |
x86_64-linux kubernetes-helmPlugins.helm-diff | |
aarch64-darwin emacsPackages.flycheck-nimsuggest | |
x86_64-linux linuxKernel.packages.linux_lqx.nvidia_x11_beta_open | |
aarch64-linux python311Packages.social-auth-app-django | |
x86_64-linux emacsPackages.phpt-mode | |
x86_64-darwin haskellPackages.partial-semigroup | |
aarch64-darwin ltc-tools | |
aarch64-linux plowshare | |
aarch64-darwin python311Packages.pyhaversion | |
aarch64-darwin sequoia-sqop | |
aarch64-darwin emacsPackages.helm-searcher | |
x86_64-darwin paperoni | |
aarch64-linux xfce.xfce4-terminal | |
x86_64-linux chickenPackages_5.chickenEggs.iterators | |
x86_64-darwin haskellPackages.commandert | |
aarch64-linux deepin.deepin-album | |
x86_64-darwin emacsPackages.let-alist | |
aarch64-linux haskellPackages.CheatSheet | |
aarch64-darwin python312Packages.morphys | |
aarch64-linux python312Packages.iso4217 | |
aarch64-linux cowsql | |
x86_64-darwin python312Packages.django-gravatar2 | |
aarch64-darwin haskellPackages.lattices | |
aarch64-darwin haskellPackages.welford-online-mean-variance | |
aarch64-linux backintime | |
x86_64-darwin perl538Packages.ClassObservable | |
aarch64-linux cgminer | |
x86_64-darwin haskellPackages.OpenGLRaw | |
x86_64-darwin python312Packages.snakebite | |
x86_64-linux haskellPackages.cirru-parser | |
aarch64-darwin python312Packages.trainer | |
aarch64-linux source-serif-pro | |
x86_64-linux python311Packages.pytest-click | |
x86_64-darwin perl536Packages.UserIdentity | |
x86_64-linux python311Packages.pygccxml | |
aarch64-linux lua53Packages.ldbus | |
aarch64-darwin haskellPackages.ordered-containers | |
aarch64-linux python311Packages.ansiconv | |
x86_64-linux perl536Packages.NetSNMP | |
aarch64-darwin python311Packages.txtai | |
x86_64-linux python312Packages.django-simple-history | |
x86_64-linux haskellPackages.shunya-library | |
x86_64-darwin ncompress | |
aarch64-darwin vimPlugins.haskell-scope-highlighting-nvim | |
x86_64-linux linuxKernel.packages.linux_5_15.system76-scheduler | |
aarch64-linux linuxPackages.decklink | |
x86_64-linux quodlibet | |
aarch64-darwin terraform-providers.vra7 | |
x86_64-darwin perl538Packages.MojoJWT | |
x86_64-linux terraform-providers.akamai | |
x86_64-darwin haskellPackages.gambler | |
x86_64-linux perl536Packages.XMLRegExp | |
aarch64-darwin emacsPackages.rtm | |
x86_64-darwin python311Packages.proxy-tools | |
aarch64-darwin python311Packages.mypy-boto3-lexv2-models | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.Qt5Widgets | |
x86_64-linux yacas-gui | |
x86_64-darwin python311Packages.pytibber | |
aarch64-linux espresso | |
aarch64-linux vimPlugins.csv-vim | |
aarch64-darwin haskellPackages.butcher | |
aarch64-linux haskellPackages.memscript | |
x86_64-linux haskellPackages.yesod-form-multi | |
x86_64-darwin emacsPackages.speeddating | |
x86_64-darwin llvmPackages_17.libcxx | |
aarch64-darwin haskellPackages.pa-json | |
x86_64-linux lsd | |
x86_64-darwin python312Packages.google-pasta | |
x86_64-linux sbclPackages.cl-store | |
aarch64-linux python312Packages.python-memcached | |
aarch64-darwin rubyPackages.kdl | |
aarch64-linux llvmPackages_13.clang-unwrapped | |
aarch64-linux perl538Packages.TestAssertions | |
x86_64-linux linuxKernel.packages.linux_5_10.gcadapter-oc-kmod | |
aarch64-darwin python311Packages.tgcrypto | |
aarch64-darwin bgpq3 | |
aarch64-linux rubyPackages_3_2.gdk_pixbuf2 | |
x86_64-darwin texinfo6_5 | |
aarch64-linux python312Packages.filedepot | |
x86_64-darwin emacsPackages.flow-minor-mode | |
aarch64-linux python312Packages.luftdaten | |
aarch64-linux python312Packages.tablib | |
x86_64-linux haskellPackages.streaming-eversion | |
aarch64-darwin zincsearch | |
x86_64-linux vimPlugins.vim-csharp | |
aarch64-darwin postgresql12Packages.jsonb_deep_sum | |
aarch64-linux lv2bm | |
aarch64-darwin go-rice | |
aarch64-linux postgresqlPackages.lantern | |
aarch64-linux emacsPackages.constant-theme | |
aarch64-linux python312Packages.zipp | |
x86_64-linux python311Packages.django-i18nfield | |
x86_64-darwin cargo-pgx_0_7_4 | |
aarch64-linux haskellPackages.blockfrost-client | |
aarch64-darwin effitask | |
aarch64-linux haskellPackages.LetsBeRational | |
x86_64-linux perl536Packages.EncodeBase32GMP | |
x86_64-linux picolisp | |
x86_64-darwin python312Packages.types-aiobotocore-mediapackage | |
x86_64-linux vimPlugins.vim-smoothie | |
x86_64-darwin vimPlugins.vim-flagship | |
x86_64-darwin python312Packages.lazy-loader | |
aarch64-linux rubyPackages.simplecov | |
aarch64-darwin bibtex2html | |
aarch64-darwin replace | |
x86_64-darwin perl536Packages.ConfigTiny | |
x86_64-darwin vimPlugins.nvim-scrollbar | |
x86_64-darwin ddrescue | |
aarch64-darwin luaPackages.inspect | |
x86_64-darwin coqPackages.simple-io | |
aarch64-darwin crystal_1_2 | |
x86_64-linux haskellPackages.fused-effects-readline | |
x86_64-linux gnomeExtensions.pico-system-monitor | |
x86_64-linux python311Packages.dissect-volume | |
x86_64-linux linuxKernel.packages.linux_5_10.bbswitch | |
aarch64-linux cloogppl | |
x86_64-linux linuxPackages_latest.zenpower | |
x86_64-linux emacsPackages.attrap | |
aarch64-darwin vimPlugins.neotest-jest | |
x86_64-linux python312Packages.us | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.jack | |
x86_64-linux python311Packages.types-aiobotocore-robomaker | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.lkrg | |
x86_64-linux python311Packages.dvclive | |
x86_64-darwin buf | |
aarch64-darwin sv-lang | |
aarch64-linux emacsPackages.grip-mode | |
x86_64-darwin vimPlugins.vim-themis | |
aarch64-darwin python311Packages.aioinflux | |
aarch64-darwin libowlevelzs | |
x86_64-linux zfs-prune-snapshots | |
x86_64-linux haskellPackages.hlibgit2 | |
x86_64-darwin gotest | |
x86_64-darwin python311Packages.csscompressor | |
x86_64-linux perl538Packages.TemplateTiny | |
x86_64-darwin emacsPackages.lem | |
aarch64-darwin xorg.libX11 | |
aarch64-linux haskellPackages.calligraphy | |
x86_64-darwin python312Packages.boa-api | |
aarch64-linux perl538Packages.DateTimeTimeZone | |
aarch64-linux python312Packages.pdfrw2 | |
x86_64-darwin vimPlugins.cmp-vsnip | |
aarch64-darwin python311Packages.pyeapi | |
x86_64-darwin vimPlugins.scnvim | |
aarch64-darwin python312Packages.azure-storage-file-share | |
aarch64-linux grub2_pvgrub_image | |
aarch64-linux python312Packages.cons | |
aarch64-linux python312Packages.pyvis | |
x86_64-linux linuxKernel.packages.linux_latest_libre.qc71_laptop | |
aarch64-linux python311Packages.pysingleton | |
x86_64-darwin go-font | |
aarch64-linux evolution-data-server | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.Qt5QuickTest | |
x86_64-linux sieveshell | |
aarch64-linux budgie.budgie-backgrounds | |
aarch64-darwin haskellPackages.executable-path | |
x86_64-linux sqlmap | |
aarch64-linux fluentd | |
x86_64-linux tabula-java | |
aarch64-darwin haskellPackages.neat-interpolation | |
x86_64-linux translatelocally-models.cs-en-base | |
aarch64-linux haskellPackages.base-compat | |
x86_64-darwin redshift_jdbc | |
x86_64-darwin perl536Packages.ModuleInstallReadmeFromPod | |
aarch64-linux haskellPackages.system-argv0 | |
aarch64-darwin haskellPackages.mwc-probability | |
aarch64-linux vimPlugins.asyncomplete-tags-vim | |
x86_64-darwin raider | |
x86_64-linux graphicsmagick_q16 | |
aarch64-linux vimPlugins.block-nvim | |
aarch64-darwin haskellPackages.debian-binary | |
x86_64-darwin emacsPackages.scratch | |
aarch64-linux coqPackages.mathcomp | |
aarch64-linux aws-vault | |
x86_64-linux posteid-seed-extractor | |
aarch64-darwin python312Packages.djangoql | |
aarch64-linux emacsPackages.monky | |
x86_64-linux python311Packages.flax | |
aarch64-darwin xorg.luit | |
x86_64-linux haskellPackages.primus | |
aarch64-linux python312Packages.nixpkgs | |
x86_64-darwin vcg | |
aarch64-linux musikcube | |
x86_64-darwin webhook | |
x86_64-linux xz | |
aarch64-darwin emacsPackages.abgaben | |
x86_64-darwin python312Packages.pyfluidsynth | |
x86_64-darwin fd | |
x86_64-darwin python312Packages.azure-mgmt-cosmosdb | |
aarch64-linux haskellPackages.metro-transport-websockets | |
x86_64-linux vimPlugins.splitjoin-vim | |
aarch64-linux haskellPackages.docusign-base | |
aarch64-darwin xva-img | |
x86_64-darwin python312Packages.opencv4 | |
x86_64-darwin perl536Packages.Future | |
aarch64-darwin python312Packages.umodbus | |
x86_64-darwin perl536Packages.MathFibonacci | |
x86_64-linux element-web | |
aarch64-darwin fmt_8 | |
x86_64-darwin python311Packages.aria2p | |
x86_64-linux lmmath | |
aarch64-darwin haskellPackages.journalctl-stream | |
aarch64-linux emacsPackages.aa-edit-mode | |
x86_64-darwin python311Packages.kbcstorage | |
aarch64-darwin haskellPackages.lockfree-queue | |
aarch64-darwin python311Packages.libpyfoscam | |
x86_64-linux haskellPackages.validate | |
aarch64-darwin libsForQt5.qtvirtualkeyboard | |
aarch64-darwin perl536Packages.StringUtil | |
x86_64-darwin python312Packages.fst-pso | |
aarch64-linux haskellPackages.tce-conf | |
aarch64-linux CuboCore.corepad | |
aarch64-darwin rubyPackages_3_3.safe_yaml | |
aarch64-linux emacsPackages.fn | |
x86_64-darwin python312Packages.colorama | |
x86_64-linux perl538Packages.PerlTidy | |
aarch64-linux dysnomia | |
aarch64-darwin vimPlugins.skim | |
x86_64-darwin python312Packages.idasen | |
x86_64-darwin rubyPackages_3_3.cocoapods-coverage | |
aarch64-linux haskellPackages.prelude-extras | |
x86_64-darwin haskellPackages.ghc-bignum-orphans | |
x86_64-linux ioztat | |
aarch64-darwin python311Packages.types-aiobotocore-workmail | |
x86_64-linux sgx-azure-dcap-client | |
x86_64-darwin python312Packages.flask-wtf | |
x86_64-darwin cdk-go | |
aarch64-darwin llvmPackages_11.clangNoLibc | |
aarch64-linux perl536Packages.StringFormatter | |
x86_64-linux postgresql12JitPackages.pgroonga | |
aarch64-linux python312Packages.types-aiobotocore-waf | |
aarch64-darwin perl536Packages.MooseXGetopt | |
aarch64-darwin rstfmt | |
x86_64-linux python312Packages.fiona | |
x86_64-linux octavePackages.bim | |
aarch64-darwin gcolor3 | |
x86_64-darwin unpaper | |
aarch64-darwin emacsPackages.bbdb- | |
aarch64-linux emacsPackages.watch-buffer | |
x86_64-linux python311Packages.pydantic-settings | |
aarch64-linux emacsPackages.niceify-info | |
aarch64-linux perl538Packages.MozillaLdap | |
x86_64-linux pkgdiff | |
x86_64-darwin python312Packages.drf-ujson2 | |
aarch64-linux linuxKernel.packages.linux_libre.rtl8821cu | |
x86_64-darwin haskellPackages.wd | |
x86_64-darwin haskellPackages.servant-lucid | |
x86_64-linux zlib | |
x86_64-linux stellar-core | |
aarch64-darwin python311Packages.pytz-deprecation-shim | |
aarch64-linux haskellPackages.semigroupoids-do | |
x86_64-darwin python312Packages.dropmqttapi | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.freetype2 | |
x86_64-linux haskellPackages.flexible-defaults | |
aarch64-linux python311Packages.optimum | |
aarch64-linux transmission_4 | |
x86_64-darwin perl538Packages.ParallelPipes | |
aarch64-darwin python311Packages.livelossplot | |
aarch64-darwin snooze | |
aarch64-darwin haskellPackages.data-accessor-mtl | |
aarch64-linux docker-compose-language-service | |
x86_64-linux lua51Packages.markdown | |
x86_64-linux rubyPackages_3_1.regexp_parser | |
aarch64-linux haskellPackages.symbols | |
x86_64-linux cccc | |
aarch64-darwin tomato-c | |
x86_64-linux haskellPackages.amazonka-panorama | |
x86_64-darwin python311Packages.audible | |
aarch64-darwin perl538Packages.ClassC3 | |
x86_64-linux perl538Packages.MailDMARC | |
aarch64-linux ubootQemuAarch64 | |
aarch64-linux python311Packages.jieba | |
x86_64-darwin python311Packages.pygti | |
aarch64-linux ghc | |
aarch64-darwin python311Packages.python-musicpd | |
x86_64-linux emacsPackages.habamax-theme | |
x86_64-linux python312Packages.zfec | |
aarch64-linux msitools | |
aarch64-linux plasma5Packages.knewstuff | |
x86_64-darwin emacsPackages.vagrant | |
x86_64-linux python312Packages.nbxmpp | |
x86_64-linux linuxPackages_6_1_hardened.cpupower | |
x86_64-darwin haskellPackages.open-browser | |
x86_64-darwin cargo-local-registry | |
aarch64-linux haskellPackages.mybitcoin-sci | |
x86_64-linux rubyPackages.daemons | |
x86_64-linux xq-xml | |
x86_64-linux linuxPackages_lqx.evdi | |
aarch64-linux haskellPackages.hjpath | |
aarch64-linux python312Packages.sphinx-intl | |
aarch64-darwin chickenPackages_5.chickenEggs.micro-benchmark | |
aarch64-linux emacsPackages.elisp-def | |
x86_64-darwin haskellPackages.amazonka-servicecatalog | |
x86_64-darwin perl536Packages.PerlMinimumVersion | |
x86_64-darwin mautrix-discord | |
aarch64-linux python312Packages.gyp | |
x86_64-darwin nix-bisect | |
x86_64-linux python311Packages.betamax-matchers | |
aarch64-linux xfce.xfce4-clipman-plugin | |
x86_64-darwin python311Packages.daphne | |
aarch64-linux perl536Packages.TestWarnings | |
x86_64-linux haskellPackages.Twofish | |
x86_64-linux padthv1 | |
aarch64-darwin haskell.compiler.ghc963 | |
aarch64-linux python311Packages.lrcalc-python | |
x86_64-linux python312Packages.cupy | |
x86_64-linux perl536Packages.W3CLinkChecker | |
x86_64-linux influxdb-cxx | |
aarch64-linux perl536Packages.libintl-perl | |
aarch64-darwin python312Packages.pymarshal | |
aarch64-linux python311Packages.pycontrol4 | |
aarch64-linux python311Packages.pg8000 | |
aarch64-linux s6-linux-utils | |
aarch64-linux geany | |
aarch64-linux home-assistant-component-tests.epion | |
x86_64-darwin python311Packages.pvextractor | |
x86_64-linux python311Packages.h2 | |
aarch64-linux humanity-icon-theme | |
x86_64-darwin haskellPackages.euler | |
aarch64-darwin python311Packages.pytest-server-fixtures | |
x86_64-darwin perl536Packages.AWSSignature4 | |
aarch64-darwin emacsPackages.tmr | |
x86_64-linux linuxPackages_6_7_hardened.qc71_laptop | |
aarch64-darwin lua52Packages.loadkit | |
aarch64-darwin python311Packages.sharp-aquos-rc | |
aarch64-linux lxappearance-gtk2 | |
x86_64-linux translatelocally-models.cs-en-tiny | |
aarch64-linux perl536Packages.ModuleExtractUse | |
x86_64-darwin kakounePlugins.kakoune-state-save | |
x86_64-linux jshon | |
aarch64-linux haskellPackages.massiv-io | |
aarch64-linux buildah-unwrapped | |
x86_64-darwin haskellPackages.sqlite-simple-interpolate | |
x86_64-darwin hunspellDictsChromium.en_GB | |
x86_64-linux linuxPackages_6_1_hardened.mbp2018-bridge-drv | |
x86_64-linux python311Packages.open-clip-torch | |
x86_64-linux timer | |
aarch64-darwin emacsPackages.time-uuid-mode | |
x86_64-darwin libgen-cli | |
x86_64-linux python312Packages.dissect-ole | |
aarch64-darwin rubyPackages_3_3.http-form_data | |
x86_64-linux haskellPackages.genvalidity-sydtest-persistent | |
aarch64-darwin haskellPackages.leveldb-haskell-fork | |
aarch64-linux python311Packages.pytest-datadir | |
aarch64-linux haskellPackages.stickyKeysHotKey | |
aarch64-linux haskellPackages.var-monad | |
aarch64-linux ooniprobe-cli | |
aarch64-linux chickenPackages_5.chickenEggs.rest-bind | |
x86_64-darwin emacsPackages.sudo-utils | |
x86_64-linux hunspellDicts.th_TH | |
x86_64-linux python312Packages.pylnk3 | |
x86_64-darwin rubyPackages.hitimes | |
x86_64-linux wxhexeditor | |
aarch64-darwin emacsPackages.rcirc-styles | |
aarch64-linux linuxKernel.packages.linux_5_10.shufflecake | |
aarch64-linux python312Packages.magic-wormhole-transit-relay | |
aarch64-linux haskellPackages.migrant-core | |
x86_64-linux termcolor | |
aarch64-darwin python312Packages.pickleshare | |
x86_64-linux icu70 | |
aarch64-linux python311Packages.dllogger | |
x86_64-linux haskellPackages.SDL | |
aarch64-darwin python312Packages.baseline | |
aarch64-linux vimPlugins.rspec-vim | |
x86_64-linux haskellPackages.exotic-list-monads | |
aarch64-linux emacsPackages.untitled-new-buffer | |
aarch64-darwin python311Packages.types-aiobotocore-ivs-realtime | |
aarch64-linux linuxKernel.packages.linux_latest_libre.rtl8821au | |
x86_64-linux sil | |
aarch64-darwin python312Packages.lazy | |
x86_64-darwin haskellPackages.control-monad-exception-monadsfd | |
x86_64-linux dconf2nix | |
x86_64-linux emacsPackages.elpa-deploy | |
aarch64-darwin python312Packages.python-snappy | |
aarch64-darwin haskellPackages.textlocal | |
x86_64-linux pyprland | |
aarch64-linux colorls | |
aarch64-darwin python312Packages.sseclient-py | |
x86_64-linux linuxPackages_xanmod_stable.stdenv | |
aarch64-linux python311Packages.stopit | |
x86_64-linux php82Extensions.mysqli | |
x86_64-darwin perl536Packages.StringUtil | |
aarch64-linux vimPlugins.nvim-cokeline | |
aarch64-linux python312Packages.twinkly-client | |
aarch64-darwin vimPlugins.fold-preview-nvim | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.mba6x_bl | |
aarch64-linux qdirstat | |
x86_64-linux postgresql13JitPackages.pg_bigm | |
x86_64-linux deepin.dde-app-services | |
aarch64-linux haskellPackages.ldap-client | |
aarch64-linux haskellPackages.IOSpec | |
aarch64-linux python312Packages.pydantic-compat | |
aarch64-darwin perl538Packages.Tirex | |
aarch64-darwin ghostscript_headless | |
x86_64-linux tests.cuda.cudaPackages_11_2.saxpy | |
x86_64-linux websploit | |
x86_64-darwin python312Packages.hatchling | |
aarch64-darwin deltachat-desktop | |
aarch64-darwin cargo-bundle-licenses | |
x86_64-linux lukesmithxyz-bible-kjv | |
x86_64-linux emacsPackages.jazz-theme | |
x86_64-linux emacsPackages.avy-flycheck | |
x86_64-linux home-assistant-component-tests.wilight | |
x86_64-linux vscode-extensions.janet-lang.vscode-janet | |
aarch64-darwin python312Packages.total-connect-client | |
x86_64-linux python312Packages.pycairo | |
x86_64-darwin python311Packages.abjad | |
x86_64-darwin ffmpeg-full | |
x86_64-linux python311Packages.blessings | |
x86_64-darwin jcal | |
aarch64-darwin perl536Packages.IOCapture | |
aarch64-linux python311Packages.pyaml | |
aarch64-darwin perl536Packages.CryptECB | |
aarch64-linux valent | |
aarch64-linux tremor-rs | |
aarch64-darwin haskellPackages.posix-filelock | |
aarch64-darwin chickenPackages_5.chickenEggs.smtp | |
aarch64-darwin emacsPackages.archive-rpm | |
aarch64-darwin gox | |
x86_64-linux cudaPackages_10.tensorrt_8_0 | |
aarch64-darwin emacsPackages.verb | |
aarch64-linux python311Packages.facenet-pytorch | |
x86_64-darwin plasma5Packages.yuview | |
x86_64-darwin perl536Packages.CPANChanges | |
aarch64-linux home-assistant-component-tests.linear_garage_door | |
aarch64-linux python311Packages.cvss | |
x86_64-darwin plasma5Packages.qtdoc | |
x86_64-linux emacsPackages.highlight-quoted | |
x86_64-linux molecule | |
x86_64-linux python311Packages.bluemaestro-ble | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.systemtap | |
aarch64-linux comic-neue | |
x86_64-linux python312Packages.drf-jwt | |
aarch64-linux kapitonov-plugins-pack | |
x86_64-linux libopcodes_2_38 | |
aarch64-darwin libfreeaptx | |
x86_64-linux python311Packages.datrie | |
x86_64-linux pidginPackages.pidgin-mra | |
aarch64-darwin emacsPackages.e2wm | |
x86_64-darwin livepeer | |
x86_64-darwin perl536Packages.LinguaStemFr | |
x86_64-linux python312Packages.archinfo | |
aarch64-darwin python312Packages.entrypoints | |
aarch64-linux haskellPackages.servant-pipes | |
aarch64-darwin haskellPackages.statgrab | |
x86_64-linux chickenPackages_5.chickenEggs.mini-kanren | |
x86_64-linux python311Packages.nipy | |
x86_64-darwin chickenPackages_5.chickenEggs.gl-type | |
x86_64-darwin lua53Packages.luarocks-build-rust-mlua | |
x86_64-linux python311Packages.mypy-boto3-textract | |
aarch64-darwin chickenPackages_5.chickenEggs.semantic-version | |
aarch64-darwin luajitPackages.rocks-nvim | |
aarch64-linux prometheus-nginxlog-exporter | |
x86_64-darwin vimPlugins.vim-dirdiff | |
x86_64-darwin python311Packages.base64io | |
x86_64-darwin emacsPackages.smithers | |
aarch64-darwin python312Packages.types-aiobotocore-cloudfront | |
x86_64-darwin haskellPackages.lima | |
aarch64-linux python311Packages.python-markdown-math | |
aarch64-linux streamlit | |
aarch64-linux haskellPackages.word8 | |
x86_64-linux python312Packages.cram | |
x86_64-darwin nomad_1_5 | |
aarch64-darwin haskellPackages.amazonka-codeguru-reviewer | |
x86_64-linux linuxPackages-libre.shufflecake | |
aarch64-darwin python312Packages.treelog | |
x86_64-darwin haskellPackages.shh-extras | |
aarch64-darwin python312Packages.clize | |
x86_64-darwin emacsPackages.mew | |
x86_64-linux xfce.xfce4-mpc-plugin | |
x86_64-linux python312Packages.censys | |
aarch64-linux linuxPackages_xanmod_stable.mstflint_access | |
x86_64-darwin python312Packages.musicbrainzngs | |
x86_64-linux tclap_1_4 | |
x86_64-darwin emacsPackages.poly-wdl | |
aarch64-linux python311Packages.aioridwell | |
aarch64-linux gotty | |
x86_64-linux python312Packages.ambee | |
x86_64-linux python312Packages.jaxtyping | |
aarch64-linux texworks | |
x86_64-linux haskellPackages.http-types | |
x86_64-linux perl538Packages.TextLayout | |
x86_64-linux python311Packages.jstyleson | |
aarch64-linux icu71 | |
x86_64-linux gradience | |
aarch64-linux python312Packages.mypy-boto3-xray | |
x86_64-linux haskellPackages.wait-handle | |
x86_64-linux tests.hardeningFlags.stackProtectorReenabledEnv | |
aarch64-darwin python312Packages.mypy-boto3-resiliencehub | |
x86_64-darwin haskellPackages.wai-digestive-functors | |
aarch64-linux python311Packages.safe-pysha3 | |
x86_64-linux zscroll | |
x86_64-linux linuxPackages_5_4_hardened.nvidia_x11_stable_open | |
aarch64-darwin python311Packages.wrapt | |
x86_64-linux freetube | |
x86_64-darwin perl536Packages.FormValidatorSimple | |
x86_64-linux haskellPackages.ghcjs-dom | |
x86_64-linux linuxKernel.packages.linux_6_5.vmware | |
x86_64-darwin emacsPackages.helm-pydoc | |
aarch64-linux kube-linter | |
aarch64-darwin perl538Packages.NetAsyncHTTPServer | |
x86_64-linux python312Packages.frida-python | |
aarch64-darwin python312Packages.opentelemetry-sdk | |
aarch64-darwin cargo-show-asm | |
aarch64-linux rubyPackages_3_3.syntax_tree | |
aarch64-linux uptimed | |
aarch64-darwin sysdig | |
aarch64-linux python312Packages.qcs-api-client | |
aarch64-linux python312Packages.ambiclimate | |
aarch64-darwin python312Packages.automate-home | |
aarch64-linux rubyPackages_3_2.camping | |
aarch64-linux iv | |
aarch64-darwin libcmis | |
aarch64-linux mathgl | |
aarch64-linux python311Packages.mypy-boto3-globalaccelerator | |
aarch64-darwin haskellPackages.unix-pty-light | |
x86_64-linux pantheon.epiphany | |
x86_64-linux python311Packages.asyauth | |
x86_64-darwin s3proxy | |
aarch64-darwin comma | |
x86_64-darwin gtk-mac-integration-gtk2 | |
aarch64-linux haskellPackages.haskell-menu | |
aarch64-linux emacsPackages.prettify-math | |
x86_64-darwin haskellPackages.treefold | |
x86_64-linux emacsPackages.evil-matchit | |
aarch64-darwin python312Packages.nested-lookup | |
x86_64-linux cowpatty | |
x86_64-linux haskellPackages.conduit-algorithms | |
x86_64-linux libcint | |
aarch64-darwin lxqt.compton-conf | |
x86_64-linux php82Extensions.gettext | |
aarch64-linux python312Packages.types-aiobotocore-cleanrooms | |
x86_64-darwin emacsPackages.evil-mark-replace | |
aarch64-linux python312Packages.testfixtures | |
aarch64-darwin antidote | |
aarch64-darwin python312Packages.google-cloud-bigtable | |
aarch64-linux akku | |
x86_64-linux python311Packages.pywayland | |
aarch64-darwin upx | |
aarch64-darwin python312Packages.sqlglot | |
x86_64-linux tomcat9 | |
x86_64-darwin python311Packages.xrootd | |
x86_64-darwin vimPlugins.concealedyank-vim | |
x86_64-linux backdown | |
x86_64-linux cloud-utils | |
x86_64-linux klee | |
aarch64-darwin fltk13-minimal | |
aarch64-darwin rubyPackages.awesome_print | |
x86_64-linux usort | |
x86_64-linux python312Packages.anthropic | |
aarch64-darwin libtickit | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.verilog | |
x86_64-linux authentik-outposts.ldap | |
aarch64-linux python311Packages.bc-jsonpath-ng | |
aarch64-linux linuxKernel.packages.linux_6_7_hardened.linux-gpib | |
aarch64-darwin python311Packages.scikit-image | |
x86_64-linux gnome.five-or-more | |
x86_64-linux atuin | |
aarch64-darwin udict | |
aarch64-linux python311Packages.tesserocr | |
aarch64-linux python312Packages.python-crontab | |
x86_64-linux perl538Packages.TestManifest | |
x86_64-darwin vaultwarden | |
aarch64-linux linuxPackages_6_7_hardened.zfs | |
x86_64-darwin haskellPackages.pipes-binary | |
x86_64-linux libsForQt5.mailcommon | |
x86_64-linux python311Packages.dask-awkward | |
x86_64-linux linuxKernel.packages.linux_6_6.hyperv-daemons | |
aarch64-darwin cri-tools | |
x86_64-linux haskellPackages.scotty-cookie | |
x86_64-darwin pdf-sign | |
x86_64-linux perl536Packages.MojoliciousPluginStatus | |
aarch64-darwin emacsPackages.dynamic-fonts | |
aarch64-linux shell-genie | |
x86_64-darwin steck | |
x86_64-darwin haskellPackages.brick-skylighting | |
x86_64-darwin python312Packages.robotframework | |
aarch64-darwin postgresql14Packages.apache_datasketches | |
x86_64-linux gnomeExtensions.bitcoin-markets | |
x86_64-linux monado | |
aarch64-linux jetbrains.jdk-no-jcef | |
x86_64-linux oberon-risc-emu | |
x86_64-darwin emacsPackages.gpr-mode | |
x86_64-linux rubyPackages_3_2.language_server-protocol | |
aarch64-darwin mtpfs | |
aarch64-linux gawkextlib.abort | |
aarch64-darwin python312Packages.localstack-ext | |
x86_64-linux rubyPackages.globalid | |
x86_64-linux linuxKernel.packages.linux_5_15.fwts-efi-runtime | |
x86_64-linux durden-wrapped | |
aarch64-linux python311Packages.pyqt6-charts | |
x86_64-darwin python312Packages.mindsdb-evaluator | |
x86_64-linux python312Packages.dash-html-components | |
aarch64-linux aspellDicts.uk | |
x86_64-linux haskellPackages.genvalidity-hspec-optics | |
aarch64-linux emacsPackages.yankpad | |
aarch64-linux emacsPackages.ob-elixir | |
aarch64-darwin python312Packages.click-default-group | |
x86_64-linux git-trim | |
aarch64-linux linuxPackages_6_5_hardened.rtl8821au | |
aarch64-darwin haskellPackages.enumerable | |
x86_64-linux woff2 | |
aarch64-darwin python311Packages.samplerate | |
aarch64-darwin perl538Packages.CryptRandomTESHA2 | |
aarch64-darwin python311Packages.confection | |
aarch64-linux verifpal | |
aarch64-linux libappindicator | |
aarch64-linux haskellPackages.yaml2owl | |
aarch64-linux perl536Packages.LEOCHARRECLI | |
x86_64-linux python311Packages.mypy-boto3-iot-data | |
aarch64-darwin haskellPackages.optics-extra | |
x86_64-linux dropwatch | |
aarch64-linux python312Packages.asyncssh | |
aarch64-linux timemachine | |
aarch64-darwin python312Packages.amcrest | |
aarch64-linux linuxKernel.packages.linux_5_15.stdenv | |
x86_64-darwin postgresql15Packages.tsearch_extras | |
aarch64-darwin lbdb | |
aarch64-linux emacsPackages.projector | |
aarch64-linux python311Packages.findpython | |
x86_64-darwin emacsPackages.jtsx | |
x86_64-darwin gitaly | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.tiger | |
x86_64-linux gopkgs | |
x86_64-linux gnome.cheese | |
x86_64-linux calf | |
aarch64-linux gcc-arm-embedded | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.ax99100 | |
aarch64-darwin haskellPackages.unboxing-vector | |
x86_64-darwin chickenPackages_5.chickenEggs.inotify | |
x86_64-darwin python312Packages.py-air-control | |
x86_64-linux multimon-ng | |
x86_64-linux linuxKernel.packages.linux_latest_libre.system76-power | |
x86_64-linux sbclPackages.css-selectors-stp | |
aarch64-linux linuxPackages-libre.zfs | |
x86_64-linux linuxPackages_5_15_hardened.apfs | |
aarch64-darwin haskellPackages.debug-dump | |
x86_64-linux haskellPackages.delay | |
x86_64-linux emacsPackages.mandoku | |
aarch64-linux python312Packages.mando | |
aarch64-linux lua52Packages.luasnip | |
x86_64-darwin emacsPackages.org2ctex | |
x86_64-darwin haskellPackages.symantic-http-server | |
x86_64-darwin python312Packages.gyp | |
aarch64-darwin vimPlugins.vim-quickrun | |
aarch64-darwin haskellPackages.dataenc | |
aarch64-darwin nixops-dns | |
x86_64-darwin emacsPackages.smbc | |
x86_64-linux delta | |
aarch64-linux plasma5Packages.kinit | |
aarch64-darwin haskellPackages.string-transform | |
x86_64-darwin vimPlugins.cmp-greek | |
aarch64-darwin rubyPackages_3_1.jekyll-readme-index | |
x86_64-darwin xorg.encodings | |
x86_64-darwin yash | |
aarch64-darwin gmp5 | |
x86_64-linux rubyPackages_3_3.rake | |
aarch64-darwin emacsPackages.ts-comint | |
aarch64-darwin awsrm | |
aarch64-linux erlfmt | |
x86_64-darwin python311Packages.deluge-client | |
aarch64-linux rocmPackages.rocm-docs-core | |
aarch64-darwin haskellPackages.linear-circuit | |
aarch64-linux mbtileserver | |
aarch64-linux emacsPackages.load-relative | |
x86_64-darwin mp3fs | |
aarch64-linux pocket-casts | |
x86_64-linux python312Packages.sip4 | |
x86_64-linux multitran.libmtsupport | |
x86_64-linux linuxPackages_6_5_hardened.kernel | |
x86_64-linux libbsd | |
aarch64-linux synapse | |
aarch64-linux haskellPackages.TernaryTrees | |
x86_64-linux mfcl3770cdwcupswrapper | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.rtl88xxau-aircrack | |
aarch64-linux emacsPackages.cpp-auto-include | |
aarch64-linux qt6.qtconnectivity | |
x86_64-darwin perl538Packages.ModuleManifest | |
x86_64-linux haskellPackages.mybitcoin-sci | |
x86_64-linux perl536Packages.TermSk | |
aarch64-linux python312Packages.qdarkstyle | |
x86_64-darwin python312Packages.datrie | |
aarch64-darwin gnupg1 | |
aarch64-darwin dydisnix | |
aarch64-linux fileinfo | |
aarch64-linux perl536Packages.TextLevenshteinXS | |
aarch64-linux hyperpotamus | |
aarch64-linux bucklespring-x11 | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.Qt5PrintSupport | |
x86_64-linux haskellPackages.schonfinkeling | |
x86_64-linux bluespec | |
aarch64-darwin haskellPackages.data-sketches | |
aarch64-darwin libsForQt5.qtpbfimageplugin | |
aarch64-linux zncModules.backlog | |
x86_64-darwin perl538Packages.MojoIOLoopForkCall | |
x86_64-linux ncdu_1 | |
x86_64-darwin haskellPackages.friendly-time | |
aarch64-linux linuxPackages_latest.system76-scheduler | |
x86_64-linux haskellPackages.geohash | |
x86_64-darwin emacsPackages.helm-cider-history | |
aarch64-linux vimPlugins.lh-brackets | |
aarch64-linux rofi-rbw | |
aarch64-linux haskellPackages.patch | |
aarch64-darwin haskellPackages.hkd-default | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.qmldir | |
aarch64-darwin perl536Packages.XMLEntities | |
aarch64-linux fastjar | |
x86_64-linux xsuspender | |
x86_64-linux srandrd | |
x86_64-darwin python312Packages.mypy-boto3-cloudhsmv2 | |
aarch64-darwin haskellPackages.incipit-base | |
x86_64-darwin haskellPackages.om-time | |
x86_64-linux emacsPackages.ob-chatgpt-shell | |
aarch64-linux haskellPackages.yeganesh | |
aarch64-linux libkiwix | |
aarch64-linux emacsPackages.runner | |
x86_64-linux goconvey | |
aarch64-linux haskellPackages.TableAlgebra | |
aarch64-linux python312Packages.slither-analyzer | |
aarch64-linux linuxPackages_latest.mba6x_bl | |
aarch64-darwin dosis | |
x86_64-linux gtkdialog | |
aarch64-linux whois | |
aarch64-linux cudaPackages_11.cuda_profiler_api | |
x86_64-darwin hy | |
x86_64-linux haskellPackages.bcrypt | |
aarch64-linux postgresql14JitPackages.tsearch_extras | |
aarch64-linux toil | |
aarch64-darwin haskellPackages.inject | |
aarch64-linux emacsPackages.js2-highlight-vars | |
aarch64-linux vimPlugins.neco-ghc | |
x86_64-darwin haskellPackages.grid | |
x86_64-linux emacsPackages.reverse-im | |
x86_64-linux peergos | |
aarch64-linux directfb | |
x86_64-darwin postgresql15JitPackages.repmgr | |
x86_64-linux haskellPackages.holey-format | |
x86_64-linux emacsPackages.literate-coffee-mode | |
aarch64-darwin python311Packages.awacs | |
aarch64-darwin zncModules.clientbuffer | |
aarch64-darwin python311Packages.mypy-boto3-license-manager | |
aarch64-darwin lib3ds | |
aarch64-darwin emacsPackages.flymake-codespell | |
x86_64-darwin ton | |
x86_64-darwin haskellPackages.splitmix-distributions | |
aarch64-darwin python312Packages.cov-core | |
x86_64-linux haskellPackages.hyper | |
aarch64-linux haskellPackages.TypeNat | |
x86_64-darwin python312Packages.torch-tb-profiler | |
x86_64-darwin python311Packages.mock | |
x86_64-linux qt6Packages.mlt | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.csv | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.lua | |
aarch64-darwin haskellPackages.keera-hails-i18n | |
x86_64-linux python311Packages.types-aiobotocore-apigatewaymanagementapi | |
aarch64-darwin python312Packages.deprecated | |
aarch64-linux rubyPackages_3_3.msgpack | |
x86_64-linux sorts-mill-goudy | |
aarch64-linux vifm | |
aarch64-darwin haskellPackages.feature-flags | |
x86_64-darwin python311Packages.python-crontab | |
aarch64-linux haskellPackages.rtld | |
x86_64-darwin python311Packages.awacs | |
x86_64-darwin emacsPackages.ess | |
aarch64-linux python312Packages.py-canary | |
aarch64-darwin osmctools | |
aarch64-linux python311Packages.pipdate | |
x86_64-darwin emacsPackages.workroom | |
aarch64-linux python312Packages.unstructured-api-tools | |
aarch64-linux luajitPackages.luadbi-sqlite3 | |
aarch64-linux python311Packages.pandas-stubs | |
aarch64-darwin python312Packages.verboselogs | |
x86_64-linux vimPlugins.skim | |
aarch64-darwin haskellPackages.juicy-gcode | |
aarch64-linux postgresql15Packages.jsonb_deep_sum | |
x86_64-darwin python311Packages.pefile | |
aarch64-darwin python311Packages.apispec | |
x86_64-linux python312Packages.niapy | |
x86_64-linux perl538Packages.DateTimeFormatRFC3339 | |
x86_64-darwin python312Packages.sjcl | |
aarch64-linux urdfdom | |
aarch64-darwin emacsPackages.with-proxy | |
x86_64-darwin kontemplate | |
x86_64-linux haskellPackages.parsec1 | |
x86_64-linux xorg.libXau | |
x86_64-darwin aspellDicts.de-alt | |
aarch64-darwin python311Packages.pymsgbox | |
aarch64-darwin rubyPackages.optimist | |
aarch64-linux rubyPackages_3_2.bindata | |
x86_64-linux swiftpm2nix | |
x86_64-linux tere | |
aarch64-linux trinity | |
aarch64-linux perl538Packages.LocaleMOFile | |
aarch64-linux haskellPackages.ascii-art-to-unicode | |
x86_64-darwin perl538Packages.PodPlainer | |
aarch64-darwin openswitcher | |
aarch64-linux haskellPackages.gtk-strut | |
aarch64-linux perl538Packages.AltCryptRSABigInt | |
x86_64-darwin rekor-server | |
x86_64-darwin python312Packages.blinkpy | |
aarch64-darwin soapyuhd | |
x86_64-linux vimPlugins.vim-isort | |
x86_64-linux dwt1-shell-color-scripts | |
x86_64-darwin perl536Packages.TextRecordParser | |
aarch64-linux mlt | |
aarch64-linux python311Packages.west | |
x86_64-linux perl538Packages.NetTwitterLite | |
x86_64-linux libgourou | |
x86_64-linux emacsPackages.abridge-diff | |
aarch64-darwin prometheus-idrac-exporter | |
aarch64-linux emacsPackages.german-holidays | |
x86_64-linux postgresqlPackages.timescaledb-apache | |
x86_64-linux libb2 | |
x86_64-linux spring-boot-cli | |
aarch64-darwin offlineimap | |
x86_64-darwin emacsPackages.enwc | |
aarch64-linux home-assistant-component-tests.fritzbox | |
aarch64-darwin vimPlugins.neuron-vim | |
x86_64-linux gnomeExtensions.collector-complementary-extension | |
x86_64-linux perl538Packages.FileShareDirDist | |
aarch64-darwin emacsPackages.fix-muscle-memory | |
aarch64-darwin onboard | |
x86_64-darwin perl536Packages.PPIxRegexp | |
x86_64-linux ue4demos.vehicle_game | |
aarch64-darwin perl536Packages.SubName | |
aarch64-darwin libsForQt5.kpackage | |
x86_64-linux libnxml | |
aarch64-darwin python312Packages.pysnmpcrypto | |
x86_64-linux python312Packages.json-schema-for-humans | |
aarch64-darwin python312Packages.ujson | |
aarch64-darwin nixpkgs-fmt | |
x86_64-linux wacomtablet | |
x86_64-linux iay | |
x86_64-linux wf-config | |
aarch64-darwin libuchardet | |
x86_64-darwin python312Packages.voluptuous-stubs | |
aarch64-darwin gnome.gnome-dictionary | |
x86_64-darwin emacsPackages.org-custom-cookies | |
x86_64-darwin python311Packages.flake8-length | |
aarch64-linux python311Packages.pyswitchbot | |
x86_64-linux python312Packages.types-aiobotocore-resource-groups | |
aarch64-linux haskellPackages.text-ldap | |
x86_64-darwin python312Packages.flask-marshmallow | |
x86_64-linux python311Packages.aesedb | |
aarch64-linux rustscan | |
aarch64-linux joycond | |
aarch64-darwin plasma5Packages.khtml | |
aarch64-linux _9menu | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.mstflint_access | |
aarch64-darwin perl536Packages.MojoliciousPluginAssetPack | |
x86_64-darwin python311Packages.pallets-sphinx-themes | |
aarch64-linux emacsPackages.grab-x-link | |
x86_64-linux evsieve | |
aarch64-linux emacsPackages.shell-maker | |
x86_64-linux go-shadowsocks2 | |
x86_64-linux perl538Packages.LockFileSimple | |
aarch64-linux python311Packages.certbot-dns-route53 | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.rtl8192eu | |
aarch64-linux snapper-gui | |
x86_64-linux zam-plugins | |
x86_64-darwin haskellPackages.parsec-numeric | |
aarch64-linux python311Packages.pyro-ppl | |
aarch64-darwin faust2ladspa | |
aarch64-linux dfilemanager | |
aarch64-darwin terraform-providers.http | |
x86_64-darwin emacsPackages.ob-restclient | |
aarch64-linux haskellPackages.threefish | |
x86_64-linux rubyPackages.puma | |
x86_64-linux vimPlugins.vim-niceblock | |
x86_64-darwin emacsPackages.ibuffer-vc | |
x86_64-linux renderdoc | |
x86_64-linux linuxKernel.packages.linux_libre.lkrg | |
x86_64-darwin python311Packages.pivy | |
x86_64-linux chickenPackages_5.chickenEggs.sql-de-lite | |
aarch64-linux xandikos | |
aarch64-linux haskellPackages.uniform-error | |
aarch64-linux qrupdate | |
x86_64-linux linuxKernel.packages.linux_6_7_hardened.system76-power | |
x86_64-linux npth | |
aarch64-linux vimPlugins.eva01-vim | |
aarch64-linux vimPlugins.ncm2-dictionary | |
x86_64-linux home-assistant-component-tests.cloud | |
x86_64-linux perl538Packages.CatalystDispatchTypeRegex | |
x86_64-linux libsForQt5.rocs | |
aarch64-darwin haskellPackages.servant-response | |
aarch64-darwin haskellPackages.elm-init | |
aarch64-linux libcangjie | |
aarch64-linux python312Packages.dotmap | |
x86_64-linux python312Packages.networkx | |
x86_64-linux emacsPackages.org-static-blog | |
x86_64-darwin emacsPackages.yaml-mode | |
x86_64-linux linuxKernel.packages.linux_6_1.usbip | |
x86_64-darwin chickenPackages_5.chickenEggs.protobuf | |
x86_64-darwin perl536Packages.Corona | |
x86_64-darwin gato | |
aarch64-darwin inshellisense | |
x86_64-linux sdate | |
x86_64-darwin emacsPackages.historyf | |
aarch64-linux haskellPackages.circus | |
aarch64-linux plasma5Packages.kapidox | |
aarch64-linux pantheon.switchboard | |
x86_64-linux python311Packages.m2crypto | |
aarch64-linux haskellPackages.uu-interleaved | |
x86_64-linux netproc | |
x86_64-darwin haskellPackages.strict-impl-params | |
aarch64-linux ifcopenshell | |
x86_64-linux cudatoolkit | |
x86_64-linux haskellPackages.ormolu | |
aarch64-darwin python311Packages.coloredlogs | |
aarch64-linux rubyPackages_3_2.elftools | |
aarch64-linux haskellPackages.require-callstack | |
x86_64-linux perl538Packages.PDL | |
aarch64-darwin prio | |
aarch64-darwin coqPackages.flocq | |
aarch64-linux python312Packages.setuptools-changelog-shortener | |
x86_64-darwin libusb1 | |
aarch64-darwin python312Packages.mypy-boto3-sagemaker-runtime | |
x86_64-darwin perl538Packages.ForksSuper | |
x86_64-darwin vimPlugins.vim-carbon-now-sh | |
aarch64-linux linuxPackages_6_6_hardened.kvdo | |
aarch64-darwin haskellPackages.mathlist | |
aarch64-linux emacsPackages.rivet-mode | |
aarch64-linux hound | |
x86_64-darwin streamlink | |
x86_64-darwin python311Packages.py3status | |
x86_64-linux linuxPackages_5_4_hardened.sysdig | |
x86_64-darwin chase | |
x86_64-linux qt6Packages.libquotient | |
x86_64-linux docbook_xml_dtd_42 | |
x86_64-darwin python312Packages.python-status | |
aarch64-linux vpnc-scripts | |
aarch64-linux emacsPackages.django-manage | |
aarch64-darwin aspellDicts.pa | |
x86_64-darwin python311Packages.vowpalwabbit | |
x86_64-linux python312Packages.pymarshal | |
x86_64-darwin hakrawler | |
aarch64-linux perl538Packages.CryptOpenSSLRSA | |
x86_64-linux unicode-paracode | |
aarch64-linux rubyPackages.actioncable | |
x86_64-darwin python312Packages.wasserstein | |
aarch64-linux libsForQt5.kwallet-pam | |
aarch64-darwin slsa-verifier | |
x86_64-linux perl538Packages.YAMLOld | |
x86_64-darwin lomiri.suru-icon-theme | |
aarch64-linux kerbrute | |
x86_64-linux linuxKernel.packages.linux_5_4.openrazer | |
aarch64-darwin haskellPackages.rss | |
aarch64-linux emacsPackages.counsel-jq | |
aarch64-darwin python311Packages.pytest-playwright | |
aarch64-darwin python311Packages.ipyvuetify | |
x86_64-linux emacsPackages.snapshot-timemachine-rsnapshot | |
x86_64-linux haskellPackages.CheatSheet | |
aarch64-darwin haskellPackages.amazonka-quicksight | |
aarch64-linux nss_wrapper | |
x86_64-linux sailsd | |
x86_64-linux xpad | |
aarch64-linux interception-tools-plugins.caps2esc | |
x86_64-darwin mysides | |
aarch64-linux haskellPackages.patch-combinators | |
aarch64-linux sqlite | |
x86_64-darwin libks | |
x86_64-darwin perl538Packages.TestAssertions | |
aarch64-darwin postgresql15Packages.tsearch_extras | |
aarch64-darwin python311Packages.python-technove | |
x86_64-linux python312Packages.py-multibase | |
x86_64-linux python311Packages.taxi | |
x86_64-linux python312Packages.pyecoforest | |
aarch64-darwin haskellPackages.tao-example | |
x86_64-linux linuxKernel.packages.linux_6_7.cpupower | |
x86_64-linux rubyPackages_3_1.gpgme | |
x86_64-linux mautrix-googlechat | |
x86_64-linux ebumeter | |
aarch64-darwin emacsPackages.apache-mode | |
x86_64-darwin perl536Packages.DirManifest | |
x86_64-darwin perl536Packages.NetAsyncPing | |
aarch64-linux llvmPackages_11.clang-manpages | |
x86_64-linux chickenPackages_5.chickenEggs.define-options | |
aarch64-linux haskellPackages.opengl-dlp-stereo | |
aarch64-darwin perl538Packages.FileUtilTempdir | |
x86_64-linux python312Packages.dpcontracts | |
x86_64-darwin haskellPackages.tlex-th | |
aarch64-linux blas-ilp64 | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.tuxedo-keyboard | |
aarch64-linux haskellPackages.error-codes | |
aarch64-linux python311Packages.pyicloud | |
x86_64-linux haskellPackages.cairo-canvas | |
aarch64-darwin python311Packages.libxslt | |
aarch64-darwin python311Packages.pymailgunner | |
x86_64-darwin cargo-swift | |
aarch64-linux lua51Packages.luaunbound | |
x86_64-darwin pulumi | |
aarch64-linux amiri | |
aarch64-darwin chickenPackages_5.chickenEggs.kd-tree | |
aarch64-darwin tests.cc-wrapper.llvmTests.llvmPackages_15.libcxx | |
aarch64-linux perl538Packages.RegexpParser | |
x86_64-linux rubyPackages_3_1.cocoapods-search | |
x86_64-linux eks-node-viewer | |
aarch64-darwin emacsPackages.dash-functional | |
aarch64-darwin haskellPackages.burrito | |
aarch64-darwin haskellPackages.fakepull | |
aarch64-linux rubyPackages_3_2.jwt | |
aarch64-linux emacsPackages.bifocal | |
x86_64-linux perl538Packages.FileReadBackwards | |
x86_64-linux plasma5Packages.kimap | |
x86_64-darwin haskellPackages.ed25519-donna | |
x86_64-linux linuxKernel.packages.linux_hardened.kvdo | |
aarch64-linux emacsPackages.ob-dall-e-shell | |
x86_64-linux python312Packages.appdirs | |
aarch64-linux plasma5Packages.kwallet | |
x86_64-darwin python312Packages.pgpdump | |
x86_64-darwin perl536Packages.MockConfig | |
x86_64-linux electron_18-bin | |
x86_64-darwin python312Packages.pymata-express | |
x86_64-darwin rubyPackages.globalid | |
x86_64-linux hunspellDicts.en-ca | |
x86_64-linux linuxPackages_4_19_hardened.r8125 | |
aarch64-darwin emacsPackages.nocomments-mode | |
x86_64-darwin python311Packages.types-aiobotocore-textract | |
aarch64-darwin python311Packages.acme | |
aarch64-linux python311Packages.m2crypto | |
x86_64-linux python311Packages.junit-xml | |
aarch64-darwin emacsPackages.other-frame-window | |
x86_64-linux aspellDicts.mg | |
aarch64-linux emacsPackages.ack-menu | |
aarch64-linux plasma5Packages.mauikit-documents | |
aarch64-darwin emacsPackages.gnu-elpa | |
aarch64-darwin haskellPackages.hsparklines | |
x86_64-darwin gosec | |
x86_64-darwin python312Packages.types-aiobotocore-opensearch | |
x86_64-darwin vimPlugins.readline-vim | |
x86_64-linux fltk13-minimal | |
aarch64-linux emacsPackages.click-mode | |
aarch64-linux emacsPackages.lisp-local | |
aarch64-linux perl536Packages.CatalystModelDBICSchema | |
x86_64-linux linuxKernel.packages.linux_6_7_hardened.liquidtux | |
aarch64-darwin libsnark | |
x86_64-linux tests.php.withExtensions-enables-previously-disabled-extensions | |
aarch64-linux kube-score | |
x86_64-linux update-nix-fetchgit | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.snakemake | |
x86_64-darwin shell_gpt | |
x86_64-linux static-web-server | |
aarch64-darwin stylelint | |
aarch64-linux emacsPackages.tokei | |
x86_64-linux include-what-you-use | |
x86_64-darwin python311Packages.pcodedmp | |
x86_64-darwin qt6.qtpositioning | |
x86_64-linux emacsPackages.tab-group | |
aarch64-linux vimPlugins.fern-vim | |
x86_64-darwin emacsPackages.dired-icon | |
aarch64-darwin python311Packages.datetime | |
x86_64-darwin python312Packages.pylnk3 | |
x86_64-darwin emacsPackages.pdf-view-pagemark | |
x86_64-linux home-assistant-component-tests.input_select | |
x86_64-darwin openvi | |
aarch64-darwin mariadb_110 | |
aarch64-linux php83Packages.psalm | |
x86_64-linux perl536Packages.ExceptionBase | |
aarch64-linux python311Packages.pytest-httpx | |
x86_64-linux haskellPackages.unix-compat | |
aarch64-darwin python312Packages.puremagic | |
aarch64-darwin python312Packages.libkeepass | |
x86_64-linux x11vnc | |
x86_64-linux perl538Packages.ConvertASN1 | |
aarch64-darwin python313 | |
x86_64-linux home-assistant-component-tests.elkm1 | |
aarch64-darwin emacsPackages.sfz-mode | |
x86_64-linux python311Packages.pytest-tornado | |
aarch64-darwin electron_22-bin | |
x86_64-darwin rubyPackages_3_1.highline | |
x86_64-linux perl536Packages.constant-defer | |
aarch64-linux google-cloud-bigtable-tool | |
aarch64-linux graphite-cursors | |
x86_64-linux python311Packages.types-aiobotocore-rds | |
x86_64-linux simpleBuildTool | |
x86_64-linux haskellPackages.scanf | |
aarch64-darwin python311Packages.types-aiobotocore-keyspaces | |
x86_64-darwin ntpd-rs | |
aarch64-linux python311Packages.motor | |
aarch64-darwin perl536Packages.TermReadLineTTYtter | |
x86_64-darwin vimPlugins.diffview-nvim | |
x86_64-linux logstash7-oss | |
aarch64-linux python312Packages.dm-tree | |
aarch64-darwin haskellPackages.quickcheck-unicode | |
aarch64-linux python311Packages.libvirt | |
x86_64-darwin python312Packages.crc32c | |
x86_64-darwin vimPlugins.vim-just | |
x86_64-darwin flarectl | |
aarch64-darwin python3Minimal | |
aarch64-linux python312Packages.pytest-black | |
x86_64-linux linuxPackages-libre.ena | |
aarch64-linux python311Packages.mongoquery | |
x86_64-linux tailer | |
x86_64-linux perl538Packages.PadWalker | |
aarch64-darwin python312Packages.nanotime | |
x86_64-linux gcc49 | |
x86_64-linux lhapdf | |
x86_64-linux rubyPackages_3_1.ffi | |
aarch64-linux haskellPackages.lazyio | |
x86_64-darwin haskellPackages.diagrams-solve | |
x86_64-darwin python311Packages.mpl-scatter-density | |
x86_64-linux python312Packages.libiio | |
aarch64-darwin perl538Packages.MP3Tag | |
x86_64-linux perl536Packages.EmailOutlookMessage | |
x86_64-linux shorewall | |
aarch64-linux cargo-fuzz | |
x86_64-darwin python312Packages.pyecowitt | |
x86_64-darwin deco | |
x86_64-linux emacsPackages.redtt | |
x86_64-linux liberation_ttf_v1 | |
x86_64-linux netboot | |
x86_64-darwin python311Packages.puremagic | |
x86_64-linux python312Packages.fastembed | |
aarch64-linux perl536Packages.SysVirt | |
aarch64-darwin flutter316 | |
x86_64-linux linuxKernel.packages.linux_5_4.rtl8812au | |
x86_64-darwin rubyPackages_3_2.jekyll-archives | |
aarch64-darwin python311Packages.pypugjs | |
x86_64-linux haskellPackages.gray-extended | |
aarch64-linux rubyPackages_3_3.slop | |
aarch64-darwin python311Packages.pyngo | |
x86_64-darwin python311Packages.tunigo | |
x86_64-linux cppe | |
x86_64-darwin python311Packages.galario | |
x86_64-darwin vimPlugins.vim-anzu | |
aarch64-linux fend | |
x86_64-linux python312Packages.ropper | |
aarch64-linux home-assistant-component-tests.image_processing | |
aarch64-darwin mcfly-fzf | |
x86_64-linux emacsPackages.visual-fill-column | |
aarch64-darwin python312Packages.msrest | |
x86_64-linux haskellPackages.chell | |
aarch64-darwin vimPlugins.vim-colemak | |
x86_64-linux zprint | |
aarch64-linux perl536Packages.DataVisitor | |
aarch64-linux perl536Packages.FileZglob | |
aarch64-linux vimPlugins.vim-extradite | |
x86_64-linux vscode-extensions.ms-dotnettools.csharp | |
x86_64-linux python311Packages.rainbowstream | |
x86_64-linux haskellPackages.scientific | |
aarch64-darwin python312Packages.pytest-quickcheck | |
x86_64-linux gimpPlugins.waveletSharpen | |
aarch64-linux python312Packages.coreapi | |
x86_64-linux python311Packages.fleep | |
x86_64-darwin libsForQt5.qt5.qtpositioning | |
aarch64-darwin haskellPackages.binary-literal-qq | |
x86_64-linux trillian | |
aarch64-linux vimPlugins.nerdcommenter | |
x86_64-darwin emacsPackages.kv | |
x86_64-linux rathole | |
aarch64-darwin python311Packages.pyprusalink | |
x86_64-darwin python312Packages.pytest-click | |
x86_64-linux haskellPackages.yesod | |
x86_64-darwin lfe | |
x86_64-linux haskellPackages.template-haskell-compat-v0208 | |
x86_64-darwin languageMachines.timblserver | |
aarch64-linux emacsPackages.handlebars-sgml-mode | |
x86_64-darwin blocky | |
x86_64-darwin terraform-providers.signalfx | |
aarch64-darwin emacsPackages.smart-window | |
x86_64-darwin operator-sdk | |
x86_64-darwin emacsPackages.git-gutter-fringe+ | |
x86_64-darwin python312Packages.jaraco-context | |
x86_64-darwin cargo-geiger | |
x86_64-linux hdf5_1_10 | |
aarch64-darwin emacsPackages.leaf | |
aarch64-darwin perl536Packages.LaTeXML | |
aarch64-darwin python312Packages.miniupnpc | |
aarch64-darwin vimPlugins.vim-ghost | |
x86_64-darwin haskellPackages.hsndfile-storablevector | |
aarch64-linux python311Packages.dbus-signature-pyparsing | |
x86_64-linux haskellPackages.monad-batcher | |
x86_64-linux emacsPackages.sly-asdf | |
x86_64-linux rubyPackages_3_3.ruby-lsp | |
x86_64-linux docbook5 | |
aarch64-darwin python311Packages.jsonschema | |
x86_64-linux tests.cuda.cudaPackages_12_3.cuda-samples | |
x86_64-linux rubyPackages.git | |
x86_64-darwin python312Packages.mypy-boto3-schemas | |
x86_64-linux maxima-ecl | |
aarch64-darwin gitea-actions-runner | |
aarch64-linux onthespot | |
aarch64-linux llvmPackages_13.libunwind | |
aarch64-linux perl538Packages.ModuleBuildXSUtil | |
x86_64-darwin minimacy | |
x86_64-linux linuxptp | |
x86_64-linux luajitPackages.luadbi | |
aarch64-linux linuxPackages-libre.nvidia_x11_vulkan_beta_open | |
aarch64-darwin emacsPackages.flatland-black-theme | |
x86_64-linux vimPlugins.nvimdev-nvim | |
aarch64-linux haskellPackages.czipwith | |
x86_64-darwin haskellPackages.tldr | |
x86_64-linux perl536Packages.Clipboard | |
x86_64-darwin python311Packages.autopage | |
aarch64-linux home-assistant-component-tests.melcloud | |
x86_64-linux lsd2dsl | |
aarch64-darwin perl536Packages.MooseXStrictConstructor | |
aarch64-darwin haskellPackages.barbies | |
aarch64-linux perl536Packages.ExcelWriterXLSX | |
x86_64-linux python311Packages.envoy-utils | |
x86_64-darwin haskellPackages.hslua-core | |
aarch64-darwin msgviewer | |
aarch64-darwin emacsPackages.guess-language | |
x86_64-darwin perl538Packages.TextWikiFormat | |
x86_64-linux python312Packages.azure-synapse-spark | |
x86_64-linux wsl-open | |
x86_64-linux xorg.xcmsdb | |
aarch64-linux linuxKernel.packages.linux_6_1.nct6687d | |
x86_64-darwin python312Packages.aresponses | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.libmagic | |
x86_64-linux flutter | |
x86_64-linux perl536Packages.MP3CutGapless | |
aarch64-linux postgresql14Packages.plpgsql_check | |
x86_64-darwin codeowners | |
aarch64-darwin haskellPackages.opentheory-parser | |
aarch64-darwin mkdocs | |
x86_64-linux vscode-extensions.ban.spellright | |
aarch64-darwin antlr4_11 | |
aarch64-darwin python311Packages.stringbrewer | |
x86_64-linux pam | |
aarch64-linux bucklespring-libinput | |
aarch64-darwin emacsPackages.most-used-words | |
x86_64-darwin rubyPackages.parallel | |
aarch64-darwin rtptools | |
x86_64-linux python311Packages.periodictable | |
aarch64-darwin haskellPackages.amazonka-lakeformation | |
x86_64-darwin python311Packages.gotailwind | |
x86_64-linux linuxKernel.packages.linux_4_19.nvidia_x11_vulkan_beta_open | |
aarch64-linux haskellPackages.amazonka-rekognition | |
aarch64-darwin haskellPackages.c0parser | |
x86_64-linux python312Packages.google-cloud-workflows | |
x86_64-darwin python311Packages.pyquil | |
x86_64-darwin nghttp3 | |
x86_64-darwin python312Packages.mypy-boto3-application-autoscaling | |
aarch64-linux python312Packages.azure-cosmosdb-nspkg | |
x86_64-linux materia-theme | |
x86_64-darwin rubyPackages_3_2.cocoapods-acknowledgements | |
aarch64-linux twiggy | |
x86_64-darwin graylogPlugins.splunk | |
x86_64-darwin haxePackages.hlsdl | |
aarch64-darwin emacsPackages.toml-mode | |
x86_64-linux perl536Packages.HashSafeKeys | |
aarch64-linux vimPlugins.stylish-nvim | |
x86_64-darwin xorg.xf86videoopenchrome | |
aarch64-linux colorz | |
aarch64-darwin python311Packages.pyramid | |
aarch64-darwin tmuxp | |
aarch64-linux emacsPackages.native-complete | |
x86_64-linux multiviewer-for-f1 | |
aarch64-linux perl536Packages.Gtk2Unique | |
x86_64-darwin emacsPackages.frame-tag | |
x86_64-linux libiconv | |
aarch64-linux python311Packages.kivy | |
x86_64-darwin titanium-alloy | |
aarch64-darwin python311Packages.sacremoses | |
x86_64-darwin python312Packages.omnilogic | |
x86_64-linux emacsPackages.doct | |
x86_64-linux haskellPackages.toml-reader-parse | |
x86_64-darwin python311Packages.mypy-boto3-iot-roborunner | |
aarch64-linux emacsPackages.ede-php-autoload | |
aarch64-linux python311Packages.testpath | |
x86_64-linux haskellPackages.populate-setup-exe-cache | |
aarch64-darwin cxxopts | |
x86_64-linux plasma5Packages.qgpgme | |
aarch64-linux sleuthkit | |
x86_64-darwin riff | |
x86_64-linux gnmic | |
x86_64-linux python312Packages.fastjsonschema | |
x86_64-linux haskellPackages.lens-sop | |
aarch64-linux python312Packages.l18n | |
aarch64-linux gnuradio3_8Packages.limesdr | |
x86_64-linux python311Packages.bluetooth-adapters | |
aarch64-linux python312Packages.pyphotonfile | |
x86_64-darwin rubyPackages_3_1.json | |
x86_64-linux frotz | |
aarch64-linux haskellPackages.split | |
x86_64-darwin tflint-plugins.tflint-ruleset-google | |
x86_64-linux luaPackages.basexx | |
x86_64-linux kiterunner | |
aarch64-linux perl536Packages.AppMusicChordPro | |
aarch64-linux python311Packages.imageio | |
aarch64-linux haskellPackages.significant-figures | |
x86_64-darwin haskellPackages.container-builder | |
aarch64-linux perl538Packages.MailRFC822Address | |
x86_64-linux emacsPackages.ace-popup-menu | |
x86_64-linux haskellPackages.bitcoin-scripting | |
aarch64-darwin libmatheval | |
x86_64-darwin remind | |
aarch64-linux haskellPackages.opentelemetry-plugin | |
x86_64-linux lightgbm | |
x86_64-darwin perl536Packages.CarpAssert | |
x86_64-darwin lua51Packages.binaryheap | |
x86_64-darwin sng | |
x86_64-darwin python312Packages.asteval | |
aarch64-linux python312Packages.multipledispatch | |
aarch64-darwin python312Packages.enzyme | |
x86_64-darwin keama | |
x86_64-darwin perl536Packages.EncodeEUCJPASCII | |
x86_64-darwin python312Packages.requests-kerberos | |
x86_64-linux python311Packages.graphtage | |
x86_64-darwin iosevka-comfy.comfy-wide-duo | |
aarch64-darwin emacsPackages.pmdm | |
aarch64-darwin perl538Packages.FinanceQuote | |
x86_64-linux gnome.gnome-music | |
x86_64-linux gnomeExtensions.volume-scroller | |
aarch64-linux chickenPackages_5.chickenEggs.pipes | |
aarch64-linux rubyPackages_3_1.digest-sha3 | |
aarch64-darwin perl536Packages.HTTPDaemon | |
x86_64-darwin python312Packages.pescea | |
aarch64-linux python312Packages.pyjks | |
x86_64-linux gensio | |
x86_64-darwin vimPlugins.nvim-jqx | |
aarch64-linux perl536Packages.CryptOpenSSLAES | |
x86_64-linux haskellPackages.VecN | |
x86_64-linux optifinePackages.optifine_1_8_9 | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.oci-seccomp-bpf-hook | |
x86_64-darwin python312Packages.mypy-boto3-medical-imaging | |
x86_64-linux python311Packages.hpccm | |
aarch64-linux gucci | |
x86_64-linux perl538Packages.CryptMySQL | |
x86_64-linux ofono-phonesim | |
x86_64-darwin github-runner | |
x86_64-linux panoply | |
x86_64-darwin python311Packages.gssapi | |
x86_64-linux python312Packages.calver | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.embedded_template | |
x86_64-linux python311Packages.marshmallow-dataclass | |
aarch64-darwin haskellPackages.language-java | |
aarch64-darwin python311Packages.astropy | |
aarch64-darwin python311Packages.quantiphy-eval | |
x86_64-linux python312Packages.ms-cv | |
aarch64-darwin vcg | |
x86_64-linux gnomeExtensions.smart-resize | |
aarch64-darwin emacsPackages.dash-alfred | |
aarch64-darwin lua53Packages.luarocks | |
x86_64-linux python312Packages.openant | |
x86_64-darwin buildkite-agent | |
aarch64-darwin khd | |
x86_64-darwin haskellPackages.monad-time | |
aarch64-linux python312Packages.types-aiobotocore-acm-pca | |
x86_64-linux python312Packages.types-aiobotocore-medialive | |
aarch64-linux perl538Packages.FileFcntlLock | |
aarch64-darwin haskellPackages.dirtree | |
aarch64-linux alan | |
x86_64-linux haskellPackages.snap-cors | |
aarch64-linux tblite | |
x86_64-darwin haskellPackages.lucid-extras | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.markdown_inline | |
aarch64-linux python311Packages.types-aiobotocore-chime | |
aarch64-linux usbsdmux | |
x86_64-linux rpg-cli | |
x86_64-darwin python311Packages.smart-meter-texas | |
x86_64-linux coq_8_7 | |
x86_64-darwin emacsPackages.bbdb- | |
aarch64-darwin emacsPackages.quick-shell-keybind | |
x86_64-linux emacsPackages.sunshine | |
aarch64-linux haskellPackages.pipes-kafka | |
aarch64-darwin python312Packages.types-aiobotocore-iotwireless | |
x86_64-linux haskellPackages.echo | |
x86_64-linux python311Packages.pysnmp-pysmi | |
aarch64-darwin git-brunch | |
x86_64-linux python312Packages.types-aiobotocore-autoscaling-plans | |
x86_64-linux perl536Packages.DateTime | |
x86_64-linux brunsli | |
x86_64-linux luaPackages.luasec | |
aarch64-darwin lua51Packages.luacov | |
x86_64-linux python312Packages.pypdf2 | |
x86_64-linux precice-config-visualizer | |
aarch64-darwin firebase-tools | |
x86_64-darwin python311Packages.crysp | |
x86_64-linux dosis | |
x86_64-linux comical | |
aarch64-darwin libptytty | |
aarch64-linux python311Packages.waitress | |
x86_64-darwin python311Packages.yalexs-ble | |
x86_64-darwin python312Packages.nanoleaf | |
x86_64-linux clippy | |
x86_64-darwin qmake2cmake | |
x86_64-linux python312Packages.mypy-boto3-arc-zonal-shift | |
aarch64-linux haskellPackages.hmatrix-morpheus | |
aarch64-darwin python312Packages.jxmlease | |
x86_64-linux emacsPackages.literate-calc-mode | |
aarch64-linux qt6Packages.qtquicktimeline | |
x86_64-darwin emacsPackages.gf | |
x86_64-linux python311Packages.jmp | |
aarch64-darwin emacsPackages.eshell-info-banner | |
x86_64-darwin haskellPackages.ginger | |
x86_64-linux linuxKernel.packages.linux_6_1.openrazer | |
aarch64-darwin haskellPackages.postgresql-typed | |
aarch64-darwin python311Packages.versiontools | |
aarch64-linux python312Packages.html5-parser | |
x86_64-linux gtkmm4 | |
x86_64-darwin python312Packages.sfepy | |
aarch64-linux emacsPackages.column-enforce-mode | |
aarch64-darwin emacsPackages.wc-mode | |
aarch64-linux agedu | |
aarch64-darwin python312Packages.python-snap7 | |
x86_64-linux gnomeExtensions.alt-tab-active-windows | |
x86_64-darwin python311Packages.opentelemetry-exporter-otlp | |
aarch64-linux haskellPackages.pandoc | |
aarch64-darwin haskellPackages.snap-server | |
x86_64-linux emacsPackages.pmdm | |
aarch64-darwin python311Packages.typical | |
aarch64-darwin vimPlugins.codi-vim | |
x86_64-linux daemonize | |
aarch64-linux emacsPackages.lisp-extra-font-lock | |
aarch64-linux rclone-browser | |
x86_64-linux python311Packages.bson | |
x86_64-linux python312Packages.graphql-server-core | |
x86_64-darwin idrisPackages.xhr | |
x86_64-darwin haskellPackages.mod | |
aarch64-linux terraform-providers.cloudinit | |
aarch64-linux haskellPackages.type-fun | |
x86_64-darwin perl536Packages.CacheSimpleTimedExpiry | |
x86_64-darwin python312Packages.peco | |
aarch64-linux python312Packages.tweepy | |
aarch64-linux python312Packages.mccabe | |
x86_64-darwin libsForQt5.kjs | |
x86_64-darwin haskellPackages.regex-tdfa | |
aarch64-darwin python312Packages.types-aiobotocore-managedblockchain-query | |
x86_64-linux haskell.compiler.ghc948 | |
x86_64-linux perl536Packages.AnyURIEscape | |
x86_64-linux python312Packages.django-encrypted-model-fields | |
aarch64-darwin perl538Packages.PathTools | |
aarch64-linux linuxKernel.packages.linux_6_7.nvidia_x11_beta | |
aarch64-linux plasma5Packages.qtimageformats | |
aarch64-linux postgresql13JitPackages.pg_safeupdate | |
aarch64-darwin python312Packages.twiggy | |
x86_64-linux nitrokey-app | |
x86_64-linux rubyPackages_3_1.dip | |
x86_64-darwin python312Packages.appdirs | |
x86_64-linux untrunc-anthwlock | |
x86_64-linux python312Packages.aiomodernforms | |
x86_64-darwin python311Packages.unix-ar | |
x86_64-darwin mill | |
x86_64-darwin emacsPackages.xquery-tool | |
aarch64-darwin haskellPackages.persistent-postgresql | |
x86_64-darwin python311Packages.phe | |
aarch64-darwin chickenPackages_5.chickenEggs.pwdb | |
x86_64-darwin haskellPackages.djinn | |
aarch64-darwin postgresql16JitPackages.pg_hint_plan | |
x86_64-linux fontconfig | |
aarch64-darwin perl536Packages.ReadonlyXS | |
x86_64-linux python311Packages.mailcap-fix | |
aarch64-darwin haskellPackages.simplex-basic | |
x86_64-linux vimPlugins.iron-nvim | |
x86_64-darwin python312Packages.mypy-boto3-acm-pca | |
aarch64-darwin python311Packages.playwright | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.foam | |
aarch64-linux python312Packages.warble | |
x86_64-linux python312Packages.types-aiobotocore-service-quotas | |
aarch64-darwin llvmPackages_15.llvm | |
aarch64-linux emacsPackages.pr-review | |
aarch64-linux haskellPackages.feature-flags | |
aarch64-linux python311Packages.b2sdk | |
aarch64-linux perl538Packages.PerlPrereqScanner | |
aarch64-darwin haskellPackages.persistent-parser | |
aarch64-linux prometheus-tor-exporter | |
x86_64-linux emacsPackages.goose-theme | |
x86_64-linux pmix | |
x86_64-linux bigloo | |
x86_64-darwin python311Packages.mypy-boto3-iot-data | |
x86_64-linux rubyPackages_3_2.httpclient | |
aarch64-darwin terraform-providers.fortios | |
aarch64-linux python312Packages.crownstone-cloud | |
x86_64-darwin haskellPackages.edit-distance-linear | |
aarch64-linux git-extras | |
x86_64-darwin perl538Packages.TermSk | |
aarch64-linux iconConvTools | |
x86_64-linux emacsPackages.tangotango-theme | |
aarch64-linux haskellPackages.hie-bios | |
aarch64-darwin orocos-kdl | |
aarch64-darwin python311Packages.ptyprocess | |
aarch64-darwin python311Packages.telfhash | |
aarch64-darwin rubyPackages_3_3.kdl | |
x86_64-linux lua54Packages.telescope-nvim | |
aarch64-linux python312Packages.aionanoleaf | |
x86_64-linux python312Packages.pytimeparse2 | |
aarch64-darwin haskellPackages.lifted-threads | |
x86_64-linux libdbusmenu-gtk2 | |
aarch64-darwin pypy2 | |
x86_64-linux python312Packages.pyworld | |
x86_64-linux vimPlugins.lh-vim-lib | |
x86_64-linux gnomeExtensions.disable-take-screenshot | |
x86_64-darwin emacsPackages.button-lock | |
x86_64-darwin perl536Packages.ChipcardPCSC | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.xcursor | |
x86_64-darwin emacsPackages.haskell-emacs-text | |
x86_64-darwin haskellPackages.hw-kafka-avro | |
x86_64-linux linuxPackages.lttng-modules | |
aarch64-linux python311Packages.types-aiobotocore-mgn | |
aarch64-linux nextcloud27 | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.openssl | |
aarch64-darwin emacsPackages.uuid | |
x86_64-linux home-assistant-component-tests.eufylife_ble | |
aarch64-linux libaom | |
aarch64-darwin perl538Packages.DataSExpression | |
x86_64-darwin python312Packages.getjump | |
aarch64-linux python312Packages.pysimplesoap | |
x86_64-linux python312Packages.types-pillow | |
x86_64-darwin haskellPackages.mvar-lock | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.rtl8192eu | |
aarch64-darwin haskellPackages.gjk2d | |
aarch64-linux linuxPackages_6_6_hardened.dpdk | |
x86_64-darwin tesseract4 | |
x86_64-linux openmvs | |
x86_64-linux dleyna-renderer | |
x86_64-linux fanficfare | |
aarch64-linux python312Packages.pysmappee | |
aarch64-linux python311Packages.mplleaflet | |
aarch64-linux linuxPackages_6_5_hardened.perf | |
aarch64-linux falcoctl | |
x86_64-linux perl536Packages.FennecLite | |
aarch64-darwin dialogbox | |
aarch64-linux vimPlugins.vimproc-vim | |
x86_64-darwin haskellPackages.simplex-method | |
aarch64-linux haskellPackages.fold-debounce | |
x86_64-darwin rubyPackages_3_2.mercenary | |
aarch64-linux freealut | |
aarch64-darwin emacsPackages.i2b2-mode | |
x86_64-linux keepass-keepassrpc | |
x86_64-darwin emacsPackages.math-tex-convert | |
aarch64-darwin jackline | |
aarch64-linux gay | |
x86_64-darwin python311Packages.matlink-gpapi | |
aarch64-darwin python312Packages.reuse | |
x86_64-linux go-judge | |
aarch64-linux python312Packages.django-scopes | |
aarch64-linux emacsPackages.dynamic-spaces | |
x86_64-darwin corefonts | |
aarch64-darwin haskellPackages.haskell-gettext | |
x86_64-darwin emacsPackages.obfusurl | |
x86_64-linux python311Packages.energyflip-client | |
x86_64-linux oraclejdk11 | |
aarch64-darwin perl538Packages.TaskFreecellSolverTesting | |
x86_64-darwin haskellPackages.bitset-word8 | |
aarch64-linux haskellPackages.pava | |
aarch64-darwin python312Packages.types-aiobotocore-migrationhubstrategy | |
x86_64-darwin haskellPackages.scanner | |
x86_64-darwin rubyPackages_3_3.typhoeus | |
aarch64-linux haskellPackages.quickcheck-simple | |
aarch64-linux python311Packages.nosejs | |
x86_64-linux perl536Packages.TieToObject | |
x86_64-darwin python312Packages.validobj | |
x86_64-darwin perl538Packages.MailSendmail | |
aarch64-linux python311Packages.treq | |
x86_64-linux ibus-engines.typing-booster | |
x86_64-darwin mate.mate-user-share | |
x86_64-linux home-assistant-component-tests.soma | |
aarch64-darwin perl536Packages.MooseXSingleton | |
aarch64-darwin emacsPackages.lastfm | |
aarch64-darwin emacsPackages.region-bindings-mode | |
x86_64-darwin emacsPackages.grab-mac-link | |
x86_64-darwin csvdiff | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.liblzma | |
x86_64-linux libmcrypt | |
aarch64-linux haskellPackages.MissingH | |
x86_64-darwin python311Packages.pkg-about | |
aarch64-linux entwine | |
aarch64-linux emacsPackages.lfe-mode | |
x86_64-linux emacsPackages.markup | |
aarch64-darwin vimPlugins.playground | |
aarch64-linux wmderlandc | |
aarch64-darwin nethack-qt | |
aarch64-linux python312Packages.taxi | |
aarch64-darwin emacsPackages.dime | |
aarch64-darwin python312Packages.python-nomad | |
aarch64-linux lpcnetfreedv | |
aarch64-linux python311Packages.pq | |
aarch64-darwin emacsPackages.simplenote | |
x86_64-linux python311Packages.tensorboard-plugin-wit | |
aarch64-darwin python312Packages.avidtools | |
x86_64-darwin python311Packages.pycparser | |
aarch64-darwin emacsPackages.disk-usage | |
aarch64-linux haskellPackages.amazonka-gamesparks | |
x86_64-linux haskellPackages.swagger2 | |
x86_64-darwin vaultwarden-mysql | |
aarch64-linux libmpack | |
x86_64-linux php81Extensions.xmlwriter | |
x86_64-linux trousers | |
aarch64-linux python312Packages.pysingleton | |
x86_64-darwin emacsPackages.gams-mode | |
x86_64-linux chickenPackages_5.chickenEggs.comic-snarfer | |
aarch64-darwin python311Packages.cexprtk | |
x86_64-darwin ddate | |
x86_64-linux python311Packages.mdtraj | |
x86_64-linux nwg-wrapper | |
aarch64-linux rubyPackages_3_3.mime-types | |
aarch64-darwin haskellPackages.Shrub | |
x86_64-darwin emacsPackages.bencode | |
aarch64-linux icingaweb2 | |
x86_64-darwin log4shell-detector | |
aarch64-linux libmx | |
x86_64-darwin haskellPackages.wraxml | |
aarch64-linux zpaqd | |
aarch64-linux rancid | |
x86_64-linux haskellPackages.derp | |
x86_64-darwin python311Packages.pyialarm | |
aarch64-linux python312Packages.unix-ar | |
x86_64-linux python311Packages.azure-mgmt-hanaonazure | |
aarch64-linux kaitai-struct-compiler | |
aarch64-darwin openfx | |
x86_64-linux emacsPackages.point-pos | |
x86_64-darwin chickenPackages_5.chickenEggs.filepath | |
aarch64-linux python311Packages.furo | |
aarch64-linux python311Packages.opentelemetry-exporter-otlp-proto-common | |
aarch64-linux vimPlugins.vim-iced-coffee-script | |
x86_64-linux heroku | |
aarch64-darwin python311Packages.mypy-boto3-route53-recovery-cluster | |
x86_64-darwin python311Packages.types-aiobotocore-opensearch | |
x86_64-linux internetarchive | |
x86_64-linux libxpdf | |
aarch64-darwin python311Packages.types-aiobotocore-ram | |
aarch64-darwin perl536Packages.Gtk2 | |
x86_64-darwin llvmPackages_9.libcxx | |
x86_64-linux perl536Packages.StringTT | |
x86_64-linux python312Packages.django-formtools | |
x86_64-linux graphite-gtk-theme | |
x86_64-darwin nttcp | |
aarch64-darwin haskellPackages.word-trie | |
x86_64-linux libsForQt5.kcodecs | |
aarch64-linux emacsPackages.with-emacs | |
aarch64-darwin perl538Packages.Mojomysql | |
x86_64-linux clightning | |
aarch64-darwin haskellPackages.LinguisticsTypes | |
x86_64-linux linuxPackages_5_4_hardened.mba6x_bl | |
aarch64-darwin emacsPackages.bundler | |
aarch64-linux haskellPackages.data-inttrie | |
aarch64-darwin python311Packages.pygraphviz | |
x86_64-linux python312Packages.jenkinsapi | |
x86_64-linux libsForQt5.kmix | |
aarch64-darwin python311Packages.jellyfin-apiclient-python | |
aarch64-darwin haskellPackages.ghc-gc-tune | |
aarch64-linux hunspellDicts.uk_UA | |
x86_64-darwin python312Packages.zope-hookable | |
x86_64-darwin perl538Packages.TextGlob | |
x86_64-darwin python311Packages.bsdiff4 | |
x86_64-darwin python311Packages.zope-testing | |
aarch64-linux home-assistant-component-tests.traccar | |
aarch64-linux selinux-sandbox | |
x86_64-linux uhdm | |
aarch64-linux srht-gen-oauth-tok | |
x86_64-linux tests.testers.testBuildFailure.happy | |
x86_64-linux emacsPackages.eval-sexp-fu | |
aarch64-darwin nahid-fonts | |
aarch64-linux xarcan | |
aarch64-linux haskellPackages.uglymemo | |
x86_64-darwin perl538Packages.BioExtAlign | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.stdenv | |
x86_64-darwin haskellPackages.sha-validation | |
aarch64-darwin haskellPackages.vinyl | |
x86_64-darwin python311Packages.pysocks | |
x86_64-linux protoc-gen-doc | |
x86_64-darwin dovecot | |
x86_64-linux python311Packages.tidyexc | |
x86_64-darwin python312Packages.pdfplumber | |
aarch64-darwin python312Packages.pyi2cflash | |
aarch64-linux ruby-zoom | |
x86_64-darwin libsForQt5.qtutilities | |
x86_64-linux haskellPackages.partial-order | |
aarch64-linux python312Packages.mdformat-mkdocs | |
aarch64-linux haskellPackages.glpk-hs | |
aarch64-darwin matrix-sdk-crypto-nodejs-0_1_0-beta_3 | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.gnuplot | |
x86_64-darwin perl538Packages.GD | |
x86_64-darwin emacsPackages.bmx-mode | |
x86_64-darwin perl538Packages.FileFindIterator | |
x86_64-linux haskellPackages.ety | |
aarch64-linux python311Packages.hyperframe | |
aarch64-darwin webfontkitgenerator | |
aarch64-darwin haskellPackages.inbox | |
aarch64-darwin oksh | |
x86_64-linux rsbkb | |
aarch64-linux elinks | |
x86_64-darwin python311Packages.pydicom | |
x86_64-linux python312Packages.types-aiobotocore-textract | |
x86_64-linux lerc | |
aarch64-darwin llvmPackages_9.stdenv | |
x86_64-linux xorg.xclock | |
x86_64-darwin libgtop | |
x86_64-darwin opencorsairlink | |
x86_64-linux haskellPackages.nat-optics | |
x86_64-linux python312Packages.pylutron | |
x86_64-linux wasm-pack | |
x86_64-linux obex_data_server | |
aarch64-linux python311Packages.pure-eval | |
x86_64-linux haskellPackages.katip-logstash | |
aarch64-linux coqPackages.metacoq | |
x86_64-linux python311Packages.pillow | |
x86_64-darwin python311Packages.setuptools-git-versioning | |
x86_64-linux lua51Packages.lua-resty-http | |
aarch64-darwin python312Packages.pyyaml | |
x86_64-linux python311Packages.graph-tool | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.tmon | |
x86_64-linux home-assistant-component-tests.wake_word | |
x86_64-linux decent-sampler | |
x86_64-darwin haskellPackages.amazonka-backup-gateway | |
x86_64-linux python312Packages.demes | |
aarch64-darwin fltk-minimal | |
x86_64-linux lapack | |
aarch64-darwin python312Packages.pytest-mypy-plugins | |
x86_64-darwin emacsPackages.evil-owl | |
x86_64-darwin conftest | |
aarch64-darwin dnscontrol | |
x86_64-darwin perl536Packages.DateTimeFormatNatural | |
x86_64-darwin credential-detector | |
aarch64-darwin python312Packages.monty | |
x86_64-linux mya | |
x86_64-darwin python312Packages.pyrfxtrx | |
aarch64-linux haskellPackages.smtlib-backends | |
x86_64-darwin haskellPackages.lifted-threads | |
aarch64-darwin mellowplayer | |
x86_64-linux qbittorrent-qt5 | |
aarch64-linux webkitgtk_6_0 | |
x86_64-linux python312Packages.unicurses | |
aarch64-linux perl538Packages.CookieXS | |
x86_64-linux emacsPackages.elfeed-score | |
aarch64-darwin python312Packages.django-scopes | |
x86_64-darwin cointop | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.ipu6-drivers | |
aarch64-linux linuxKernel.packages.linux_5_4.mxu11x0 | |
x86_64-darwin docbook_xml_dtd_44 | |
x86_64-darwin emacsPackages.sudo-edit | |
x86_64-darwin python311Packages.scripttest | |
aarch64-darwin alerta | |
aarch64-linux topgrade | |
x86_64-linux haskellPackages.lambdabot-misc-plugins | |
aarch64-linux python312Packages.mypy-boto3-medialive | |
x86_64-linux haskellPackages.bytebuild | |
aarch64-linux perl538Packages.UUIDURandom | |
x86_64-linux libraw1394 | |
x86_64-darwin python311Packages.google-i18n-address | |
x86_64-linux haskellPackages.lambda | |
aarch64-darwin postgresqlPackages.plr | |
aarch64-darwin haskellPackages.portray-diff-hunit | |
aarch64-linux linuxKernel.packages.linux_6_7.jool | |
x86_64-darwin radare2 | |
x86_64-darwin python311Packages.streamdeck | |
x86_64-linux linuxKernel.packages.linux_6_5_hardened.opensnitch-ebpf | |
x86_64-darwin haskellPackages.gi-gstbase | |
x86_64-darwin haskellPackages.monad-unlift-ref | |
aarch64-darwin rubyPackages.jekyll-feed | |
aarch64-linux rubyPackages_3_2.jekyll-theme-hacker | |
x86_64-linux haskellPackages.asn1-encoding | |
x86_64-darwin emacsPackages.dokuwiki-mode | |
aarch64-linux emacsPackages.apples-mode | |
x86_64-darwin haskellPackages.lsp | |
x86_64-linux python312Packages.azure-mgmt-batch | |
aarch64-darwin python312Packages.xvfbwrapper | |
aarch64-linux robotfindskitten | |
x86_64-darwin fn-cli | |
x86_64-linux linuxKernel.packages.linux_libre.kernel | |
x86_64-linux lua51Packages.lua-iconv | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.veikk-linux-driver | |
x86_64-linux emacsPackages.elfeed-protocol | |
x86_64-linux emacsPackages.gulp-task-runner | |
x86_64-darwin emacsPackages.coverlay | |
aarch64-darwin emacsPackages.flycheck-bashate | |
aarch64-linux perl536Packages.CryptIDEA | |
aarch64-linux perl536Packages.FunctionParameters | |
aarch64-darwin rubyPackages_3_1.activestorage | |
x86_64-linux perl538Packages.DBIxClassDynamicDefault | |
x86_64-darwin gtksourceview5 | |
x86_64-linux perl536Packages.LogDispatchArray | |
x86_64-darwin postgresqlPackages.pg_net | |
aarch64-linux python312Packages.wordcloud | |
aarch64-darwin argparse-manpage | |
x86_64-linux python311Packages.flask-common | |
x86_64-linux python311Packages.betacode | |
aarch64-linux psitop | |
aarch64-darwin python312Packages.jaraco-context | |
x86_64-linux haskellPackages.midi-util | |
x86_64-linux sound-of-sorting | |
x86_64-darwin haskellPackages.amazonka-accessanalyzer | |
x86_64-linux postgresqlJitPackages.pgroonga | |
aarch64-linux python312Packages.retry-decorator | |
x86_64-linux linuxKernel.packages.linux_libre.rtl8189es | |
aarch64-linux rubyPackages_3_3.kdl | |
aarch64-linux linuxKernel.packages.linux_5_15.rtl8821cu | |
x86_64-linux python312Packages.zerorpc | |
aarch64-darwin rustdesk | |
aarch64-darwin python311Packages.dllogger | |
aarch64-darwin qt6Packages.qtdoc | |
aarch64-linux vimPlugins.vim-xtabline | |
aarch64-linux haskellPackages.amazonka-kinesis-firehose | |
aarch64-darwin python311Packages.yalexs | |
x86_64-darwin vimPlugins.neotest-rust | |
aarch64-linux arcan | |
aarch64-darwin haskellPackages.deep-map | |
x86_64-linux python312Packages.pyombi | |
aarch64-linux linuxPackages_5_4_hardened.mbp2018-bridge-drv | |
x86_64-darwin plasma5Packages.qtx11extras | |
x86_64-darwin haskellPackages.libyaml | |
x86_64-linux linuxPackages.vmware | |
x86_64-linux airlift | |
x86_64-linux python311Packages.schwifty | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.rr-zen_workaround | |
aarch64-linux python311Packages.types-aiobotocore-grafana | |
aarch64-darwin syncthing-discovery | |
aarch64-linux emacsPackages.multi-term | |
aarch64-linux linuxKernel.packages.linux_5_10.qc71_laptop | |
aarch64-darwin haskellPackages.pa-run-command | |
x86_64-linux febio | |
x86_64-darwin perl538Packages.MojoliciousPluginTextExceptions | |
x86_64-linux python311Packages.pymorphy2-dicts-ru | |
aarch64-darwin postgresqlPackages.citus | |
aarch64-linux python311Packages.fastcache | |
aarch64-darwin python311Packages.agate-dbf | |
x86_64-linux python311Packages.about-time | |
aarch64-linux emacsPackages.editorconfig-charset-extras | |
aarch64-darwin emacsPackages.exwm | |
aarch64-darwin perl536Packages.ImagePNGLibpng | |
x86_64-darwin perl536Packages.TextPDF | |
x86_64-linux oh-my-posh | |
aarch64-linux python312Packages.upnpy | |
aarch64-linux python312Packages.userpath | |
x86_64-darwin marwaita-peppermint | |
aarch64-linux libzmf | |
aarch64-darwin postgresqlPackages.pg_similarity | |
aarch64-linux bwidget | |
aarch64-linux beauty-line-icon-theme | |
aarch64-darwin luajitPackages.lua-messagepack | |
aarch64-linux vimPlugins.vim-automkdir | |
aarch64-linux emacsPackages.eask-mode | |
aarch64-linux emacsPackages.fic-mode | |
aarch64-darwin jwt-hack | |
x86_64-darwin python312Packages.ebaysdk | |
aarch64-darwin antlr4 | |
aarch64-linux apt-cacher-ng | |
x86_64-linux chickenPackages_5.chickenEggs.gnuplot-pipe | |
aarch64-linux emacsPackages.cyphejor | |
x86_64-darwin python312Packages.mypy-boto3-personalize | |
x86_64-linux blightmud-tts | |
aarch64-darwin vimPlugins.zenbones-nvim | |
aarch64-linux castopod | |
aarch64-linux tplay | |
x86_64-linux jqp | |
aarch64-darwin python311Packages.pytest-watch | |
aarch64-linux mp3cat | |
aarch64-darwin python312Packages.bitcoin-utils-fork-minimal | |
aarch64-linux libdmapsharing | |
x86_64-linux mopidy-tidal | |
x86_64-darwin haskellPackages.compact-word-vectors | |
x86_64-linux python312Packages.ipyvuetify | |
x86_64-linux python312Packages.plotext | |
aarch64-darwin python312Packages.uritools | |
aarch64-linux python311Packages.amaranth-boards | |
x86_64-linux shell_gpt | |
x86_64-linux beetsPackages.beets-stable | |
aarch64-linux chickenPackages_5.chickenEggs.srfi-101 | |
aarch64-linux perl536Packages.ClassC3Componentised | |
x86_64-linux python312Packages.holidays | |
aarch64-darwin python312Packages.mmtf-python | |
aarch64-darwin python312Packages.pycotap | |
x86_64-linux xarcan | |
x86_64-linux haskellPackages.hledger-stockquotes | |
x86_64-darwin haskellPackages.semantic | |
aarch64-linux python312Packages.types-aiobotocore-machinelearning | |
x86_64-linux linuxPackages_xanmod.rtw88 | |
aarch64-darwin plasma5Packages.kdav | |
x86_64-linux gnomeExtensions.clipqr | |
aarch64-linux hecate | |
aarch64-linux python311Packages.pythondialog | |
x86_64-darwin plasma5Packages.qzxing | |
aarch64-darwin haskellPackages.eithers | |
aarch64-darwin perl538Packages.XSParseKeyword | |
aarch64-darwin rubyPackages_3_3.dep-selector-libgecode | |
x86_64-linux tunnelto | |
x86_64-linux timescaledb-tune | |
x86_64-linux pioneers | |
aarch64-darwin haskellPackages.config-ini | |
aarch64-linux python312Packages.mypy-boto3-sso-oidc | |
x86_64-darwin haskellPackages.double-extra | |
x86_64-linux tests.writers.simple.pypy3NoLibs | |
x86_64-darwin haskellPackages.spirv-reflect-types | |
aarch64-linux haskellPackages.servant-rawm | |
x86_64-linux haskellPackages.amazonka-glacier | |
aarch64-linux emacsPackages.walkclj | |
aarch64-linux haskellPackages.filtrable | |
aarch64-darwin python312Packages.sounddevice | |
aarch64-darwin emacsPackages.tql-mode | |
x86_64-darwin perl538Packages.UnicodeUTF8 | |
x86_64-darwin haskellPackages.mixed-types-num | |
aarch64-darwin python311Packages.pygsl | |
x86_64-linux python312Packages.fastavro | |
x86_64-linux chickenPackages_5.chickenEggs.awful-postgresql | |
x86_64-darwin lua53Packages.binaryheap | |
x86_64-linux gtkspellmm | |
x86_64-linux apparmor-parser | |
x86_64-darwin emacsPackages.quarto-mode | |
x86_64-linux python311Packages.deap | |
x86_64-linux suscan | |
aarch64-darwin perl538Packages.TimeOut | |
aarch64-linux python311Packages.token-bucket | |
x86_64-linux mdhtml | |
x86_64-linux emacsPackages.erblint | |
aarch64-darwin emacsPackages.forecast | |
x86_64-linux luajitPackages.sqlite | |
x86_64-darwin perl538Packages.TestHTTPServerSimple | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.scss | |
aarch64-darwin python311Packages.pylibmc | |
x86_64-linux haskellPackages.validity-unordered-containers | |
x86_64-darwin python312Packages.pytest-console-scripts | |
aarch64-linux hdhomerun-config-gui | |
x86_64-linux haskellPackages.wuerfelschlange | |
aarch64-linux lightum | |
aarch64-linux haskellPackages.print-console-colors | |
x86_64-darwin python312Packages.scikit-rf | |
aarch64-darwin haskellPackages.validity-path | |
x86_64-darwin python312Packages.miniupnpc | |
x86_64-linux rubyPackages.tiny_tds | |
aarch64-linux postgresql12JitPackages.plv8 | |
aarch64-linux chickenPackages_5.chickenEggs.fix-me-now | |
aarch64-linux microcom | |
aarch64-linux emacsPackages.utop | |
aarch64-linux python312Packages.volkszaehler | |
aarch64-darwin python311Packages.viennarna | |
aarch64-darwin haskellPackages.hinduce-associations-apriori | |
x86_64-linux libsForQt5.kdegraphics-mobipocket | |
aarch64-linux latexrun | |
aarch64-linux vimPlugins.vim-qf | |
aarch64-darwin watson | |
x86_64-darwin prow | |
aarch64-linux perl538Packages.POSIXstrftimeCompiler | |
aarch64-darwin rubyPackages_3_2.tty-color | |
aarch64-darwin haskellPackages.amazonka-appsync | |
x86_64-linux emacsPackages.zone-tmux-clock | |
aarch64-linux haskellPackages.amazonka-certificatemanager-pca | |
x86_64-darwin xorg.xf86videoomap | |
aarch64-linux python311Packages.icmplib | |
x86_64-linux haskellPackages.cobot | |
aarch64-linux emacsPackages.mo-vi-ment-mode | |
x86_64-linux gnomeExtensions.native-window-placement | |
aarch64-linux python312Packages.bytecode | |
x86_64-darwin emacsPackages.cpanfile-mode | |
aarch64-linux haskellPackages.aws-xray-client-wai | |
x86_64-linux gb-backup | |
x86_64-linux inconsolata | |
aarch64-linux haskellPackages.strict-mvar | |
x86_64-linux python312Packages.justext | |
x86_64-linux postgresql12Packages.timescaledb_toolkit | |
x86_64-linux python311Packages.google-ai-generativelanguage | |
x86_64-linux python311Packages.dufte | |
aarch64-linux perl536Packages.CryptFormat | |
aarch64-darwin vimPlugins.deoplete-github | |
aarch64-linux chickenPackages_5.chickenEggs.stemmer | |
x86_64-darwin perl538Packages.GnuPGInterface | |
x86_64-darwin python312Packages.pretend | |
x86_64-darwin python311Packages.pycep-parser | |
aarch64-linux haskellPackages.hls-splice-plugin | |
x86_64-linux hunspellDicts.hr_HR | |
aarch64-linux python312Packages.wsnsimpy | |
aarch64-darwin python311Packages.types-aiobotocore-snowball | |
aarch64-linux emacsPackages.sql-cassandra | |
x86_64-linux emacsPackages.starlit-theme | |
aarch64-darwin vimPlugins.deoplete-nvim | |
aarch64-darwin python312Packages.csrmesh | |
x86_64-darwin lua53Packages.fennel | |
aarch64-darwin haskellPackages.exotic-list-monads | |
aarch64-linux rubyPackages_3_3.rspec-core | |
x86_64-linux python312Packages.bimmer-connected | |
x86_64-linux luaPackages.argparse | |
x86_64-linux python311Packages.regex | |
aarch64-linux libsForQt5.killbots | |
aarch64-linux python311Packages.proglog | |
x86_64-darwin python312Packages.starkbank-ecdsa | |
x86_64-darwin haskellPackages.servant-rawm-client | |
aarch64-linux minio_legacy_fs | |
aarch64-linux python312Packages.pytestcache | |
x86_64-linux emacsPackages.siri-shortcuts | |
aarch64-linux kodiPackages.libretro-snes9x | |
aarch64-darwin python312Packages.videocr | |
aarch64-linux fastfetch | |
x86_64-darwin libmaxminddb | |
aarch64-linux emacsPackages.projectile-variable | |
x86_64-darwin haskellPackages.words | |
x86_64-linux runc | |
x86_64-linux haskellPackages.ghc-paths | |
x86_64-linux perl538Packages.ModuleInfo | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.systemtap | |
aarch64-linux lxgw-wenkai | |
x86_64-linux perl536Packages.DataICal | |
x86_64-linux python311Packages.checkdmarc | |
aarch64-linux rubyPackages_3_3.cocoapods-keys | |
aarch64-linux cmtk | |
aarch64-linux python311Packages.dicttoxml2 | |
x86_64-linux haskellPackages.amazonka-iotfleethub | |
x86_64-linux linuxPackages_latest.tbs | |
aarch64-linux perl538Packages.Filechmod | |
aarch64-darwin perl536Packages.NetServerCoro | |
aarch64-linux haskellPackages.wai-middleware-static-embedded | |
x86_64-linux python311Packages.mypy-boto3-discovery | |
aarch64-linux nginxMainline | |
x86_64-linux python311Packages.bandcamp-api | |
aarch64-linux qt5.qtpim | |
x86_64-linux emacsPackages.ayu-theme | |
x86_64-linux rubyPackages_3_3.libxml-ruby | |
aarch64-linux emacsPackages.keycast | |
x86_64-linux haskellPackages.managed-functions | |
aarch64-linux python311Packages.cachecontrol | |
aarch64-linux vimPlugins.playground | |
x86_64-linux emacsPackages.rutils | |
aarch64-linux haskellPackages.control-monad-free | |
x86_64-darwin barlow | |
x86_64-darwin aeacus | |
aarch64-darwin llvmPackages_15.clangNoLibcxx | |
x86_64-darwin haskellPackages.monads-fd | |
aarch64-darwin matomo | |
aarch64-darwin agdaPackages._1lab | |
x86_64-darwin perl536Packages.SafeIsa | |
aarch64-linux physfs_2 | |
aarch64-darwin haskellPackages.aeson-value-parser | |
aarch64-darwin perl538Packages.TermTable | |
x86_64-darwin emacsPackages.exwm-firefox-core | |
x86_64-darwin emacsPackages.helm-css-scss | |
x86_64-darwin luaPackages.luarocks-nix | |
aarch64-linux python311Packages.jupyter-events | |
aarch64-darwin hunspellDicts.sv-se | |
aarch64-linux emacsPackages.feebleline | |
aarch64-darwin haskellPackages.dec | |
x86_64-linux flare | |
x86_64-linux rocmPackages_5.migraphx | |
x86_64-linux python312Packages.mypy-boto3-mediastore | |
x86_64-linux haskellPackages.hackage-security-HTTP | |
aarch64-darwin vimPlugins.lualine-nvim | |
x86_64-linux gobetween | |
x86_64-linux rgp | |
aarch64-darwin python311Packages.clickhouse-cli | |
x86_64-darwin ndn-tools | |
x86_64-linux python312Packages.logmatic-python | |
aarch64-linux haskellPackages.takedouble | |
x86_64-linux python311Packages.treelog | |
x86_64-linux libtorch-bin | |
aarch64-linux linuxKernel.packages.linux_6_5_hardened.lttng-modules | |
aarch64-linux libretro.beetle-psx-hw | |
aarch64-linux emacsPackages.zuul | |
aarch64-linux home-assistant-component-tests.flick_electric | |
x86_64-linux emacsPackages.helm-project-persist | |
aarch64-linux linuxPackages_xanmod_latest.mba6x_bl | |
aarch64-linux emacsPackages.flycheck-julia | |
x86_64-linux dooit | |
x86_64-linux lief | |
aarch64-linux python312Packages.pylnk3 | |
x86_64-darwin emacsPackages.hgrc-mode | |
aarch64-darwin joshuto | |
x86_64-darwin haskellPackages.exact-pi | |
x86_64-linux python311Packages.werkzeug | |
aarch64-linux python312Packages.google-cloud-bigtable | |
aarch64-linux noto-fonts-cjk-serif | |
x86_64-linux haskellPackages.elenco-albero | |
aarch64-darwin emacsPackages.focus | |
aarch64-darwin emacsPackages.org-link-travis | |
x86_64-darwin open-fonts | |
x86_64-linux perl536Packages.CatalystViewTT | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.system76-io | |
x86_64-darwin python311Packages.jaraco-functools | |
aarch64-linux squid | |
x86_64-darwin rubyPackages.ruby-lxc | |
aarch64-darwin haskellPackages.proteaaudio-sdl | |
x86_64-darwin haskellPackages.verbosity | |
aarch64-linux freenet | |
aarch64-linux python311Packages.arsenic | |
x86_64-linux libvori | |
aarch64-darwin haskellPackages.nix-serve-ng | |
x86_64-darwin ovh-ttyrec | |
aarch64-linux python311Packages.pytest-unordered | |
aarch64-darwin python312Packages.xxhash | |
aarch64-linux tzdata | |
x86_64-linux linuxPackages_5_15_hardened.ipu6-drivers | |
aarch64-linux safeeyes | |
x86_64-darwin swc | |
x86_64-linux python312Packages.gdal | |
aarch64-linux python312Packages.meshcat | |
x86_64-darwin unbound | |
x86_64-darwin uni | |
x86_64-linux emacsPackages.detached | |
x86_64-linux emacsPackages.ol-tmsu | |
x86_64-linux fff | |
x86_64-linux perl536Packages.DistZillaPluginTestPortability | |
aarch64-darwin haskell.compiler.ghc926 | |
aarch64-darwin vimPlugins.vim-tmux-focus-events | |
aarch64-linux emacsPackages.lab | |
x86_64-linux rubyPackages_3_1.websocket-extensions | |
x86_64-linux srb2kart | |
aarch64-darwin haskellPackages.amazonka-sts | |
x86_64-darwin aspectj | |
aarch64-darwin haskellPackages.amazonka-ivschat | |
x86_64-linux linuxKernel.packages.linux_6_5_hardened.lenovo-legion-module | |
x86_64-linux perl536Packages.HashUtilFieldHashCompat | |
x86_64-linux linuxPackages.decklink | |
aarch64-darwin chickenPackages_5.chickenEggs.posix-utils | |
aarch64-darwin emacsPackages.flycheck-color-mode-line | |
aarch64-darwin emacsPackages.dired-git | |
aarch64-linux stacktile | |
x86_64-darwin python311Packages.cligj | |
aarch64-linux kbdd | |
x86_64-linux haskellPackages.lift-generics | |
x86_64-darwin python311Packages.nose-randomly | |
x86_64-darwin rubyPackages_3_1.cocoapods-dependencies | |
aarch64-darwin rubyPackages_3_2.ncursesw | |
x86_64-darwin python311Packages.tiledb | |
x86_64-linux python311Packages.types-aiobotocore-resource-explorer-2 | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-18 | |
aarch64-darwin bitwise | |
x86_64-linux linuxKernel.packages.linux_hardened.bpftrace | |
aarch64-darwin python311Packages.pyairnow | |
aarch64-linux perl538Packages.GraphicsTIFF | |
aarch64-linux hci | |
x86_64-linux python311Packages.bsddb3 | |
x86_64-darwin vcdimager | |
x86_64-linux haskellPackages.linear | |
aarch64-darwin emacsPackages.paren-completer | |
x86_64-darwin gap | |
x86_64-darwin emacsPackages.repo | |
x86_64-darwin haskellPackages.gi-gtk | |
x86_64-linux electron_19 | |
x86_64-linux idrisPackages.yaml | |
x86_64-linux xmldiff | |
x86_64-linux emacsPackages.wal-mode | |
aarch64-linux python311Packages.types-aiobotocore-auditmanager | |
aarch64-linux openraPackages_2019.mods.ra2 | |
aarch64-linux emacsPackages.mozc-popup | |
aarch64-darwin log4j-detect | |
aarch64-linux plasma5Packages.krecorder | |
aarch64-darwin perl538Packages.NetDNSResolverMock | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.akvcam | |
x86_64-linux massif-visualizer | |
x86_64-linux python311Packages.repoze-lru | |
aarch64-darwin haskellPackages.microspec | |
x86_64-darwin haskellPackages.logging-effect-syslog | |
x86_64-darwin python312Packages.pyvex | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.ixgbevf | |
x86_64-darwin python311Packages.djangorestframework-guardian | |
aarch64-linux linuxPackages.nvidia_x11 | |
aarch64-linux optifinePackages.optifine_1_18_2 | |
x86_64-linux teleport_13 | |
x86_64-darwin python312Packages.fontforge | |
x86_64-darwin python311Packages.types-aiobotocore-appstream | |
aarch64-linux dogecoind | |
x86_64-linux haskellPackages.quickcheck-monoid-subclasses | |
x86_64-darwin haskellPackages.adhoc-fixtures-hspec | |
aarch64-darwin haskellPackages.selections | |
x86_64-linux kodiPackages.youtube | |
aarch64-darwin python311Packages.language-tags | |
x86_64-linux rubyPackages_3_3.jekyll-sitemap | |
aarch64-darwin haskellPackages.sqlcli-odbc | |
aarch64-linux home-assistant-component-tests.thermobeacon | |
x86_64-linux haskellPackages.fixtime | |
x86_64-linux license-scanner | |
aarch64-darwin emacsPackages.nix-buffer | |
x86_64-linux emacsPackages.flycheck-elm | |
x86_64-linux python311Packages.tunigo | |
x86_64-darwin hmmer | |
aarch64-linux perl538Packages.IODigest | |
x86_64-linux homesick | |
x86_64-darwin emacsPackages.rimero-theme | |
aarch64-darwin postgresql12Packages.temporal_tables | |
aarch64-linux daemontools | |
aarch64-linux vivisect | |
aarch64-linux lzop | |
x86_64-darwin diffr | |
x86_64-darwin python311Packages.mdformat-gfm | |
aarch64-linux haskellPackages.reactive-io | |
aarch64-darwin netcdf-mpi | |
x86_64-linux speedtest-rs | |
aarch64-linux python311Packages.pyvisa-py | |
x86_64-linux perl536Packages.DateTimeFormatRFC3339 | |
x86_64-linux python311Packages.jupyter-highlight-selected-word | |
aarch64-linux texinfo5 | |
aarch64-linux linuxKernel.packages.linux_5_4.oci-seccomp-bpf-hook | |
aarch64-linux python311Packages.parts | |
x86_64-linux haskellPackages.up-grade | |
x86_64-darwin csharprepl | |
x86_64-darwin haskellPackages.bugsnag-yesod | |
aarch64-darwin python311Packages.repocheck | |
x86_64-linux python312Packages.summarytools | |
x86_64-linux faust2alqt | |
aarch64-linux aspellDicts.gv | |
aarch64-darwin haskellPackages.network-ip | |
aarch64-linux python312Packages.notmuch2 | |
aarch64-linux texinfoInteractive | |
aarch64-darwin vimPlugins.heirline-nvim | |
x86_64-linux libsForQt5.alkimia | |
x86_64-linux python311Packages.wagtail-factories | |
x86_64-linux kodiPackages.jellyfin | |
x86_64-darwin python312Packages.container-inspector | |
x86_64-darwin libmediaart | |
x86_64-darwin haskellPackages.crypto-api-tests | |
x86_64-darwin qt5.qtvirtualkeyboard | |
x86_64-darwin python312Packages.raven | |
x86_64-darwin perl538Packages.StatisticsDescriptive | |
aarch64-linux haskellPackages.species | |
x86_64-linux python311Packages.plfit | |
x86_64-darwin haskellPackages.token-limiter-concurrent | |
aarch64-linux dotslash | |
x86_64-darwin vimPlugins.nvim-gps | |
x86_64-linux python312Packages.mypy-boto3-chime-sdk-meetings | |
x86_64-linux libsForQt5.kjs | |
aarch64-darwin vimPlugins.vim-numbertoggle | |
x86_64-linux socklog | |
x86_64-darwin emacsPackages.cern-root-mode | |
x86_64-linux python312Packages.linode | |
x86_64-darwin python312Packages.mypy-boto3-medialive | |
aarch64-darwin python312Packages.pymorphy2-dicts-ru | |
x86_64-linux swiftPackages.Dispatch | |
x86_64-darwin python311Packages.darkdetect | |
x86_64-linux python311Packages.pyviz-comms | |
x86_64-darwin python312Packages.types-aiobotocore-codebuild | |
aarch64-darwin python311Packages.renault-api | |
x86_64-darwin gnmic | |
aarch64-linux haskellPackages.dde | |
aarch64-darwin airshipper | |
x86_64-darwin haskellPackages.nonempty-containers | |
x86_64-linux lightwalletd | |
aarch64-linux python311Packages.hishel | |
aarch64-darwin haskellPackages.forsyde-shallow | |
aarch64-darwin rubyPackages_3_1.glib2 | |
x86_64-linux vscode-extensions.benfradet.vscode-unison | |
x86_64-darwin lua51Packages.lpeg_patterns | |
aarch64-darwin python312Packages.huggingface-hub | |
aarch64-darwin python311Packages.pygobject-stubs | |
aarch64-linux emacsPackages.kill-ring-search | |
x86_64-linux spacer | |
aarch64-linux lua54Packages.lrexlib-posix | |
x86_64-darwin perl536Packages.SysCPU | |
aarch64-darwin s6-man-pages | |
x86_64-linux linuxKernel.packages.linux_latest_libre.batman_adv | |
aarch64-linux linuxPackages_hardened.nvidia_x11_production_open | |
aarch64-darwin cloudflared | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.nvidia_x11_beta | |
aarch64-darwin python311Packages.azure-mgmt-cognitiveservices | |
aarch64-linux python311Packages.django-jinja | |
x86_64-darwin python312Packages.aiomysql | |
x86_64-linux rubyPackages.pastel | |
x86_64-darwin python311Packages.wtforms | |
aarch64-darwin rubyPackages.ruby-terminfo | |
aarch64-darwin python312Packages.mistune | |
x86_64-darwin secrets-extractor | |
x86_64-linux hunspellDicts.eu-es | |
aarch64-linux haskellPackages.bindings-saga-cmd | |
x86_64-linux chickenPackages_5.chickenEggs.simple-sha1 | |
x86_64-linux hqplayer-desktop | |
x86_64-linux pantum-driver | |
aarch64-darwin lua53Packages.lua-term | |
x86_64-linux gnat | |
x86_64-linux liberation_ttf_v2 | |
x86_64-darwin python312Packages.pysiaalarm | |
aarch64-darwin haskell.packages.ghc92.haskell-language-server | |
x86_64-darwin python312Packages.etebase | |
aarch64-linux vimPlugins.gundo-vim | |
x86_64-linux vieb | |
x86_64-darwin haskellPackages.sox | |
aarch64-darwin haskellPackages.webp | |
aarch64-darwin xorg.xgc | |
aarch64-darwin nginxStable | |
x86_64-darwin haskellPackages.simple-ltl | |
x86_64-darwin haskellPackages.genvalidity-aeson | |
aarch64-darwin emacsPackages.flycheck-rtags | |
x86_64-linux linuxKernel.packages.linux_6_1.bpftrace | |
aarch64-linux db5 | |
aarch64-linux openraPackages_2019.engines.playtest | |
aarch64-darwin jsonnet-bundler | |
aarch64-linux haskellPackages.data-tensor | |
aarch64-linux rubyPackages.sexp_processor | |
x86_64-linux haskellPackages.leb128-binary | |
aarch64-linux perl536Packages.PDL | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.Qt5Network | |
aarch64-linux python311Packages.opentypespec | |
aarch64-darwin python311Packages.pyuv | |
aarch64-linux python312Packages.luxor | |
x86_64-darwin haskellPackages.adler32 | |
x86_64-linux libsForQt5.granatier | |
x86_64-linux python311Packages.pdfrw2 | |
x86_64-linux emacsPackages.w32-browser | |
aarch64-linux emacsPackages.calendar-norway | |
aarch64-darwin emacsPackages.elscreen-fr | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.perl | |
x86_64-linux stdenv | |
aarch64-linux linearicons-free | |
x86_64-linux python311Packages.flipr-api | |
x86_64-darwin emacsPackages.ob-dao | |
aarch64-darwin python311Packages.telegraph | |
aarch64-darwin emacsPackages.curry-on-theme | |
x86_64-linux python311Packages.mypy-boto3-opsworks | |
x86_64-darwin haskellPackages.git-monitor | |
aarch64-linux icon-library | |
x86_64-linux python311Packages.dsmr-parser | |
x86_64-darwin me_cleaner | |
aarch64-darwin haskellPackages.blacktip | |
aarch64-darwin batik | |
x86_64-linux freeipmi | |
aarch64-linux flac123 | |
x86_64-linux libngspice | |
aarch64-darwin python311Packages.flask-swagger-ui | |
x86_64-linux gnomeExtensions.disable-workspace-switcher-overlay | |
x86_64-darwin python311Packages.rainbowstream | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.phpdoc | |
x86_64-linux linuxPackages_5_4_hardened.apfs | |
x86_64-linux wesher | |
aarch64-linux haskellPackages.DigitGroup | |
aarch64-linux global-platform-pro | |
aarch64-linux kubectl-tree | |
x86_64-linux emacsPackages.multicolumn | |
x86_64-linux linuxPackages_4_19_hardened.gcadapter-oc-kmod | |
aarch64-darwin haskellPackages.grid | |
x86_64-linux netkittftp | |
aarch64-darwin python311Packages.fingerprints | |
aarch64-linux haskellPackages.control-bool | |
aarch64-linux emacsPackages.janet-mode | |
x86_64-linux python311Packages.py-serializable | |
x86_64-linux haskellPackages.amazonka-autoscaling-plans | |
aarch64-linux terraform-providers.bitbucket | |
x86_64-linux python312Packages.pysigma-backend-qradar | |
aarch64-linux haskellPackages.currency | |
x86_64-linux libreoffice-fresh | |
aarch64-darwin python312Packages.platformdirs | |
aarch64-darwin haskellPackages.error | |
x86_64-darwin pasco | |
aarch64-linux emacsPackages.finito | |
aarch64-linux linuxPackages_6_1_hardened.veikk-linux-driver | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.nvidia_x11_vulkan_beta_open | |
aarch64-linux perl538Packages.ObjectEvent | |
aarch64-darwin emojify | |
aarch64-darwin python311Packages.twitter-common-confluence | |
aarch64-linux python312Packages.marshmallow-enum | |
aarch64-linux python312Packages.mongoquery | |
x86_64-linux plasma5Packages.kxmlrpcclient | |
aarch64-linux python312Packages.oci | |
x86_64-linux srsran | |
x86_64-linux safecloset | |
aarch64-linux python311Packages.online-judge-api-client | |
x86_64-linux satty | |
aarch64-linux postgresqlPackages.pg_rational | |
aarch64-darwin xorg.xwininfo | |
x86_64-linux vscode-extensions.sumneko.lua | |
aarch64-linux python311Packages.urlman | |
x86_64-linux emacsPackages.lsp-origami | |
x86_64-darwin yarn2nix | |
x86_64-darwin python312Packages.pykwalify | |
x86_64-linux qt5Full | |
x86_64-darwin haskellPackages.hspec-wai-json | |
x86_64-linux sound-juicer | |
aarch64-linux elmPackages.elm-git-install | |
x86_64-linux ghc_filesystem | |
x86_64-darwin emacsPackages.helm-grepint | |
x86_64-darwin python311Packages.faust-cchardet | |
aarch64-darwin python312Packages.dtlssocket | |
x86_64-linux rubyPackages.jemoji | |
x86_64-linux python311Packages.python-bidi | |
x86_64-linux emacsPackages.omtose-phellack-theme | |
x86_64-linux rubyPackages_3_2.colored | |
x86_64-linux python311Packages.pymsteams | |
x86_64-darwin emacsPackages.undersea-theme | |
aarch64-darwin haskellPackages.flow2dot | |
aarch64-linux python311Packages.guessit | |
aarch64-linux tests.hardeningFlags.fortify3StdenvUnsuppDoesntUnsuppFortify | |
aarch64-linux clightning | |
x86_64-darwin python311Packages.googletrans | |
x86_64-darwin python312Packages.slack-bolt | |
x86_64-linux modem-manager-gui | |
x86_64-linux terragrunt | |
x86_64-linux haskellPackages.monad-interleave | |
x86_64-darwin python311Packages.unidic-lite | |
aarch64-darwin dec-decode | |
aarch64-linux python312Packages.bash-kernel | |
aarch64-linux pomsky | |
aarch64-darwin python311Packages.retry | |
x86_64-darwin netcdfcxx4 | |
aarch64-linux python312Packages.azure-mgmt-consumption | |
aarch64-linux python311Packages.mypy-boto3-lambda | |
aarch64-darwin chickenPackages_5.chickenEggs.commands | |
aarch64-linux linuxPackages_zen.netatop | |
aarch64-darwin python312Packages.google-search-results | |
aarch64-darwin emacsPackages.flycheck-grammarly | |
aarch64-linux python312Packages.python-codon-tables | |
aarch64-linux linuxKernel.packages.linux_6_5_hardened.mba6x_bl | |
x86_64-linux carp | |
aarch64-darwin wdfs | |
x86_64-linux prometheus-keylight-exporter | |
x86_64-darwin emacsPackages.bliss-theme | |
aarch64-darwin code-maat | |
x86_64-linux erlang_odbc | |
x86_64-darwin xorg.libXtst | |
aarch64-darwin python311Packages.wagtail-factories | |
x86_64-darwin ltc-tools | |
x86_64-linux chickenPackages_5.chickenEggs.zmq | |
aarch64-darwin arphic-uming | |
x86_64-darwin perl536Packages.ProcProcessTable | |
aarch64-linux hydrus | |
x86_64-linux python312Packages.testrail-api | |
x86_64-linux python311Packages.ppscore | |
x86_64-linux perl536Packages.MP3Tag | |
x86_64-darwin haskellPackages.taskpool | |
aarch64-darwin python312Packages.azure-mgmt-eventhub | |
aarch64-linux xfce.xfce4-windowck-plugin | |
aarch64-linux haskellPackages.hvega | |
x86_64-darwin haskellPackages.hetero-map | |
aarch64-linux credstash | |
aarch64-darwin jedit | |
x86_64-darwin python312Packages.glfw | |
x86_64-linux python311Packages.asciimatics | |
aarch64-linux grive2 | |
x86_64-darwin python312Packages.redis-om | |
aarch64-darwin rubyPackages_3_3.ruby-graphviz | |
x86_64-linux mysql_jdbc | |
x86_64-darwin gnugo | |
x86_64-linux pantheon.gala | |
x86_64-darwin haskellPackages.opentheory-prime | |
x86_64-linux perl538Packages.FileRemove | |
x86_64-darwin python311Packages.pytest-catchlog | |
x86_64-darwin mhost | |
x86_64-darwin emacsPackages.flx | |
x86_64-linux haskellPackages.servant-hmac-auth | |
aarch64-linux emacsPackages.plim-mode | |
aarch64-darwin haxe | |
x86_64-linux idrisPackages.electron | |
aarch64-darwin goawk | |
aarch64-linux lxappearance | |
x86_64-darwin haskellPackages.leapseconds-announced | |
aarch64-linux haskellPackages.shake-ext | |
aarch64-linux emacsPackages.google-translate | |
x86_64-linux petrifoo | |
x86_64-linux amiri | |
aarch64-linux haskellPackages.hspec | |
x86_64-linux wasynth | |
x86_64-linux net-snmp | |
x86_64-darwin emacsPackages.deferred | |
x86_64-darwin mysql_jdbc | |
aarch64-linux haskellPackages.pipes-websockets | |
x86_64-linux vsc-leetcode-cli | |
x86_64-darwin rubyPackages.fog-json | |
aarch64-darwin postgresql13Packages.timescaledb-apache | |
x86_64-linux emacsPackages.py-test | |
aarch64-linux emacsPackages.helm-purpose | |
aarch64-linux qt6Packages.qcoro | |
x86_64-darwin haskellPackages.hXmixer | |
x86_64-darwin pyocd | |
x86_64-linux git2cl | |
aarch64-darwin haskellPackages.amazonka-outposts | |
x86_64-darwin python312Packages.karton-mwdb-reporter | |
aarch64-darwin python312Packages.pystardict | |
x86_64-darwin python311Packages.magic-filter | |
aarch64-darwin python312Packages.django-crispy-forms | |
aarch64-linux haskellPackages.lbfgs | |
aarch64-darwin vhs | |
aarch64-linux python312Packages.python-u2flib-host | |
x86_64-linux python311Packages.pytest-expect | |
x86_64-linux xfce.xfce4-notes-plugin | |
aarch64-linux libuvc | |
aarch64-linux emacsPackages.ring-mode | |
aarch64-darwin rubyPackages_3_1.websocket-extensions | |
aarch64-linux adlplug | |
aarch64-darwin bwa | |
x86_64-linux aspellDicts.ta | |
x86_64-darwin kafkactl | |
aarch64-linux perl536Packages.LWPAuthenOAuth | |
x86_64-linux tests.cuda.cudaPackages_12_3.saxpy | |
x86_64-darwin python311Packages.mypy-boto3-greengrassv2 | |
x86_64-darwin tests.cuda.cudaPackages_12_2.saxpy | |
aarch64-linux emacsPackages.evil-tutor-ja | |
aarch64-darwin python312Packages.azure-mgmt-network | |
x86_64-linux soapui | |
x86_64-darwin emacsPackages.rtags-xref | |
x86_64-linux python312Packages.pybox2d | |
aarch64-darwin bluefish | |
aarch64-linux python312Packages.pymediainfo | |
aarch64-darwin paperoni | |
x86_64-linux cabin | |
x86_64-darwin emacsPackages.prodigy | |
x86_64-linux python311Packages.podcastparser | |
aarch64-linux polkadot | |
aarch64-darwin emacsPackages.testcover-mark-line | |
x86_64-linux perl538Packages.FFICheckLib | |
aarch64-linux emacsPackages.pos-tip | |
x86_64-darwin emacsPackages.ox-nikola | |
aarch64-linux nixVersions.nix_2_18 | |
aarch64-linux python311Packages.pycontracts | |
x86_64-darwin haskellPackages.here | |
aarch64-darwin miniplayer | |
aarch64-darwin haskellPackages.soundgen | |
x86_64-darwin haskellPackages.statgrab | |
x86_64-linux python311Packages.py-zabbix | |
x86_64-darwin python311Packages.spacy-transformers | |
aarch64-darwin haskellPackages.irc | |
x86_64-darwin python312Packages.pythonnet | |
aarch64-linux emacsPackages.counsel-at-point | |
aarch64-linux emacsPackages.dired-explorer | |
x86_64-linux plasma5Packages.colord-kde | |
aarch64-linux emacsPackages.gotest | |
aarch64-linux perl538Packages.TestMemoryCycle | |
x86_64-linux haskellPackages.RBTree | |
aarch64-linux python312Packages.jaraco-abode | |
x86_64-darwin cargo-i18n | |
aarch64-linux emacsPackages.gtk-pomodoro-indicator | |
aarch64-darwin haskellPackages.FontyFruity | |
x86_64-darwin haskellPackages.hackage-security | |
x86_64-darwin libvncserver | |
x86_64-darwin wlc | |
aarch64-darwin texi2mdoc | |
x86_64-darwin ocamlformat_0_25_1 | |
aarch64-darwin python312Packages.types-ujson | |
aarch64-linux jless | |
x86_64-darwin netlify-cli | |
aarch64-linux python311Packages.notify-events | |
aarch64-linux godot3-mono-server | |
aarch64-linux python311Packages.types-aiobotocore-codebuild | |
x86_64-linux haskellPackages.instance-control | |
x86_64-darwin python312Packages.pyrsistent | |
x86_64-linux wafHook | |
x86_64-darwin hunspellDicts.fr-reforme1990 | |
x86_64-linux wttrbar | |
aarch64-linux linuxPackages_xanmod.openafs | |
aarch64-linux tests.cc-wrapper.llvmTests.llvmPackages_15.clang | |
aarch64-linux perl538Packages.CryptRandPasswd | |
aarch64-linux python312Packages.foxdot | |
aarch64-linux python312Packages.types-appdirs | |
x86_64-darwin python312Packages.jupyter-server-terminals | |
x86_64-linux emacsPackages.mozc-im | |
aarch64-linux httperf | |
aarch64-darwin python311Packages.wrf-python | |
x86_64-linux vimPlugins.neocomplete-vim | |
aarch64-linux libcork | |
x86_64-linux linuxKernel.packages.linux_5_15.bbswitch | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.bcc | |
aarch64-darwin coinlive | |
x86_64-darwin python312Packages.google-cloud-monitoring | |
x86_64-linux sbclPackages.prove | |
aarch64-linux emacsPackages.ess-smart-underscore | |
x86_64-darwin python311Packages.pulumi-azure-native | |
x86_64-darwin antlr4_8 | |
x86_64-linux replibyte | |
x86_64-darwin perl536Packages.HashDiff | |
aarch64-linux rmlint | |
x86_64-linux haskellPackages.unescaping-print | |
x86_64-darwin emacsPackages.tongbu | |
aarch64-darwin perl536Packages.NetLDAPServerTest | |
aarch64-darwin python311Packages.tubeup | |
aarch64-linux rubyPackages_3_1.http-form_data | |
x86_64-darwin perl538Packages.CryptDES_EDE3 | |
aarch64-linux python311Packages.ignite | |
x86_64-darwin python311Packages.zfec | |
aarch64-darwin liboping | |
x86_64-linux linuxPackages_xanmod_latest.asus-wmi-sensors | |
aarch64-linux python311Packages.algebraic-data-types | |
x86_64-linux matrix-commander-rs | |
aarch64-darwin pmd | |
x86_64-linux gmailctl | |
aarch64-darwin python312Packages.mypy-boto3-synthetics | |
aarch64-darwin haskellPackages.stm-orelse-io | |
x86_64-darwin python312Packages.crownstone-uart | |
aarch64-darwin python312Packages.betamax-serializers | |
x86_64-darwin python312Packages.sqltrie | |
x86_64-darwin testdisk-qt | |
aarch64-linux uwsgi | |
aarch64-linux python312Packages.hole | |
aarch64-linux python311Packages.jmespath | |
aarch64-darwin emacsPackages.curl-to-elisp | |
aarch64-linux nv-codec-headers-12 | |
aarch64-darwin gotestsum | |
x86_64-linux linuxPackages_6_6_hardened.openrazer | |
aarch64-linux python312Packages.tesserocr | |
x86_64-linux emacsPackages.dark-krystal-theme | |
aarch64-linux shncpd | |
x86_64-darwin python311Packages.types-aiobotocore-sagemaker-edge | |
aarch64-darwin libebml | |
x86_64-linux qt6.qtdeclarative | |
x86_64-darwin python311Packages.astropy | |
aarch64-linux python311Packages.gipc | |
x86_64-linux python312Packages.azure-mgmt-databoxedge | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.lenovo-legion-module | |
aarch64-darwin libiscsi | |
aarch64-darwin python311Packages.update-checker | |
aarch64-darwin python311Packages.txi2p-tahoe | |
aarch64-darwin perl536Packages.PodPOMViewTOC | |
aarch64-darwin rubyPackages_3_1.drb | |
x86_64-linux haskellPackages.pktree | |
x86_64-linux python312Packages.natsort | |
aarch64-linux python311Packages.botorch | |
x86_64-linux python312Packages.types-enum34 | |
x86_64-darwin go-cve-search | |
x86_64-linux linuxKernel.packages.linux_xanmod.liquidtux | |
aarch64-linux linuxPackages_xanmod.nvidia_x11_beta_open | |
aarch64-linux python312Packages.arabic-reshaper | |
x86_64-linux linuxPackages_6_6_hardened.rtl8821ce | |
aarch64-darwin haskellPackages.wai-cli | |
aarch64-linux emacsPackages.evil-textobj-line | |
x86_64-darwin haskellPackages.hS3 | |
aarch64-linux kmod-blacklist-ubuntu | |
aarch64-linux gambit-chess | |
x86_64-linux kodi-cli | |
x86_64-linux haskellPackages.check-email | |
x86_64-darwin lua51Packages.markdown | |
aarch64-linux lua54Packages.rocks-nvim | |
x86_64-darwin python311Packages.orvibo | |
aarch64-darwin vscode-fhs | |
aarch64-linux python312Packages.clickgen | |
x86_64-linux linuxKernel.packages.linux_4_19.rtw89 | |
x86_64-linux linuxPackages_latest.xone | |
aarch64-darwin emacsPackages.eval-sexp-fu | |
aarch64-darwin qzdl | |
aarch64-linux haskellPackages.ebird-cli | |
aarch64-darwin emacsPackages.lit-mode | |
aarch64-darwin enhanced-ctorrent | |
aarch64-linux gnome-icon-theme | |
aarch64-linux beamerpresenter-mupdf | |
aarch64-linux perl538Packages.SCGI | |
aarch64-linux podofo | |
x86_64-darwin ruplacer | |
aarch64-darwin fuchsia-cursor | |
aarch64-linux modem-manager-gui | |
aarch64-darwin rubyPackages_3_2.rake | |
aarch64-linux python311Packages.pytest-qt | |
x86_64-linux python311Packages.kazoo | |
x86_64-darwin vale | |
aarch64-darwin python312Packages.freetype-py | |
aarch64-linux cli11 | |
x86_64-darwin python311Packages.flufl-lock | |
x86_64-linux rubyPackages_3_1.mustermann | |
x86_64-linux python311Packages.pymicrobot | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.xml | |
x86_64-darwin perl536Packages.TestRunCmdLine | |
x86_64-linux python312Packages.rich-rst | |
aarch64-linux haskellPackages.uuagc-cabal | |
x86_64-linux vimPlugins.cmp-calc | |
x86_64-darwin perl538Packages.StreamBuffered | |
x86_64-linux haskellPackages.systemd | |
x86_64-linux python311Packages.asyncwhois | |
aarch64-linux perl536Packages.NetAMQP | |
aarch64-linux python312Packages.r2pipe | |
x86_64-linux icu63 | |
x86_64-darwin emacsPackages.multifiles | |
x86_64-linux perl536Packages.FinanceQuote | |
x86_64-linux linuxPackages_6_1_hardened.rtl8821au | |
x86_64-linux haskellPackages.minio-hs | |
x86_64-linux perl538Packages.LocaleMOFile | |
aarch64-linux mailnag | |
x86_64-linux rubyPackages_3_1.multi_json | |
aarch64-darwin python311Packages.pyqt-builder | |
x86_64-linux emacsPackages.modalka | |
x86_64-linux vimPlugins.lsp-colors-nvim | |
aarch64-darwin python312Packages.types-typed-ast | |
aarch64-darwin perl538Packages.HTTPServerSimpleMason | |
x86_64-darwin perl538Packages.TermAnimation | |
x86_64-linux python312Packages.mock-open | |
aarch64-linux perl536Packages.TestRunPluginColorFileVerdicts | |
aarch64-linux toxvpn | |
x86_64-linux goimapnotify | |
aarch64-linux python311Packages.dotmap | |
aarch64-linux iptraf-ng | |
x86_64-linux joycond | |
x86_64-linux age | |
aarch64-darwin python311Packages.hiro | |
x86_64-darwin python311Packages.mypy-boto3-fsx | |
x86_64-darwin python311Packages.sigrok | |
x86_64-linux sbclPackages.mmap | |
x86_64-linux postgresql13JitPackages.apache_datasketches | |
aarch64-darwin python312Packages.h5netcdf | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.rtl8821ce | |
x86_64-darwin emacsPackages.pangu-spacing | |
x86_64-linux theLoungePlugins.themes.mininapse | |
aarch64-linux mopidy-iris | |
aarch64-linux haskellPackages.dataenc | |
x86_64-darwin emacsPackages.elscreen-mew | |
aarch64-linux libsForQt5.ghostwriter | |
aarch64-darwin haskellPackages.amazonka-proton | |
aarch64-darwin prismlauncher-qt5 | |
x86_64-linux python311Packages.pymorphy2 | |
x86_64-linux plasma5Packages.vvave | |
aarch64-linux python311Packages.types-aiobotocore-savingsplans | |
aarch64-linux haskellPackages.data-has | |
x86_64-linux kapp | |
aarch64-linux libcrossguid | |
x86_64-darwin python311Packages.ntc-templates | |
aarch64-darwin python311Packages.jarowinkler | |
x86_64-linux saml2aws | |
x86_64-linux home-assistant-component-tests.accuweather | |
aarch64-linux fastly | |
aarch64-darwin perl538Packages.DBDsybase | |
aarch64-linux ois | |
aarch64-darwin libsForQt5.kxmlrpcclient | |
x86_64-darwin box2d | |
x86_64-linux jql | |
aarch64-linux linuxPackages_xanmod.lenovo-legion-module | |
aarch64-linux haskellPackages.hs-captcha | |
aarch64-darwin haskellPackages.cases | |
aarch64-linux spotify-qt | |
aarch64-linux hunspellDicts.es-co | |
x86_64-darwin haskellPackages.optics-operators | |
x86_64-linux linuxPackages_6_5_hardened.rtl8812au | |
x86_64-linux perl536Packages.CPANPLUS | |
aarch64-darwin rubyPackages_3_3.gpgme | |
x86_64-darwin python312Packages.tomli | |
aarch64-linux python312Packages.zconfig | |
x86_64-linux emacsPackages.dired-duplicates | |
aarch64-darwin emacsPackages.git-link | |
x86_64-darwin python311Packages.ipwhl | |
x86_64-linux emacsPackages.floobits | |
x86_64-linux gnomeExtensions.quick-settings-tweaker | |
aarch64-linux foremost | |
aarch64-darwin python311Packages.pyramid-chameleon | |
x86_64-linux python311Packages.pyproject-api | |
x86_64-linux haskellPackages.gloss-juicy | |
x86_64-darwin perl538Packages.ClassDataAccessor | |
x86_64-linux python312Packages.bpython | |
x86_64-darwin sensu-go-cli | |
aarch64-linux linuxKernel.packages.linux_hardened.hid-ite8291r3 | |
aarch64-darwin python312Packages.mypy-boto3-entityresolution | |
x86_64-linux ingen | |
x86_64-linux linuxPackages-libre.prl-tools | |
x86_64-linux linuxKernel.packages.linux_5_4.nct6687d | |
aarch64-linux pan | |
aarch64-linux python312Packages.dj-database-url | |
x86_64-linux earlyoom | |
x86_64-darwin languageMachines.libfolia | |
aarch64-linux fdk_aac | |
x86_64-darwin kodiPackages.visualization-shadertoy | |
x86_64-linux zegrapher | |
x86_64-linux python311Packages.wxpython | |
aarch64-linux haskellPackages.validate | |
aarch64-darwin python312Packages.python-vipaccess | |
aarch64-linux linux-libre | |
x86_64-darwin python312Packages.mypy-boto3-chime-sdk-media-pipelines | |
x86_64-darwin python311Packages.nlpcloud | |
x86_64-linux python312Packages.azure-mgmt-containerinstance | |
aarch64-darwin soft-serve | |
x86_64-darwin haskellPackages.agreeing | |
x86_64-darwin perl538Packages.MojoPg | |
aarch64-darwin python312Packages.deprecat | |
aarch64-linux lhasa | |
aarch64-linux linuxPackages_xanmod.lttng-modules | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.nim | |
aarch64-darwin python311Packages.flatbuffers | |
x86_64-linux perl536Packages.StringSimilarity | |
aarch64-linux qimgv | |
aarch64-linux linuxKernel.packages.linux_4_19.system76-scheduler | |
aarch64-darwin perl538Packages.SQLAbstract | |
x86_64-linux deepin.deepin-gtk-theme | |
x86_64-darwin python311Packages.awscrt | |
x86_64-linux python311Packages.bonsai | |
x86_64-linux python311Packages.dbus-signature-pyparsing | |
x86_64-linux yosys | |
x86_64-linux galer | |
x86_64-linux teleport_14 | |
aarch64-darwin haskellPackages.prettyprinter-ansi-terminal | |
x86_64-linux python311Packages.types-aiobotocore-waf-regional | |
x86_64-linux auth0-cli | |
aarch64-linux libsForQt5.drumstick | |
x86_64-darwin vimPlugins.elixir-tools-nvim | |
aarch64-darwin haskellPackages.ghc-check | |
aarch64-darwin emacsPackages.sunny-day-theme | |
x86_64-linux linuxKernel.packages.linux_6_7_hardened.nvidia_x11_production_open | |
aarch64-darwin perl536Packages.ApacheSession | |
x86_64-darwin python311Packages.libclang | |
x86_64-linux dotnet-runtime | |
x86_64-linux emacsPackages.curl-to-elisp | |
aarch64-darwin plasma5Packages.qgpgme | |
aarch64-darwin libgpg-error-gen-posix-lock-obj | |
x86_64-linux emacsPackages.ac-slime | |
aarch64-darwin python312Packages.breezy | |
aarch64-linux online-judge-template-generator | |
x86_64-linux rubyPackages_3_1.jekyll-relative-links | |
aarch64-linux vimPlugins.vim-qlist | |
x86_64-darwin gnuradioPackages.osmosdr | |
x86_64-darwin sic-image-cli | |
x86_64-linux emacsPackages.eproject | |
x86_64-darwin emacsPackages.weibo | |
aarch64-darwin python311Packages.opower | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.psv | |
x86_64-darwin plasma5Packages.kxmlrpcclient | |
x86_64-darwin emacsPackages.yasnippet-capf | |
aarch64-darwin python311Packages.rst2pdf | |
x86_64-darwin python312Packages.dipy | |
x86_64-darwin perl536Packages.TestHexString | |
x86_64-darwin perl536Packages.NetWhoisIP | |
aarch64-darwin python312Packages.python-simple-hipchat | |
x86_64-linux haskellPackages.conduit-combinators | |
x86_64-darwin rubyPackages.mime-types-data | |
x86_64-linux perseus | |
x86_64-linux python312Packages.pydroid-ipcam | |
aarch64-linux plasma5Packages.qt5.qttranslations | |
x86_64-darwin timoni | |
x86_64-linux python311Packages.condaInstallHook | |
x86_64-linux haskellPackages.abc-puzzle | |
aarch64-linux vimPlugins.vim-subversive | |
aarch64-darwin perl538Packages.YAML | |
aarch64-linux xorg.xf86videoxgi | |
aarch64-linux emacsPackages.language-id | |
x86_64-darwin emacsPackages.csharp-mode | |
x86_64-linux vimPlugins.vim-table-mode | |
x86_64-darwin python311Packages.mpmath | |
x86_64-darwin emacsPackages.scratch-ext | |
aarch64-linux haskellPackages.calamity-commands | |
aarch64-linux nerd-font-patcher | |
x86_64-darwin belr | |
x86_64-darwin haskellPackages.total-alternative | |
x86_64-linux phosh-mobile-settings | |
x86_64-linux glowing-bear | |
x86_64-linux perl536Packages.ScalarString | |
aarch64-darwin emacsPackages.noflet | |
aarch64-linux postgresql13JitPackages.pg_ed25519 | |
x86_64-linux python312Packages.ipadic | |
aarch64-darwin python311Packages.cachecontrol | |
x86_64-darwin perl536Packages.MinionBackendSQLite | |
x86_64-linux python311Packages.email-validator | |
aarch64-darwin python311Packages.irctokens | |
aarch64-linux icu69 | |
aarch64-linux python311Packages.django-js-asset | |
aarch64-darwin python311Packages.shaperglot | |
x86_64-darwin emacsPackages.railscasts-theme | |
x86_64-darwin emacsPackages.zones | |
x86_64-linux python312Packages.coredis | |
x86_64-darwin perl538Packages.TextTrim | |
aarch64-linux python312Packages.pycategories | |
x86_64-linux haskellPackages.alex-meta | |
x86_64-darwin python312Packages.zm-py | |
x86_64-linux haskellPackages.reverse-apply | |
x86_64-linux linuxPackages_xanmod_stable.openafs | |
x86_64-linux python311Packages.meep | |
x86_64-darwin SPAdes | |
aarch64-darwin aspellDicts.id | |
x86_64-darwin telepathy-glib | |
x86_64-darwin python311Packages.clustershell | |
aarch64-darwin python312Packages.plantuml | |
aarch64-darwin python312Packages.pocket | |
x86_64-linux postgresql13Packages.temporal_tables | |
x86_64-linux translatelocally-models.pl-en-tiny | |
aarch64-darwin perl536Packages.ClassAccessorChained | |
aarch64-darwin python312Packages.elasticsearch8 | |
aarch64-linux python312Packages.pivy | |
aarch64-linux rubyPackages_3_1.irb | |
x86_64-linux haskellPackages.pulseaudio | |
x86_64-darwin python312Packages.sockjs-tornado | |
aarch64-darwin haskellPackages.randproc | |
aarch64-linux python311Packages.airthings-cloud | |
aarch64-linux perl536Packages.DataUUIDMT | |
x86_64-linux semgrep | |
aarch64-darwin perl536Packages.CLIHelpers | |
aarch64-darwin rubyPackages.cld3 | |
aarch64-linux online-judge-tools | |
x86_64-linux python312Packages.viennarna | |
aarch64-darwin perl538Packages.MooseXDaemonize | |
x86_64-linux xtl | |
x86_64-darwin lpd8editor | |
x86_64-darwin python312Packages.azure-mgmt-apimanagement | |
x86_64-linux beecrypt | |
aarch64-darwin haskellPackages.file-path-th | |
aarch64-linux perl538Packages.NetSMTPSSL | |
aarch64-linux haskellPackages.composable-associations | |
x86_64-darwin rootbar | |
aarch64-darwin vimPlugins.bat-vim | |
aarch64-linux filtron | |
x86_64-darwin hunspellDicts.de-ch | |
x86_64-darwin python312Packages.winacl | |
aarch64-linux pytrainer | |
x86_64-darwin cista | |
aarch64-darwin python311Packages.ansible-compat | |
x86_64-linux postgresql16Packages.plr | |
x86_64-darwin emacsPackages.org-noter-pdftools | |
aarch64-darwin kakounePlugins.parinfer-rust | |
aarch64-linux angieQuic | |
aarch64-darwin xorg.libXfont2 | |
aarch64-darwin vimPlugins.neovim-sensible | |
x86_64-darwin go | |
aarch64-darwin jotdown | |
aarch64-darwin python311Packages.azure-keyvault-administration | |
aarch64-linux trf | |
aarch64-darwin emacsPackages.company-flow | |
x86_64-darwin python312Packages.inkex | |
aarch64-linux orjail | |
aarch64-darwin python311Packages.pycosat | |
x86_64-linux reason | |
aarch64-darwin emacsPackages.poly-ruby | |
x86_64-darwin vimPlugins.codi-vim | |
aarch64-linux python311Packages.python-velbus | |
x86_64-darwin sqitchMysql | |
x86_64-linux gnomeExtensions.steal-my-focus-window | |
x86_64-linux python311Packages.makefun | |
aarch64-linux caudec | |
x86_64-linux nimble | |
x86_64-darwin perl538Packages.NetAmazonEC2 | |
aarch64-linux python311Packages.netaddr | |
aarch64-darwin python312Packages.pycfmodel | |
x86_64-darwin zsh-autoenv | |
x86_64-linux linuxKernel.packages.linux_6_6.vmware | |
aarch64-darwin python312Packages.hypercorn | |
aarch64-darwin python312Packages.boiboite-opener-framework | |
x86_64-darwin haskellPackages.yiyd | |
aarch64-linux emacsPackages.bbdb | |
aarch64-darwin chromedriver | |
x86_64-linux perl538Packages.TestFatal | |
aarch64-linux apacheHttpdPackages.php | |
x86_64-linux emacsPackages.uiua-mode | |
x86_64-linux python312Packages.flask-caching | |
aarch64-linux python312Packages.pyoutbreaksnearme | |
x86_64-darwin twm | |
aarch64-darwin chatblade | |
x86_64-linux mirakurun | |
aarch64-linux lua53Packages.fluent | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.perf | |
aarch64-darwin terraform_1 | |
aarch64-darwin php81Packages.phpstan | |
x86_64-linux linuxPackages_xanmod_stable.opensnitch-ebpf | |
x86_64-linux perl538Packages.CPANDistnameInfo | |
x86_64-linux linuxPackages_hardened.rtl8189fs | |
aarch64-linux haskellPackages.binary-list | |
aarch64-linux belcard | |
aarch64-linux haskellPackages.amazonka-cognito-sync | |
x86_64-darwin perl536Packages.AudioCuefileParser | |
x86_64-linux openraPackages_2019.mods.mw | |
x86_64-linux alkalami | |
aarch64-linux haskellPackages.vulkan-api | |
aarch64-darwin libsForQt5.falkon | |
aarch64-darwin python311Packages.euclid3 | |
aarch64-linux linuxPackages_xanmod_stable.nvidia_x11_production_open | |
x86_64-darwin gbl | |
x86_64-linux cudaPackages_10.markForCudatoolkitRootHook | |
aarch64-darwin emacsPackages.indium | |
aarch64-linux chickenPackages_5.chickenEggs.colorize | |
aarch64-linux purescm | |
aarch64-darwin python312Packages.mypy-boto3-cloud9 | |
x86_64-linux python311Packages.py-desmume | |
x86_64-linux linuxPackages_xanmod_stable.ddcci-driver | |
x86_64-darwin python311Packages.rich | |
aarch64-linux roboto-serif | |
x86_64-darwin samblaster | |
x86_64-darwin python312Packages.ripser | |
aarch64-darwin rubyPackages.nokogiri | |
x86_64-darwin n8n | |
aarch64-darwin python312Packages.griffe | |
aarch64-linux perl538Packages.MouseXTypes | |
aarch64-darwin haskellPackages.mstate | |
x86_64-linux linuxPackages_5_4_hardened.new-lg4ff | |
x86_64-darwin python312Packages.pytankerkoenig | |
x86_64-linux ibus-engines.openbangla-keyboard | |
x86_64-linux emacsPackages.ob-lurk | |
aarch64-linux trurl | |
aarch64-darwin lua5_3 | |
x86_64-linux haxe | |
aarch64-linux betterlockscreen | |
aarch64-linux waitron | |
aarch64-linux rubyPackages.rb-inotify | |
aarch64-linux emacsPackages.hl-block-mode | |
aarch64-darwin mnist | |
x86_64-linux yunfaavatar | |
x86_64-linux lomiri.qtmir | |
aarch64-linux rubyPackages.ansi | |
x86_64-darwin python311Packages.watchfiles | |
x86_64-darwin synergy | |
aarch64-linux packer | |
aarch64-linux linuxKernel.packages.linux_6_6.rtl8821ce | |
x86_64-darwin xorg.xinit | |
x86_64-darwin haskellPackages.rangeset | |
x86_64-linux plasma5Packages.qt5.qtsystems | |
x86_64-linux haskellPackages.adaptive-cubature | |
x86_64-linux lua52Packages.luaepnf | |
x86_64-linux perl538Packages.PerlCriticMoose | |
x86_64-darwin python311Packages.types-aiobotocore-lightsail | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.system76-power | |
aarch64-linux emacsPackages.mallard-mode | |
aarch64-linux approxmc | |
aarch64-linux chickenPackages_5.chickenEggs.json-utils | |
x86_64-darwin python311Packages.pypytools | |
x86_64-darwin python312Packages.python-telegram | |
x86_64-linux rsign2 | |
aarch64-darwin emacsPackages.igist | |
x86_64-darwin pg_checksums | |
x86_64-linux emacsPackages.sv-kalender | |
x86_64-linux perl538Packages.PodElementalPerlMunger | |
x86_64-linux gnomeExtensions.bring-out-submenu-of-power-offlogout-button | |
aarch64-linux perl538Packages.DistZillaPluginMojibakeTests | |
x86_64-darwin python311Packages.cirq-pasqal | |
x86_64-linux python311Packages.whoosh | |
x86_64-linux haskellPackages.cborg-json | |
aarch64-darwin emacsPackages.company-maxima | |
x86_64-linux python311Packages.types-aiobotocore-worklink | |
x86_64-linux haskellPackages.has-transformers | |
x86_64-linux perl536Packages.HTTPNegotiate | |
aarch64-linux yuzuPackages.qcoro | |
aarch64-linux python311Packages.mypy-boto3-lookoutmetrics | |
aarch64-linux linuxPackages_lqx.cpupower | |
x86_64-darwin qnotero | |
x86_64-linux perl538Packages.DataCompactReadonly | |
x86_64-linux libdmapsharing | |
x86_64-darwin rubyPackages_3_3.rspec-core | |
aarch64-darwin perl538Packages.FFIPlatypus | |
aarch64-darwin emacsPackages.tabnine | |
x86_64-linux edbrowse | |
aarch64-linux weechatScripts.edit | |
x86_64-linux haskellPackages.groupoid | |
x86_64-darwin vimPlugins.nvim-bqf | |
aarch64-linux ratarmount | |
aarch64-darwin vimPlugins.vim-wordy | |
aarch64-linux lapack-reference | |
x86_64-darwin haskellPackages.strict-stm | |
x86_64-linux eclipses.eclipse-scala-sdk | |
x86_64-linux emacsPackages.auth-source-1password | |
aarch64-linux jasper | |
aarch64-darwin passExtensions.pass-import | |
aarch64-darwin veilid | |
x86_64-darwin python312Packages.sphinxcontrib-jsmath | |
x86_64-linux crossfire-client | |
x86_64-linux home-assistant-component-tests.waze_travel_time | |
aarch64-darwin perl536Packages.EncodePunycode | |
aarch64-darwin openmsx | |
aarch64-linux haskellPackages.Ranged-sets | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.Qt5Qml | |
aarch64-linux vimPlugins.coc-neco | |
aarch64-linux git-lfs | |
aarch64-darwin python312Packages.freezegun | |
aarch64-linux python311Packages.pyhiveapi | |
x86_64-linux python311Packages.fpylll | |
x86_64-linux emacsPackages.flycheck-swift | |
aarch64-linux perl538Packages.CursesUI | |
aarch64-linux rubyPackages_3_3.rexml | |
aarch64-linux python311Packages.setuptools-odoo | |
x86_64-linux linuxKernel.packages.linux_5_4.ply | |
aarch64-darwin chickenPackages_5.chickenEggs.heap-o-rama | |
aarch64-linux python312Packages.kajiki | |
aarch64-linux emacsPackages.numpydoc | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.gnome-keyring-1 | |
x86_64-darwin python312Packages.adal | |
x86_64-linux python311Packages.google-cloud-core | |
aarch64-linux linuxKernel.packages.linux_xanmod.lkrg | |
x86_64-darwin charles4 | |
x86_64-darwin emacsPackages.auto-auto-indent | |
aarch64-linux python311Packages.bizkaibus | |
aarch64-darwin cfn-nag | |
x86_64-linux electron_16-bin | |
x86_64-linux python311Packages.aiozeroconf | |
x86_64-linux python311Packages.uri-template | |
aarch64-darwin haskellPackages.constraints | |
aarch64-darwin emacsPackages.jknav | |
x86_64-linux haskellPackages.attoparsec-run | |
x86_64-linux picosnitch | |
x86_64-linux python311Packages.flask-mongoengine | |
aarch64-linux haskellPackages.rwlock | |
aarch64-linux tbb_2021_8 | |
aarch64-darwin kubeval | |
x86_64-darwin perl536Packages.CryptPasswdMD5 | |
x86_64-darwin gradle_6 | |
x86_64-linux python311Packages.spiderpy | |
x86_64-linux ortp | |
aarch64-darwin autoconf213 | |
x86_64-darwin vimPlugins.vim-addon-php-manual | |
x86_64-linux emacsPackages.ob-elm | |
x86_64-linux formatter | |
x86_64-darwin perl536Packages.MouseXGetopt | |
aarch64-linux perl538Packages.TextPatch | |
x86_64-darwin angelscript | |
aarch64-linux tmpwatch | |
x86_64-darwin python312Packages.cx-oracle | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.dpdk | |
x86_64-darwin lua54Packages.vusted | |
aarch64-linux haskellPackages.binary-state | |
x86_64-linux emacsPackages.angular-snippets | |
x86_64-darwin perl538Packages.VariableMagic | |
aarch64-linux python312Packages.flask-wtf | |
x86_64-darwin haskellPackages.prim-uniq | |
aarch64-linux plasma5Packages.kdiagram | |
aarch64-darwin python312Packages.types-redis | |
aarch64-linux libutp | |
aarch64-darwin haskellPackages.apply-refact | |
aarch64-darwin emacsPackages.doct | |
x86_64-linux FIL-plugins | |
aarch64-linux libchewing | |
x86_64-darwin emacsPackages.lang-refactor-perl | |
x86_64-linux grilo | |
aarch64-darwin perl538Packages.TestPodCoverage | |
aarch64-darwin python311Packages.types-aiobotocore-sagemaker-geospatial | |
aarch64-darwin perl536Packages.MonitoringPlugin | |
aarch64-linux perl538Packages.PodSimple | |
x86_64-linux haskellPackages.logfmt | |
x86_64-linux fgallery | |
x86_64-darwin emacsPackages.vertico | |
x86_64-linux python312Packages.html5tagger | |
aarch64-linux python312Packages.janus | |
x86_64-linux ripasso-cursive | |
x86_64-linux python311Packages.qtconsole | |
x86_64-darwin haskellPackages.sized-wrapper | |
aarch64-darwin emacsPackages.rope-read-mode | |
x86_64-linux linuxKernel.packages.linux_libre.cpupower | |
aarch64-linux python311Packages.iotawattpy | |
x86_64-linux haskellPackages.gi-gstbase | |
x86_64-linux osmo-bsc | |
x86_64-darwin lemmy-help | |
aarch64-darwin python312Packages.azure-mgmt-imagebuilder | |
aarch64-linux python311Packages.latexcodec | |
aarch64-darwin perl538Packages.Importer | |
x86_64-linux linuxKernel.packages.linux_6_5_hardened.prl-tools | |
x86_64-linux php81Extensions.pdo_odbc | |
aarch64-linux ddrescueview | |
aarch64-darwin emacsPackages.dockerfile-mode | |
x86_64-darwin flint | |
x86_64-darwin freealut | |
aarch64-linux postgresqlPackages.pgsodium | |
aarch64-darwin python312Packages.h11 | |
aarch64-linux perl538Packages.TestRunCmdLine | |
x86_64-linux mailcatcher | |
aarch64-darwin emacsPackages.ctxmenu | |
aarch64-darwin python311Packages.upcloud-api | |
x86_64-linux python311Packages.mitmproxy-rs | |
aarch64-linux python312Packages.edalize | |
aarch64-darwin emacsPackages.flycheck-prospector | |
aarch64-darwin python311Packages.pyfcm | |
aarch64-darwin janet | |
aarch64-linux haskellPackages.quickcheck-classes | |
aarch64-darwin netproxrc | |
x86_64-linux emacsPackages.pig-snippets | |
x86_64-darwin emacsPackages.tea-time | |
aarch64-linux haskellPackages.persistent-template | |
x86_64-darwin python311Packages.universal-silabs-flasher | |
x86_64-darwin lua53Packages.haskell-tools-nvim | |
aarch64-darwin mars-mips | |
aarch64-darwin python311Packages.pybravia | |
x86_64-linux python312Packages.flask-paginate | |
aarch64-linux haskellPackages.labeled-tree | |
aarch64-linux python311Packages.python-ldap-test | |
aarch64-darwin vimPlugins.telescope-fzf-native-nvim | |
aarch64-linux gridcoin-research | |
x86_64-linux nxengine-evo | |
aarch64-linux littlefs-fuse | |
aarch64-linux tagutil | |
x86_64-darwin python312Packages.toml-adapt | |
x86_64-linux kubectl-cnpg | |
aarch64-linux eclipses.eclipse-rcp | |
x86_64-linux gcc10Stdenv | |
x86_64-linux python311Packages.psutil | |
x86_64-linux todoist | |
aarch64-linux yuzuPackages.qtquick3dphysics | |
x86_64-darwin haskellPackages.oops-examples | |
x86_64-darwin emacsPackages.ert-modeline | |
aarch64-darwin libossp_uuid | |
aarch64-darwin electrum-grs | |
aarch64-darwin python311Packages.pyric | |
x86_64-darwin python311Packages.mkdocs-git-revision-date-localized-plugin | |
aarch64-darwin haskellPackages.ListWriter | |
aarch64-linux haskellPackages.x509-system | |
x86_64-darwin emacsPackages.company-sourcekit | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.perf | |
x86_64-linux speexdsp | |
x86_64-darwin python312Packages.xml-marshaller | |
aarch64-darwin haskellPackages.FenwickTree | |
aarch64-darwin chickenPackages_5.chickenEggs.tiger-hash | |
aarch64-linux python311Packages.cmaes | |
x86_64-darwin haskellPackages.papa-x | |
aarch64-linux perl536Packages.RegexpIPv6 | |
aarch64-darwin emacsPackages.ace-link | |
aarch64-darwin python311Packages.itsdangerous | |
x86_64-linux python312Packages.brian2 | |
x86_64-linux uget-integrator | |
aarch64-linux emacsPackages.evil-extra-operator | |
x86_64-linux linuxKernel.packages.linux_hardened.opensnitch-ebpf | |
aarch64-darwin python311Packages.sphinxcontrib-excel-table | |
aarch64-linux materia-kde-theme | |
aarch64-darwin subtitlr | |
x86_64-darwin yuzuPackages.qtforkawesome | |
aarch64-linux vimPlugins.bufjump-nvim | |
x86_64-linux python311Packages.lhapdf | |
aarch64-linux python311Packages.django-pglocks | |
x86_64-linux chase | |
aarch64-linux linuxPackages_5_15_hardened.bcc | |
aarch64-linux goblob | |
aarch64-darwin awscli | |
aarch64-linux python312Packages.pyarrow-hotfix | |
x86_64-darwin emacsPackages.region-occurrences-highlighter | |
aarch64-darwin python312Packages.sphinxcontrib-httpdomain | |
aarch64-linux haskellPackages.generic-random | |
aarch64-darwin python312Packages.returns | |
aarch64-darwin emacsPackages.android-env | |
x86_64-linux haskellPackages.aligned-foreignptr | |
aarch64-darwin nanoblogger | |
x86_64-darwin perl536Packages.MooseXTypesStructured | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.libudev | |
aarch64-linux python312Packages.flask-openid | |
x86_64-darwin python312Packages.httpie-ntlm | |
x86_64-linux terrapin-scanner | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.decklink | |
x86_64-linux emacsPackages.use-package-ensure-system-package | |
aarch64-linux python311Packages.natasha | |
x86_64-linux python312Packages.ovmfvartool | |
x86_64-linux python311Packages.mypy-boto3-chime-sdk-media-pipelines | |
x86_64-linux emacsPackages.springboard | |
aarch64-linux libsForQt5.krunner-ssh | |
aarch64-darwin haskellPackages.sshtun | |
aarch64-linux anders | |
aarch64-linux python312Packages.python-family-hub-local | |
x86_64-darwin python311Packages.bencoder | |
aarch64-darwin python311Packages.python-socks | |
x86_64-linux photoqt | |
x86_64-darwin mongodb-6_0 | |
x86_64-linux python311Packages.django-q | |
x86_64-linux mate.mate-control-center | |
aarch64-darwin ejson2env | |
x86_64-linux linuxPackages_zen.pktgen | |
x86_64-linux python311Packages.google-cloud-spanner | |
x86_64-linux python311Packages.pyct | |
aarch64-linux perl536Packages.BKeywords | |
x86_64-linux butt | |
x86_64-darwin perl538Packages.ConfigGitLike | |
x86_64-darwin rubyPackages_3_1.jekyll-theme-leap-day | |
x86_64-darwin lua54Packages.lua | |
x86_64-darwin perl538Packages.HTTPServerSimpleAuthen | |
x86_64-darwin maphosts | |
aarch64-linux python312Packages.types-aiobotocore-cloudsearchdomain | |
aarch64-linux transmission-remote-gtk | |
aarch64-linux gtk_engines | |
x86_64-darwin chickenPackages_5.chickenEggs.markdown-svnwiki | |
x86_64-linux haskellPackages.happy | |
aarch64-linux perl536Packages.NetDNSResolverProgrammable | |
x86_64-linux python312Packages.traits | |
x86_64-linux postgresql12Packages.repmgr | |
x86_64-linux _4ti2 | |
x86_64-darwin dancing-script | |
aarch64-darwin python312Packages.nix-kernel | |
x86_64-linux haskellPackages.amazonka-dlm | |
x86_64-linux haskellPackages.simtreelo | |
aarch64-darwin haskellPackages.list-extras | |
aarch64-linux rubyPackages_3_1.ruby2ruby | |
aarch64-linux python312Packages.syslog-rfc5424-formatter | |
aarch64-darwin emacsPackages.pyenv-mode | |
x86_64-linux chickenPackages_5.chickenEggs.define-er-syntax | |
aarch64-linux emacsPackages.lockfile-mode | |
x86_64-linux emacsPackages.shell-toggle | |
aarch64-linux gitrs | |
aarch64-linux pgformatter | |
aarch64-linux haskellPackages.bytestring-nums | |
aarch64-linux aspellDicts.sr | |
aarch64-linux perl538Packages.Specio | |
aarch64-linux postgresql_jdbc | |
aarch64-darwin chickenPackages_5.chickenEggs.dotted-lambdas | |
aarch64-linux emacsPackages.oauth2-request | |
x86_64-linux python311Packages.pyosohotwaterapi | |
x86_64-linux manaplus | |
x86_64-darwin qt6Packages.qgpgme | |
x86_64-linux python311Packages.traceback2 | |
aarch64-darwin python311Packages.pydocstyle | |
aarch64-linux emacsPackages.site-lisp | |
x86_64-linux linuxKernel.packages.linux_lqx.mwprocapture | |
x86_64-linux emacsPackages.helm-tail | |
x86_64-darwin goldendict | |
aarch64-darwin vimPlugins.tabline-nvim | |
aarch64-darwin emacsPackages.bats-mode | |
aarch64-darwin python312Packages.zstd | |
aarch64-linux python311Packages.python-fedora | |
aarch64-linux python311Packages.ttstokenizer | |
aarch64-darwin emacsPackages.denote-refs | |
aarch64-darwin phodav | |
aarch64-linux perl538Packages.CryptEd25519 | |
aarch64-linux haskellPackages.gray-extended | |
x86_64-linux python311Packages.webtest-aiohttp | |
x86_64-linux open-music-kontrollers.eteroj | |
aarch64-linux haskellPackages.timers | |
x86_64-darwin python311Packages.eliot | |
x86_64-linux perl538Packages.TreeSimple | |
x86_64-linux gnomeExtensions.rounded-window-corners | |
x86_64-linux ctre | |
aarch64-linux home-assistant-component-tests.lifx | |
aarch64-linux rubyPackages_3_3.fog-json | |
aarch64-linux emacsPackages.godoctor | |
aarch64-linux python311Packages.pcapy-ng | |
x86_64-linux libmemcached | |
x86_64-linux python311Packages.tblib | |
aarch64-darwin leo2 | |
aarch64-darwin python312Packages.types-mock | |
x86_64-linux liboqs | |
x86_64-linux tree-sitter-grammars.tree-sitter-regex | |
x86_64-linux python312Packages.zopfli | |
x86_64-linux python311Packages.django-raster | |
x86_64-linux python312Packages.wsgi-intercept | |
x86_64-darwin libmspack | |
aarch64-darwin vimPlugins.lean-nvim | |
aarch64-linux spruce | |
x86_64-linux python311Packages.ed25519 | |
x86_64-darwin rubyPackages_3_2.liquid | |
x86_64-darwin python312Packages.jsonrpc-websocket | |
x86_64-linux log4j-sniffer | |
x86_64-linux python311Packages.zope-filerepresentation | |
x86_64-linux python312Packages.djangorestframework-recursive | |
x86_64-darwin airspyhf | |
x86_64-linux python312Packages.jaraco-abode | |
x86_64-linux haskellPackages.shelly | |
aarch64-darwin vimPlugins.vim-startuptime | |
x86_64-linux perl536Packages.GitRepository | |
aarch64-linux home-assistant-component-tests.python_script | |
x86_64-linux libisofs | |
x86_64-darwin perl538Packages.MooXHandlesVia | |
x86_64-darwin paco | |
aarch64-linux algolia-cli | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.gitcommit | |
aarch64-linux python312Packages.xlrd | |
x86_64-darwin appdaemon | |
aarch64-linux emacsPackages.tNFA | |
aarch64-darwin hunspellDicts.es_ES | |
x86_64-darwin parrot | |
aarch64-linux libsForQt5.qtnetworkauth | |
x86_64-linux python312Packages.django-compression-middleware | |
aarch64-linux linuxKernel.packages.linux_latest_libre.usbip | |
aarch64-darwin postgresql14Packages.pg_rational | |
aarch64-linux haskellPackages.nowdoc | |
x86_64-darwin python311Packages.jc | |
x86_64-darwin pmccabe | |
x86_64-darwin haskellPackages.interprocess | |
aarch64-darwin nixops_unstable | |
aarch64-darwin perl536Packages.TermVT102Boundless | |
aarch64-darwin python312Packages.py3langid | |
x86_64-darwin vimPlugins.restore-view-vim | |
aarch64-linux mixxx | |
aarch64-darwin python311Packages.keyrings-alt | |
x86_64-linux python312Packages.f90nml | |
aarch64-darwin emacsPackages.redtick | |
x86_64-linux emacsPackages.viewer | |
x86_64-linux python311Packages.total-connect-client | |
aarch64-linux emacsPackages.bencode | |
aarch64-linux linuxKernel.packages.linux_6_7.rtl8192eu | |
aarch64-darwin udiskie | |
x86_64-linux python312Packages.txamqp | |
x86_64-linux libnftnl | |
aarch64-linux haskellPackages.netwire | |
aarch64-linux ffsend | |
aarch64-darwin python312Packages.pytautulli | |
x86_64-linux python312Packages.capturer | |
aarch64-darwin python312Packages.vidstab | |
x86_64-darwin python311Packages.vincenty | |
aarch64-linux gcc6 | |
x86_64-linux gmm | |
aarch64-darwin perl538Packages.PPI | |
x86_64-linux python312Packages.cairosvg | |
x86_64-darwin keybinder3 | |
x86_64-linux python311Packages.ftputil | |
aarch64-darwin emacsPackages.chronometer | |
aarch64-linux python311Packages.clarifai-grpc | |
x86_64-linux python311Packages.pegen | |
x86_64-darwin libvirt-glib | |
aarch64-darwin arti | |
aarch64-linux python312Packages.functiontrace | |
x86_64-linux home-assistant-custom-components.localtuya | |
x86_64-darwin erlang_25 | |
x86_64-linux haskellPackages.filelock | |
aarch64-darwin gubbi-font | |
x86_64-darwin python311Packages.fusepy | |
aarch64-darwin emacsPackages.mustache | |
aarch64-linux optifinePackages.optifine_1_8_8 | |
x86_64-linux emacsPackages.other-frame-window | |
aarch64-darwin libsForQt5.kconfigwidgets | |
x86_64-darwin onesixtyone | |
x86_64-darwin emacsPackages.pbcopy | |
aarch64-linux emacsPackages.fold-dwim-org | |
x86_64-linux perl538Packages.MojoJWT | |
aarch64-linux python312Packages.monosat | |
x86_64-darwin perl538Packages.AnyEventBDB | |
aarch64-linux ngspice | |
x86_64-linux dovecot | |
x86_64-linux python312Packages.mypy-boto3-pinpoint | |
aarch64-linux qt6Packages.qtquick3d | |
x86_64-linux ebook2cw | |
aarch64-linux cgif | |
aarch64-linux qt6Packages.kquickimageedit | |
x86_64-linux dell-command-configure | |
aarch64-linux haskellPackages.gendocs | |
aarch64-darwin python312Packages.wiffi | |
aarch64-darwin vimPlugins.vim-tmux-navigator | |
aarch64-linux anime4k | |
x86_64-linux emacsPackages.chinese-conv | |
aarch64-darwin jpegexiforient | |
aarch64-darwin llvmPackages_12.llvm | |
x86_64-linux cvs | |
aarch64-linux python312Packages.unrardll | |
aarch64-darwin emacsPackages.capf-autosuggest | |
x86_64-darwin vimPlugins.cosco-vim | |
aarch64-linux emacsPackages.ebuild-mode | |
x86_64-linux mpdscribble | |
aarch64-linux nxengine-evo | |
aarch64-darwin python311Packages.downloader-cli | |
x86_64-linux onefetch | |
x86_64-darwin python312Packages.rich-argparse | |
aarch64-linux python311Packages.argos-translate-files | |
aarch64-darwin emacsPackages.poly-erb | |
aarch64-linux haskellPackages.set-monad | |
aarch64-darwin libmms | |
aarch64-linux python311Packages.onvif-zeep | |
x86_64-linux cudaPackages_11.nccl-tests | |
x86_64-linux lua51Packages.digestif | |
aarch64-linux perl538Packages.MinionBackendSQLite | |
aarch64-darwin optifinePackages.optifine_1_7_10 | |
x86_64-linux gv | |
aarch64-darwin postgresql16Packages.timescaledb-apache | |
x86_64-darwin haskellPackages.witherable-class | |
aarch64-linux python312Packages.roku | |
x86_64-linux libsForQt5.wrapQtAppsHook | |
aarch64-darwin python312Packages.jpype1 | |
x86_64-linux linuxPackages_xanmod.batman_adv | |
aarch64-linux perl538Packages.CatalystPluginSessionStoreFile | |
x86_64-darwin dehinter | |
x86_64-darwin perl538Packages.LWPUserAgentCached | |
aarch64-linux postgresql15JitPackages.pg_topn | |
aarch64-linux python312Packages.pycketcasts | |
aarch64-linux squishyball | |
x86_64-linux rubyPackages_3_1.rack | |
aarch64-darwin python312Packages.pyschemes | |
aarch64-darwin rubyPackages.actionmailbox | |
x86_64-darwin sagetex | |
x86_64-linux vimPlugins.vim-jinja | |
x86_64-darwin lesstif | |
x86_64-darwin rubyPackages.mini_portile2 | |
aarch64-linux linuxKernel.packages.linux_5_4.rtl88xxau-aircrack | |
x86_64-darwin germinal | |
x86_64-darwin perl538Packages.EncodeDetect | |
x86_64-linux emacsPackages.helm-wikipedia | |
x86_64-darwin haskellPackages.cityhash | |
aarch64-linux languagetool-rust | |
x86_64-darwin git-delete-merged-branches | |
x86_64-linux cudaPackagesGoogle.setupCudaHook | |
aarch64-darwin perl536Packages.CryptCAST5_PP | |
x86_64-linux cargo-diet | |
aarch64-darwin python312Packages.jq | |
aarch64-darwin emacsPackages.code-compass | |
x86_64-linux sshchecker | |
x86_64-darwin emacsPackages.lexbind-mode | |
x86_64-darwin haskellPackages.wordpress-auth | |
x86_64-darwin python311Packages.cu2qu | |
x86_64-linux python311Packages.jaraco-abode | |
aarch64-darwin vimPlugins.vim-dirvish-git | |
x86_64-linux python311Packages.gmpy2 | |
aarch64-linux python312Packages.tls-client | |
aarch64-linux linuxKernel.packages.linux_6_5.rtl8723ds | |
x86_64-darwin emacsPackages.lodgeit | |
aarch64-darwin emacsPackages.org-assistant | |
x86_64-linux emacsPackages.verona-mode | |
aarch64-linux home-assistant-component-tests.geonetnz_volcano | |
x86_64-linux xalanc | |
x86_64-linux python312Packages.google-pasta | |
aarch64-darwin josh | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.broadcom_sta | |
aarch64-linux vimPlugins.nvim-dap | |
aarch64-darwin rtl_433 | |
x86_64-linux python311Packages.ibis | |
aarch64-linux emacsPackages.feline | |
x86_64-linux vimPlugins.SpaceVim | |
aarch64-darwin perl536Packages.URINested | |
x86_64-darwin perl536Packages.MathLibm | |
x86_64-darwin perl536Packages.TestRunPluginColorFileVerdicts | |
aarch64-linux python312Packages.pyuseragents | |
x86_64-darwin python312Packages.webdav4 | |
x86_64-darwin haskellPackages.titlecase | |
x86_64-darwin emacsPackages.flymake-vala | |
aarch64-darwin haskellPackages.amazonka-mobile | |
x86_64-darwin python312Packages.pydsdl | |
x86_64-darwin python311Packages.oslo-serialization | |
aarch64-darwin chickenPackages_5.chickenEggs.posix-shm | |
aarch64-linux wasmtime | |
x86_64-linux python312Packages.asana | |
x86_64-linux haskellPackages.xmlbf-xeno | |
aarch64-linux dabtools | |
aarch64-darwin rubyPackages_3_1.pastel | |
aarch64-darwin haskellPackages.composition-extra | |
x86_64-linux emacsPackages.consult-org-roam | |
aarch64-linux python312Packages.pytest-raisin | |
aarch64-darwin python312Packages.mypy-boto3-codecommit | |
aarch64-darwin libfann | |
x86_64-linux python311Packages.tracing | |
aarch64-linux haskellPackages.euler-tour-tree | |
x86_64-linux haskellPackages.hasty-hamiltonian | |
x86_64-linux wl-clip-persist | |
x86_64-linux mpvScripts.quack | |
aarch64-linux python312Packages.types-aiobotocore-datapipeline | |
x86_64-linux linuxKernel.packages.linux_6_1.rtl8188eus-aircrack | |
aarch64-darwin llvmPackages_13.libcxxabi | |
x86_64-linux haskellPackages.forsyde-shallow | |
aarch64-linux python311Packages.tensordict | |
x86_64-darwin gron | |
aarch64-darwin python312Packages.cffi | |
x86_64-linux git-test | |
x86_64-linux emacsPackages.uncrustify-mode | |
x86_64-darwin libsForQt5.kservice | |
aarch64-linux linuxPackages_xanmod_latest.lenovo-legion-module | |
aarch64-linux python312Packages.bluetooth-data-tools | |
aarch64-linux dictdDBs.jpn2eng | |
aarch64-linux hunspellDicts.es-uy | |
x86_64-darwin perl538Packages.LocaleUtilsPlaceholderBabelFish | |
x86_64-darwin xorg.bitmap | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.zenpower | |
aarch64-linux cherrybomb | |
x86_64-linux emacsPackages.vc-backup | |
aarch64-linux hicolor-icon-theme | |
aarch64-linux kotlin-language-server | |
aarch64-linux emacsPackages.bliss-theme | |
x86_64-darwin postgresql13Packages.pg_embedding | |
x86_64-darwin guilt | |
x86_64-darwin theLoungePlugins.themes.purplenight | |
aarch64-darwin emacsPackages.jump-to-line | |
aarch64-darwin ko | |
x86_64-linux quirc | |
aarch64-darwin haskellPackages.vector-builder | |
aarch64-darwin python312Packages.types-pyopenssl | |
aarch64-linux paulstretch | |
x86_64-darwin perl536Packages.PlackMiddlewareMethodOverride | |
aarch64-darwin haskellPackages.base-compat-batteries | |
x86_64-darwin emacsPackages.yankpad | |
aarch64-darwin haskellPackages.hsc3 | |
x86_64-linux python312Packages.tikzplotlib | |
aarch64-linux dune-release | |
aarch64-darwin python312Packages.ephemeral-port-reserve | |
x86_64-darwin rubyPackages_3_1.hike | |
aarch64-linux emacsPackages.magit | |
x86_64-linux emacsPackages.gptel | |
x86_64-linux haskellPackages.readcsv | |
x86_64-darwin libdvbcsa | |
aarch64-darwin python311Packages.anybadge | |
aarch64-linux python312Packages.types-aiobotocore-sms-voice | |
aarch64-linux python311Packages.tensorflowWithCuda | |
x86_64-linux linuxPackages_xanmod.mxu11x0 | |
aarch64-linux terraform-providers.cloudscale | |
aarch64-darwin prettyping | |
aarch64-linux linuxKernel.packages.linux_6_6.rtl88x2bu | |
x86_64-linux vimPlugins.other-nvim | |
aarch64-linux python312Packages.jeepney | |
aarch64-linux perl538Packages.DistZillaPluginPodWeaver | |
x86_64-darwin libsForQt5.qt5.qtlottie | |
x86_64-linux linuxPackages.rr-zen_workaround | |
aarch64-linux python312Packages.fastapi-mail | |
aarch64-linux python311Packages.bcf | |
x86_64-linux blucontrol | |
x86_64-darwin python312Packages.importlib-metadata | |
x86_64-darwin vimPlugins.deoplete-nvim | |
x86_64-linux emacsPackages.flycheck-ocaml | |
x86_64-darwin rubyPackages_3_1.msgpack | |
aarch64-linux vimPlugins.nvim-lsp-notify | |
aarch64-linux perl538Packages.ParamsValidationCompiler | |
aarch64-darwin python311Packages.pydanfossair | |
x86_64-darwin rlci | |
aarch64-darwin mx-takeover | |
x86_64-linux python312Packages.types-deprecated | |
x86_64-linux sagittarius-scheme | |
x86_64-linux python311Packages.click-odoo-contrib | |
x86_64-linux python312Packages.sqlalchemy-i18n | |
aarch64-darwin emacsPackages.compile-multi | |
x86_64-linux hblock | |
x86_64-darwin perl538Packages.XSParseKeyword | |
aarch64-darwin python311Packages.mypy-boto3-kendra | |
x86_64-linux home-assistant-component-tests.homeassistant_yellow | |
aarch64-darwin haskellPackages.stm-queue | |
x86_64-linux linuxKernel.packages.linux_5_4.veikk-linux-driver | |
x86_64-darwin jid | |
aarch64-linux python312Packages.habanero | |
x86_64-darwin postgresqlJitPackages.pg_hll | |
x86_64-darwin kluctl | |
aarch64-linux emacsPackages.gnome-screencast | |
x86_64-darwin emacsPackages.uptimes | |
aarch64-darwin open-watcom-v2 | |
x86_64-linux python312Packages.types-aiobotocore-sagemaker-geospatial | |
aarch64-darwin python312Packages.cemm | |
x86_64-linux python312Packages.loqedapi | |
aarch64-linux emacsPackages.terraform-mode | |
aarch64-darwin python311Packages.aocd | |
x86_64-linux libpgf | |
x86_64-darwin haskellPackages.regression-simple | |
aarch64-darwin haskellPackages.lifted-base | |
x86_64-linux blesh | |
aarch64-linux perl536Packages.Corona | |
aarch64-linux haskellPackages.sysinfo | |
x86_64-linux perl536Packages.NetLDAPSID | |
aarch64-darwin python311Packages.types-aiobotocore-kendra | |
x86_64-linux diagrams-builder | |
x86_64-linux gnome.gnome-tweaks | |
aarch64-darwin mela | |
x86_64-linux gutenprint | |
aarch64-darwin emacsPackages.wiki-summary | |
aarch64-linux linuxPackages_latest.lkrg | |
x86_64-linux timelens | |
aarch64-linux home-assistant-component-tests.xiaomi | |
x86_64-darwin python311Packages.aiopurpleair | |
x86_64-darwin emacsPackages.dtb-mode | |
x86_64-linux cassandra_3_0 | |
x86_64-darwin python312Packages.types-aiobotocore-payment-cryptography | |
aarch64-linux python312Packages.pkginfo2 | |
x86_64-darwin confluencepot | |
x86_64-linux udpt | |
aarch64-linux bankstown-lv2 | |
aarch64-linux emacsPackages.enh-ruby-mode | |
aarch64-darwin mop | |
x86_64-linux haskellPackages.mad-props | |
x86_64-linux python311Packages.pyeapi | |
x86_64-darwin lua51Packages.cosmo | |
x86_64-linux sanoid | |
x86_64-linux chickenPackages_5.chickenEggs.pstk | |
x86_64-darwin python311Packages.tomlkit | |
x86_64-linux linuxPackages_latest.vmm_clock | |
x86_64-darwin perl536Packages.Readonly | |
x86_64-darwin umlet | |
x86_64-linux python311Packages.django-webpush | |
x86_64-darwin swaks | |
x86_64-darwin arandr | |
x86_64-linux jwhois | |
x86_64-linux haskellPackages.statsd-datadog | |
x86_64-linux emacsPackages.syslog-mode | |
x86_64-linux python311Packages.pyeconet | |
x86_64-linux python312Packages.types-aiobotocore-quicksight | |
x86_64-linux emacsPackages.eglot-jl | |
x86_64-darwin python311Packages.cheroot | |
aarch64-linux haskellPackages.valor | |
aarch64-darwin python312Packages.courlan | |
x86_64-linux libsForQt5.libcommuni | |
aarch64-linux python311Packages.spatial-image | |
x86_64-darwin traefik-certs-dumper | |
x86_64-darwin haskellPackages.force-layout | |
aarch64-linux linuxPackages_zen.qc71_laptop | |
aarch64-darwin python311Packages.translitcodec | |
aarch64-darwin python311Packages.mypy-boto3-rds | |
aarch64-linux perl536Packages.DistZillaPluginMojibakeTests | |
aarch64-darwin rubyPackages.date | |
aarch64-linux linuxPackages_xanmod_stable.nvidia_x11_stable_open | |
x86_64-linux python311Packages.sre-yield | |
aarch64-linux python312Packages.mypy-boto3-glacier | |
aarch64-darwin python311Packages.dnachisel | |
x86_64-linux rubyPackages_3_3.rack-session | |
aarch64-darwin python312Packages.gcovr | |
x86_64-darwin emacsPackages.mandoku-tls | |
aarch64-linux python312Packages.nagiosplugin | |
x86_64-linux rubyPackages_3_2.jekyll | |
aarch64-linux python311Packages.matplotlib-inline | |
x86_64-darwin python311Packages.pyenphase | |
x86_64-darwin haskellPackages.yamlparse-applicative | |
aarch64-linux flam3 | |
aarch64-darwin perl538Packages.NetAmazonEC2 | |
x86_64-darwin python312Packages.vmprof | |
aarch64-linux luaPackages.lua-resty-openssl | |
x86_64-darwin python312Packages.ttls | |
x86_64-linux perl538Packages.FileFindObject | |
x86_64-darwin haskellPackages.squeather | |
aarch64-linux tuifimanager | |
aarch64-darwin mdbook-cmdrun | |
x86_64-darwin perl538Packages.AWSSignature4 | |
x86_64-linux python312Packages.libevdev | |
x86_64-darwin emacsPackages.ob-lfe | |
x86_64-linux linuxKernel.packages.linux_libre.dpdk-kmods | |
aarch64-linux cvc3 | |
x86_64-linux python311Packages.azure-storage-file | |
x86_64-darwin alice-lg | |
x86_64-darwin db-rest | |
x86_64-darwin python312Packages.basemap-data | |
x86_64-linux yuzuPackages.waylib | |
aarch64-linux spectre-meltdown-checker | |
aarch64-linux python312Packages.python-osc | |
x86_64-linux home-assistant-component-tests.netgear | |
aarch64-linux identity | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.scala | |
x86_64-darwin dl-librescore | |
x86_64-linux snzip | |
aarch64-linux splot | |
x86_64-darwin postlight-parser | |
aarch64-darwin hexedit | |
x86_64-darwin lua54Packages.cassowary | |
x86_64-darwin codespell | |
x86_64-darwin python312Packages.debian | |
aarch64-linux ndisc6 | |
aarch64-darwin haskellPackages.hzenhan | |
aarch64-darwin haskellPackages.doctest-exitcode-stdio | |
x86_64-darwin emacsPackages.ob-rust | |
x86_64-linux python311Packages.pychm | |
aarch64-darwin aerc | |
x86_64-linux emacsPackages.vale-mode | |
aarch64-linux gospider | |
x86_64-linux haskellPackages.csound-controllers | |
aarch64-linux python312Packages.npyscreen | |
x86_64-linux linuxPackages.hid-tmff2 | |
x86_64-linux haskellPackages.yoga | |
x86_64-darwin emacsPackages.madhat2r-theme | |
x86_64-linux emacsPackages.anzu | |
aarch64-darwin haskellPackages.gemini-server | |
x86_64-linux perl538Packages.ExtUtilsMakeMaker | |
aarch64-darwin python312Packages.pygobject-stubs | |
aarch64-linux python311Packages.s2clientprotocol | |
x86_64-linux haskellPackages.frotate | |
aarch64-linux swayosd | |
x86_64-linux mtr-gui | |
x86_64-linux python311Packages.camel-converter | |
x86_64-linux python311Packages.tagoio-sdk | |
x86_64-linux gnomeExtensions.snowy | |
aarch64-linux emacsPackages.transient-extras | |
aarch64-darwin python312Packages.ua-parser | |
x86_64-linux _9base | |
x86_64-darwin libdwarf_20210528 | |
aarch64-linux neofetch | |
aarch64-darwin haskellPackages.bytestring-encoding | |
aarch64-darwin rubyPackages.digest-sha3 | |
aarch64-linux minecraftServers.vanilla-1-10 | |
x86_64-darwin isrcsubmit | |
aarch64-linux emacsPackages.flycheck-cfn | |
x86_64-darwin haskellPackages.prelude-edsl | |
x86_64-linux python311Packages.types-aiobotocore-snowball | |
x86_64-linux wtf | |
x86_64-darwin emacsPackages.fluxus-mode | |
aarch64-darwin python312Packages.docloud | |
x86_64-linux libsForQt5.zanshin | |
aarch64-darwin bintools-unwrapped | |
x86_64-linux ldid-procursus | |
aarch64-linux python311Packages.typed-settings | |
x86_64-darwin python312Packages.xsdata | |
aarch64-linux python312Packages.gspread | |
aarch64-darwin perl536Packages.MailSPF | |
aarch64-linux SDL2_Pango | |
aarch64-darwin python312Packages.aliyun-python-sdk-sts | |
aarch64-linux chickenPackages_5.chickenEggs.s11n | |
aarch64-linux sublime-merge | |
x86_64-darwin postgresql12Packages.repmgr | |
x86_64-linux linuxKernel.packages.linux_6_7.kernel | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.rtl8723ds | |
aarch64-linux python312Packages.pysrim | |
aarch64-linux gnome-extensions-cli | |
aarch64-linux python312Packages.aioaladdinconnect | |
aarch64-darwin oh-my-zsh | |
x86_64-linux haskellPackages.amazonka-codestar-connections | |
aarch64-darwin python311Packages.crc | |
aarch64-linux terraform-providers.grafana | |
aarch64-darwin python312Packages.vsts-cd-manager | |
x86_64-darwin python312Packages.pyomo | |
x86_64-darwin shairplay | |
x86_64-darwin emacsPackages.insert-kaomoji | |
x86_64-darwin haskellPackages.benchpress | |
aarch64-linux budgie.budgie-desktop-with-plugins | |
aarch64-linux vimPlugins.vim-vsnip | |
x86_64-darwin haskellPackages.uuagd | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.python | |
x86_64-linux python312Packages.uritools | |
aarch64-darwin frigate | |
aarch64-linux pantheon.elementary-sound-theme | |
aarch64-linux libpst | |
aarch64-linux libsForQt5.juk | |
aarch64-linux python311Packages.ninebot-ble | |
aarch64-linux python312Packages.mashumaro | |
x86_64-linux emacsPackages.conda | |
x86_64-linux gtksourceviewmm | |
aarch64-darwin minio-client | |
x86_64-linux cargo-run-bin | |
x86_64-linux perl536Packages.TestHexString | |
aarch64-darwin postgresql16JitPackages.pg_ivm | |
x86_64-darwin perl536Packages.BusinessISBNData | |
x86_64-linux linuxKernel.packages.linux_4_19.ixgbevf | |
aarch64-darwin lua51Packages.lua-ffi-zlib | |
aarch64-darwin emacsPackages.spacemacs-theme | |
x86_64-linux haskellPackages.servant-swagger | |
aarch64-linux terraform-providers.equinix | |
x86_64-linux python311Packages.linode | |
x86_64-darwin emacsPackages.widget-mvc | |
aarch64-darwin python312Packages.simple-rest-client | |
aarch64-linux httpunit | |
x86_64-linux linuxPackages-libre.fwts-efi-runtime | |
x86_64-linux maestro | |
aarch64-linux haskellPackages.discrete-intervals | |
aarch64-darwin python312Packages.dulwich | |
x86_64-linux vimPlugins.vim-lsp-ultisnips | |
x86_64-linux linuxPackages_lqx.intel-speed-select | |
aarch64-linux python312Packages.twitter-common-confluence | |
x86_64-darwin python312Packages.beautysh | |
x86_64-linux chickenPackages_5.chickenEggs.stb-image | |
aarch64-darwin cln | |
aarch64-linux emacsPackages.initsplit | |
x86_64-linux qt5.qtserialbus | |
aarch64-darwin libnest2d | |
aarch64-linux linuxKernel.packages.linux_6_5.rtl8821ce | |
aarch64-linux python312Packages.wtforms-bootstrap5 | |
aarch64-linux perl538Packages.AuthenSASL | |
x86_64-linux libetpan | |
aarch64-darwin haskellPackages.non-negative | |
aarch64-darwin libsForQt5.bismuth | |
aarch64-linux mnamer | |
aarch64-darwin matrix-appservice-slack | |
x86_64-linux php81Extensions.shmop | |
x86_64-darwin cbftp | |
x86_64-linux haskellPackages.RFC1751 | |
x86_64-darwin python311Packages.wsgiprox | |
aarch64-linux linuxKernel.packages.linux_5_15.usbip | |
x86_64-linux haskellPackages.arithmetic | |
x86_64-linux vimPlugins.coc-git | |
x86_64-linux perl536Packages.Opcodes | |
x86_64-darwin python312Packages.assay | |
aarch64-linux xapian_1_4 | |
x86_64-linux perl536Packages.RefUtil | |
aarch64-linux haskellPackages.utf8-env | |
x86_64-darwin haskellPackages.hspec-webdriver | |
aarch64-darwin haskellPackages.cursor-fuzzy-time-gen | |
aarch64-darwin perl538Packages.LexicalSealRequireHints | |
aarch64-linux haskellPackages.amazonka-codedeploy | |
aarch64-linux postgresql13Packages.pg_hint_plan | |
x86_64-darwin rdedup | |
x86_64-linux python312Packages.linecache2 | |
x86_64-darwin python312Packages.opentelemetry-test-utils | |
x86_64-linux linuxKernel.packages.linux_latest_libre.vm-tools | |
aarch64-linux btdu | |
x86_64-linux haskellPackages.polysemy-plugin | |
x86_64-linux haskellPackages.nagios-config | |
aarch64-linux rubyPackages_3_2.forwardable-extended | |
x86_64-darwin haskellPackages.primecount | |
x86_64-linux perl538Packages.HTMLFormFu | |
x86_64-linux k3s | |
aarch64-linux borealis-cursors | |
aarch64-linux plasma5Packages.kblocks | |
x86_64-darwin openssh_hpn | |
x86_64-linux rustdesk-flutter | |
aarch64-linux jdk20 | |
x86_64-linux emacsPackages.ccc | |
x86_64-linux python311Packages.dugong | |
aarch64-darwin amoeba-data | |
aarch64-linux haskellPackages.derive-storable-plugin | |
aarch64-linux nexttrace | |
aarch64-darwin linkerd | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-14 | |
aarch64-darwin perl536Packages.Starman | |
x86_64-darwin python311Packages.mypy-boto3-storagegateway | |
x86_64-linux python311Packages.pyproj | |
x86_64-linux python312Packages.aiopylgtv | |
x86_64-linux python312Packages.types-aiobotocore-codestar-notifications | |
x86_64-darwin stern | |
x86_64-linux emacsPackages.repl-toggle | |
x86_64-linux goaccess | |
aarch64-darwin emacsPackages.ag | |
aarch64-darwin haskellPackages.io-streams | |
aarch64-darwin vimPlugins.vim-flatbuffers | |
aarch64-darwin haskellPackages.gmpint | |
aarch64-darwin vimPlugins.vim-search-pulse | |
aarch64-linux haskellPackages.MonadPrompt | |
aarch64-linux qt6Packages.qttranslations | |
aarch64-linux linuxKernel.packages.linux_5_10.nvidia_x11 | |
x86_64-darwin vimPlugins.vim-operator-surround | |
aarch64-linux haskellPackages.HCL | |
x86_64-linux linuxPackages_zen.mwprocapture | |
aarch64-linux python311Packages.schwifty | |
x86_64-darwin haskellPackages.stb-truetype | |
aarch64-linux python311Packages.policyuniverse | |
aarch64-linux haskellPackages.lua-arbitrary | |
x86_64-linux linuxPackages_latest.nvidia_x11_beta_open | |
x86_64-linux dotfiles | |
aarch64-darwin cxxtest | |
aarch64-darwin emacsPackages.oldlace-theme | |
aarch64-linux db62 | |
aarch64-linux perl538Packages.HTMLFormatTextWithLinks | |
x86_64-darwin vipsdisp | |
aarch64-linux emacsPackages.ppcompile | |
aarch64-linux perl538Packages.TextWikiFormat | |
x86_64-darwin chickenPackages_5.chickenEggs.sxml-transforms | |
x86_64-linux yuzuPackages.nx_tzdb | |
x86_64-linux linuxKernel.packages.linux_libre.systemtap | |
aarch64-darwin tfsec | |
x86_64-darwin wit-bindgen | |
aarch64-linux python312Packages.rangehttpserver | |
aarch64-darwin python311Packages.databases | |
aarch64-linux python311Packages.xlsxwriter | |
aarch64-linux paxctl | |
aarch64-linux python312Packages.mypy-boto3-macie | |
aarch64-linux python311Packages.simplebayes | |
x86_64-linux haskellPackages.attoparsec-iso8601 | |
x86_64-linux dnspeep | |
aarch64-linux python311Packages.qingping-ble | |
x86_64-darwin python312Packages.restview | |
x86_64-darwin emacsPackages.eyuml | |
aarch64-darwin wireshark-cli | |
x86_64-linux gnome-extension-manager | |
aarch64-darwin plasma5Packages.qt5.qtwebglplugin | |
aarch64-darwin yuzuPackages.qtscxml | |
aarch64-linux luaPackages.luadbi-sqlite3 | |
x86_64-linux python311Packages.pywlroots | |
aarch64-darwin texstudio | |
x86_64-darwin postgresql16Packages.pgvector | |
aarch64-darwin xcodebuild | |
x86_64-linux vimPlugins.telescope-manix | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.nvidia_x11_production_open | |
aarch64-linux emacsPackages.exwm-mff | |
aarch64-linux emacsPackages.sisyphus | |
aarch64-linux linuxPackages_6_6_hardened.prl-tools | |
aarch64-darwin python312Packages.azure-servicefabric | |
x86_64-linux emacsPackages.emms-player-mpv-jp-radios | |
x86_64-linux emacsPackages.occurx-mode | |
x86_64-darwin emacsPackages.open-in-msvs | |
x86_64-darwin python311Packages.bnunicodenormalizer | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.nix-store | |
x86_64-darwin python311Packages.types-aiobotocore-cloudsearch | |
x86_64-linux linuxPackages_latest-libre.ddcci-driver | |
aarch64-linux fangfrisch | |
x86_64-darwin dumptorrent | |
x86_64-darwin perl538Packages.CatalystAuthenticationStoreHtpasswd | |
x86_64-darwin unbound-with-systemd | |
x86_64-darwin biliass | |
aarch64-linux haskellPackages.global-lock | |
aarch64-darwin python311Packages.pyqtdatavisualization | |
aarch64-linux onedriver | |
x86_64-linux haskellPackages.binary-state | |
aarch64-darwin emacsPackages.counsel-chrome-bm | |
aarch64-darwin haskellPackages.amazonka-amp | |
x86_64-darwin emacsPackages.helm-pass | |
x86_64-linux python311Packages.test-tube | |
aarch64-darwin python312Packages.sphinxcontrib-spelling | |
x86_64-linux linuxPackages_xanmod.ixgbevf | |
x86_64-linux clamtk | |
x86_64-darwin python311Packages.localimport | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.hack | |
x86_64-darwin php81Packages.castor | |
aarch64-linux python312Packages.sarif-om | |
x86_64-darwin emacsPackages.flymake-flycheck | |
aarch64-linux rubyPackages_3_3.thrift | |
x86_64-darwin python312Packages.unix-ar | |
x86_64-linux python311Packages.grapheme | |
x86_64-linux python312Packages.types-aiobotocore-outposts | |
aarch64-linux python312Packages.azure-appconfiguration | |
aarch64-linux emacsPackages.css-comb | |
aarch64-darwin i3lock-color | |
aarch64-linux phosh | |
aarch64-darwin python311Packages.keystone-engine | |
x86_64-linux emacsPackages.cardano-tx | |
x86_64-linux jsonnet-bundler | |
aarch64-linux bfg-repo-cleaner | |
x86_64-linux python311Packages.urwid-mitmproxy | |
x86_64-darwin haskellPackages.tree-sitter-ql | |
aarch64-linux tests.cuda.cudaPackages_12_3.saxpy | |
aarch64-linux python311Packages.types-aiobotocore-batch | |
aarch64-linux home-assistant-component-tests.switchbot | |
aarch64-darwin yuzuPackages.qtlocation | |
aarch64-darwin vimPlugins.camelcasemotion | |
x86_64-linux python311Packages.snscrape | |
x86_64-darwin python311Packages.types-aiobotocore-dynamodb | |
aarch64-linux haskellPackages.bytestring-aeson-orphans | |
x86_64-darwin lxqt.compton-conf | |
aarch64-darwin python311Packages.magic-wormhole-mailbox-server | |
x86_64-darwin emacsPackages.clang-capf | |
aarch64-linux fontmatrix | |
aarch64-linux deepin.dde-clipboard | |
aarch64-darwin emacsPackages.dired-fdclone | |
aarch64-darwin python312Packages.types-aiobotocore-backup-gateway | |
x86_64-linux rtw88-firmware | |
x86_64-linux haskellPackages.amazonka-directconnect | |
x86_64-linux haskellPackages.engineering-units | |
aarch64-linux libsForQt5.kmix | |
aarch64-darwin perl538Packages.GeographyCountries | |
x86_64-linux python311Packages.babelfish | |
x86_64-linux python311Packages.lru-dict | |
aarch64-linux numdiff | |
x86_64-linux python312Packages.icalevents | |
aarch64-linux python312Packages.types-aiobotocore-codeguruprofiler | |
aarch64-linux home-assistant-component-tests.deluge | |
x86_64-linux python311Packages.types-aiobotocore-m2 | |
x86_64-linux postgresql12JitPackages.pg_ed25519 | |
x86_64-linux vanilla-dmz | |
x86_64-darwin impy | |
aarch64-darwin emacsPackages.multi | |
x86_64-linux dokuwiki | |
x86_64-darwin python312Packages.here-transit | |
aarch64-linux sbcl_2_4_0 | |
x86_64-linux fwupd-efi | |
x86_64-linux perl538Packages.Tk | |
x86_64-darwin perl536Packages.Menlo | |
aarch64-linux zfs | |
aarch64-linux blesh | |
aarch64-linux visidata | |
x86_64-darwin frangipanni | |
x86_64-darwin prettierd | |
aarch64-linux python311Packages.mypy-boto3-networkmanager | |
x86_64-darwin python311Packages.protobuf3-to-dict | |
x86_64-linux rubyPackages.digest-sha3 | |
aarch64-darwin python312Packages.findpython | |
x86_64-darwin python311Packages.mullvad-api | |
x86_64-linux xorg.xkbutils | |
aarch64-linux vimPlugins.zoomwintab-vim | |
aarch64-darwin go-dork | |
aarch64-linux assimp | |
aarch64-linux profont | |
x86_64-linux emacsPackages.leaf-convert | |
aarch64-linux rubyPackages_3_1.daemons | |
aarch64-linux thinkfan | |
aarch64-darwin ua | |
x86_64-darwin emacsPackages.ccls | |
aarch64-darwin rubyPackages_3_1.ruby_parser | |
aarch64-darwin hunspellDicts.en-gb-ize | |
aarch64-linux haskellPackages.polimorf | |
aarch64-darwin durden | |
x86_64-linux moonlight-embedded | |
aarch64-darwin lua51Packages.lualdap | |
aarch64-linux python312Packages.py-multihash | |
aarch64-linux ditaa | |
aarch64-linux haskellPackages.list-singleton | |
aarch64-darwin python312Packages.cryptography | |
x86_64-linux rubyPackages.timeout | |
x86_64-linux rubyPackages.taglib-ruby | |
x86_64-darwin pylyzer | |
aarch64-darwin haskellPackages.ansi-wl-pprint | |
aarch64-darwin python312Packages.mypy-boto3-ds | |
x86_64-darwin python311Packages.django-hcaptcha | |
aarch64-linux emacsPackages.xml-quotes | |
aarch64-darwin haskellPackages.trie-simple | |
aarch64-linux cargo-generate | |
x86_64-linux rubyPackages_3_3.sequel | |
x86_64-darwin python311Packages.asciimatics | |
aarch64-linux tcpdump | |
aarch64-linux terraform-providers.consul | |
aarch64-linux python311Packages.arviz | |
x86_64-linux cargo-criterion | |
x86_64-linux linuxPackages_latest-libre.system76 | |
aarch64-darwin luajitPackages.argparse | |
aarch64-darwin mbrola | |
x86_64-linux thc-ipv6 | |
x86_64-linux emacsPackages.material-theme | |
x86_64-darwin haskellPackages.amazonka-ssm-sap | |
x86_64-darwin emacsPackages.occidental-theme | |
x86_64-linux wp4nix | |
aarch64-linux python312Packages.omnilogic | |
aarch64-darwin ovh-ttyrec | |
x86_64-darwin python312Packages.pybase64 | |
x86_64-linux sigrok-firmware-fx2lafw | |
x |
Sign up for free
to join this conversation on GitHub.
Already have an account?
Sign in to comment