Created
April 19, 2024 12:13
-
-
Save GrahamcOfBorg/a0b3d9841694b5ee6ecb076fec41f430 to your computer and use it in GitHub Desktop.
This file has been truncated, but you can view the full file.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
aarch64-darwin haskellPackages.resolv | |
x86_64-linux python312Packages.pytest-aiohttp | |
aarch64-darwin vimPlugins.vim-noctu | |
x86_64-linux python312Packages.sumtypes | |
x86_64-linux haskellPackages.linear-geo | |
aarch64-darwin python312Packages.mypy-boto3-pinpoint | |
aarch64-linux ditaa | |
aarch64-darwin haskellPackages.morpheus-graphql-app | |
aarch64-linux coqPackages.mathcomp-finmap | |
x86_64-linux python311Packages.types-aiobotocore-ivschat | |
x86_64-darwin bibclean | |
x86_64-linux emacsPackages.bind | |
aarch64-darwin libcerf | |
x86_64-darwin python311Packages.sanic-routing | |
x86_64-linux python312Packages.jupyter-events | |
aarch64-linux python312Packages.rtfde | |
x86_64-darwin haskellPackages.cgrep | |
aarch64-linux cargo-sweep | |
aarch64-linux postgresql13JitPackages.periods | |
aarch64-linux luajitPackages.fzf-lua | |
aarch64-linux python311Packages.pytest-black | |
x86_64-linux haskellPackages.stm-delay | |
x86_64-darwin python312Packages.fireflyalgorithm | |
aarch64-darwin python311Packages.essentials | |
aarch64-darwin python311Packages.contexter | |
x86_64-darwin haskellPackages.polysemy-check | |
aarch64-darwin python311Packages.flask-cors | |
x86_64-darwin llvmPackages_16.openmp | |
x86_64-linux vimPlugins.vim-devicons | |
aarch64-linux webfontkitgenerator | |
x86_64-darwin emacsPackages.clear-text | |
x86_64-linux gr-framework | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.rtl8189fs | |
aarch64-linux python311Packages.panacotta | |
aarch64-linux python311Packages.migen | |
x86_64-linux python312Packages.hypchat | |
aarch64-linux vimPlugins.vim-monokai-pro | |
x86_64-linux python312Packages.trampoline | |
aarch64-darwin vimPlugins.codi-vim | |
x86_64-linux emacsPackages.modalka | |
x86_64-darwin emacsPackages.sql-beeline | |
aarch64-darwin python312Packages.alarmdecoder | |
aarch64-linux python312Packages.flet | |
x86_64-darwin marcel | |
x86_64-linux railway | |
x86_64-linux haskellPackages.ghc-prof-aeson | |
x86_64-darwin cimg | |
x86_64-linux python311Packages.splinter | |
aarch64-darwin libsForQt5.kio | |
x86_64-darwin perl536Packages.HTMLFormatTextWithLinksAndTables | |
aarch64-linux python312Packages.karton-dashboard | |
x86_64-linux python311Packages.runs | |
x86_64-darwin emacsPackages.chip8 | |
x86_64-linux python312Packages.pyelftools | |
x86_64-linux haskellPackages.validity-bytestring | |
aarch64-darwin dterm | |
aarch64-darwin python312Packages.gfal2-python | |
aarch64-linux haskellPackages.async | |
aarch64-linux emacsPackages.mgmtconfig-mode | |
x86_64-linux python311Packages.dissect-ole | |
x86_64-linux dreamweb | |
x86_64-linux lektor | |
x86_64-linux rsign2 | |
x86_64-linux linuxKernel.packages.linux_hardened.ithc | |
x86_64-darwin asdf-vm | |
x86_64-darwin python311Packages.libagent | |
aarch64-darwin hasmail | |
x86_64-darwin spruce | |
aarch64-darwin emacsPackages.libmpdee | |
x86_64-linux haskellPackages.spawn | |
x86_64-darwin libconfuse | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.rtl8192eu | |
aarch64-linux emacsPackages.wrap-search | |
x86_64-darwin haskellPackages.alex | |
x86_64-linux libsForQt5.modemmanager-qt | |
aarch64-linux python312Packages.editables | |
aarch64-darwin haskellPackages.pure-shuffle | |
aarch64-darwin perl536Packages.DBDCSV | |
aarch64-linux python312Packages.pushover-complete | |
aarch64-linux home-assistant-component-tests.homeassistant_sky_connect | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-5 | |
x86_64-darwin emacsPackages.gemini-write | |
aarch64-darwin python311Packages.types-aiobotocore-customer-profiles | |
aarch64-darwin python312Packages.python-lsp-jsonrpc | |
aarch64-linux emacsPackages.gofmt-tag | |
aarch64-darwin vimPlugins.coc-denite | |
x86_64-darwin haskellPackages.mailtrap | |
x86_64-darwin cargo-pgrx_0_11_3 | |
aarch64-darwin python312Packages.cle | |
x86_64-darwin python312Packages.mmh3 | |
aarch64-darwin luaPackages.loadkit | |
aarch64-linux vimPlugins.neodark-vim | |
aarch64-linux python311Packages.types-aiobotocore-devops-guru | |
x86_64-linux haskellPackages.cached-io | |
aarch64-darwin haskellPackages.pid1 | |
x86_64-darwin python311Packages.torchlibrosa | |
x86_64-linux rubyPackages.ruby-lxc | |
aarch64-linux haskellPackages.recommender-als | |
aarch64-darwin gccStdenvNoLibs | |
x86_64-darwin python312Packages.transaction | |
aarch64-linux libpanel | |
aarch64-darwin python311Packages.imagecodecs-lite | |
x86_64-linux linuxPackages_5_4_hardened.akvcam | |
aarch64-linux emacsPackages.evil-embrace | |
aarch64-darwin emacsPackages.phpinspect | |
aarch64-linux emacsPackages.helm-exwm | |
x86_64-darwin postgresql12Packages.pgsql-http | |
x86_64-linux kubedock | |
x86_64-linux python312Packages.sigrok | |
x86_64-linux rubyPackages_3_2.webrick | |
aarch64-darwin haskellPackages.star-to-star-contra | |
aarch64-darwin hcloud | |
x86_64-darwin perl536Packages.CryptDHGMP | |
x86_64-linux emacsPackages.reveal-in-folder | |
aarch64-linux opengist | |
x86_64-linux sphinxHook | |
x86_64-linux linuxKernel.packages.linux_lqx.kvdo | |
aarch64-darwin haskellPackages.twitter-conduit | |
aarch64-darwin lutgen | |
x86_64-linux dashing | |
x86_64-linux emacsPackages.helm-lib-babel | |
aarch64-linux python312Packages.chromadb | |
aarch64-linux python312Packages.pyosmium | |
aarch64-linux docfd | |
aarch64-darwin haskellPackages.amazonka-marketplace-entitlement | |
x86_64-darwin python312Packages.reikna | |
x86_64-darwin perl536Packages.BotTrainingStarCraft | |
aarch64-linux haskellPackages.safe | |
aarch64-linux python311Packages.httpie | |
x86_64-linux postgresql14JitPackages.pg_uuidv7 | |
aarch64-darwin emacsPackages.tempel | |
x86_64-linux rubyPackages_3_2.method_source | |
aarch64-linux python311Packages.mypy-boto3-amplifybackend | |
x86_64-linux mari0 | |
x86_64-darwin ncview | |
aarch64-darwin plasma5Packages.poppler | |
x86_64-linux vimPlugins.tmux-complete-vim | |
x86_64-linux terraform-providers.ansible | |
aarch64-linux emacsPackages.org-msg | |
aarch64-darwin cargo-binstall | |
aarch64-darwin idrisPackages.jheiling-extras | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.scfg | |
x86_64-darwin python312Packages.aionut | |
x86_64-linux emacsPackages.bash-completion | |
x86_64-linux the-powder-toy | |
x86_64-linux mnamer | |
x86_64-linux cutemarked-ng | |
aarch64-darwin python311Packages.aioautomower | |
aarch64-darwin python312Packages.mypy-boto3-sagemaker-featurestore-runtime | |
x86_64-darwin python311Packages.apkit | |
aarch64-linux lua54Packages.tiktoken_core | |
aarch64-darwin prometheus-node-exporter | |
aarch64-darwin emacsPackages.emojify-logos | |
x86_64-linux banana-accounting | |
x86_64-linux cudaPackages.cuda_nvtx | |
aarch64-linux gawkextlib.abort | |
x86_64-linux libsForQt5.milou | |
aarch64-darwin notary | |
x86_64-darwin plasma5Packages.kwindowsystem | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.digimend | |
aarch64-linux emacsPackages.align-cljlet | |
aarch64-linux emacsPackages.company-web | |
aarch64-linux python311Packages.google-cloud-monitoring | |
aarch64-darwin bochs | |
x86_64-darwin python311Packages.qpsolvers | |
aarch64-linux vimPlugins.neotest-zig | |
x86_64-darwin nix-direnv | |
aarch64-darwin python312Packages.django-compression-middleware | |
aarch64-darwin bitmagnet | |
x86_64-linux linuxPackages_5_4_hardened.openafs | |
aarch64-darwin vimPlugins.one-nvim | |
x86_64-linux linuxKernel.packages.linux_hardened.akvcam | |
aarch64-darwin python312Packages.python-rapidjson | |
aarch64-linux linuxKernel.packages.linux_6_1.rtl8821cu | |
aarch64-linux rubyPackages_3_2.native-package-installer | |
x86_64-linux fontpreview | |
x86_64-linux python312Packages.types-aiobotocore-discovery | |
aarch64-linux linuxKernel.packages.linux_zen.nvidia_x11 | |
x86_64-darwin perl538Packages.PodChecker | |
x86_64-linux glibmm_2_68 | |
x86_64-darwin vencord | |
aarch64-darwin chruby | |
aarch64-linux rubyPackages_3_2.jekyll-feed | |
x86_64-linux dawn | |
x86_64-linux haskellPackages.bindings-audiofile | |
x86_64-darwin clangbuildanalyzer | |
aarch64-darwin python312Packages.future-fstrings | |
x86_64-darwin theLoungePlugins.themes.chord | |
x86_64-linux gwe | |
aarch64-darwin haskellPackages.orderly-workers | |
aarch64-linux zsh-git-prompt | |
x86_64-darwin python312Packages.langsmith | |
aarch64-linux mantra | |
aarch64-darwin python312Packages.yamlordereddictloader | |
aarch64-darwin rubyPackages.cocoapods-coverage | |
x86_64-linux python311Packages.pdoc | |
aarch64-darwin rubyPackages.irb | |
aarch64-linux emacsPackages.auto-package-update | |
aarch64-darwin perl536Packages.VMEC2SecurityCredentialCache | |
x86_64-darwin emacsPackages.nerdtab | |
x86_64-linux home-assistant-component-tests.sfr_box | |
aarch64-linux home-assistant-custom-components.better_thermostat | |
aarch64-linux piper-train | |
x86_64-linux python312Packages.zope-schema | |
x86_64-darwin hyprkeys | |
x86_64-linux python311Packages.mypy-boto3-sagemaker | |
aarch64-darwin zram-generator | |
aarch64-linux linuxPackages_latest.veikk-linux-driver | |
aarch64-darwin neo-cowsay | |
x86_64-darwin python311Packages.whatthepatch | |
x86_64-linux haskellPackages.gimlh | |
x86_64-linux python311Packages.hexdump | |
x86_64-darwin guile-opengl | |
x86_64-darwin haskellPackages.amazonka-healthlake | |
aarch64-darwin haskellPackages.statechart | |
aarch64-darwin python312Packages.pynobo | |
aarch64-linux obs-studio-plugins.obs-tuna | |
x86_64-darwin nflz | |
x86_64-linux geekbench_4 | |
aarch64-darwin chickenPackages_5.chickenEggs.begin-syntax | |
x86_64-linux haskellPackages.dejafu | |
x86_64-darwin emacsPackages.region-bindings-mode | |
x86_64-darwin python312Packages.simple-rlp | |
aarch64-linux emacsPackages.color-theme-sanityinc-tomorrow | |
aarch64-darwin libgdiplus | |
x86_64-linux python312Packages.types-aiobotocore-organizations | |
x86_64-darwin gurk-rs | |
x86_64-linux libsForQt5.qzxing | |
aarch64-linux haskellPackages.text-ldap | |
x86_64-darwin haskellPackages.unsafe-promises | |
x86_64-linux batctl | |
x86_64-darwin emacsPackages.phi-search-dired | |
aarch64-darwin perl538Packages.TextMarkdownHoedown | |
aarch64-linux bom | |
x86_64-linux jdk22 | |
aarch64-darwin powerline-rs | |
x86_64-darwin gst_all_1.gst-plugins-ugly | |
x86_64-linux python312Packages.parver | |
x86_64-darwin emacsPackages.wide-column | |
x86_64-linux gnustep.libobjc | |
aarch64-darwin emacsPackages.vyper-mode | |
aarch64-linux python312Packages.lzstring | |
x86_64-linux kbs2 | |
aarch64-darwin python312Packages.requirements-parser | |
x86_64-linux python312Packages.pyheif | |
aarch64-linux python312Packages.rpm | |
aarch64-linux opam-publish | |
x86_64-linux libmikmod | |
aarch64-darwin nix-simple-deploy | |
x86_64-linux vimPlugins.vim-dirvish | |
x86_64-linux tests.writers.wrapping.rust | |
aarch64-linux azure-cli-extensions.datafactory | |
x86_64-linux python312Packages.skl2onnx | |
x86_64-darwin python312Packages.onnxruntime-tools | |
aarch64-darwin emacsPackages.org-link-travis | |
aarch64-linux squawk | |
aarch64-linux emacsPackages.php-boris-minor-mode | |
x86_64-linux pivx | |
x86_64-darwin python312Packages.rlp | |
x86_64-linux haskellPackages.InternedData | |
aarch64-darwin python311Packages.types-aiobotocore-connectcases | |
x86_64-linux home-assistant-component-tests.sensibo | |
x86_64-linux ext3grep | |
x86_64-darwin python312Packages.opentelemetry-proto | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.rtl8821au | |
aarch64-linux weka | |
aarch64-darwin xorg.xmessage | |
aarch64-darwin go-cqhttp | |
x86_64-darwin python312Packages.hickle | |
aarch64-linux plasma5Packages.palapeli | |
x86_64-darwin disk-inventory-x | |
x86_64-linux haskellPackages.portaudio | |
x86_64-darwin haskellPackages.amazonka-route53-recovery-readiness | |
x86_64-linux python311Packages.json-rpc | |
x86_64-linux processing | |
x86_64-darwin haskellPackages.with-location | |
aarch64-darwin dotnetCorePackages.dotnet_9.sdk | |
aarch64-linux home-assistant-component-tests.snips | |
x86_64-linux postgresql12Packages.pg_uuidv7 | |
aarch64-linux python312Packages.wavedrom | |
aarch64-darwin python311Packages.screeninfo | |
x86_64-darwin python312Packages.python-string-utils | |
aarch64-darwin python312Packages.httpcore | |
x86_64-darwin vimPlugins.vim-lean | |
aarch64-linux haskellPackages.numbering | |
aarch64-linux emacsPackages.ox-pandoc | |
x86_64-linux emacsPackages.flimenu | |
x86_64-linux kdePackages.cmark | |
x86_64-darwin python311Packages.mypy-boto3-kinesis-video-webrtc-storage | |
aarch64-darwin php83Extensions.blackfire | |
x86_64-darwin emacsPackages.tmux-mode | |
x86_64-linux gnome.gnome-disk-utility | |
aarch64-darwin pycoin | |
aarch64-darwin haskellPackages.fallible | |
x86_64-darwin jetty | |
x86_64-linux haskellPackages.namespace | |
x86_64-linux vimPlugins.nvim-spider | |
x86_64-linux python311Packages.django-payments | |
aarch64-linux haskellPackages.checksum | |
x86_64-linux emacsPackages.javap-mode | |
aarch64-linux stremio | |
x86_64-linux python312Packages.mypy-boto3-budgets | |
x86_64-darwin python312Packages.drf-spectacular | |
aarch64-linux linuxPackages_6_6_hardened.rtl8723ds | |
x86_64-linux nuclear | |
x86_64-linux python311Packages.aiooss2 | |
x86_64-darwin python312Packages.flake8-future-import | |
x86_64-linux python312Packages.tox | |
aarch64-linux python311Packages.mdurl | |
aarch64-linux haskellPackages.amazonka-forecastquery | |
aarch64-linux python311Packages.events | |
x86_64-darwin python312Packages.miniupnpc | |
x86_64-linux entt | |
aarch64-linux linux_zen | |
aarch64-linux emacsPackages.geiser-gambit | |
x86_64-linux octodns-providers.hetzner | |
x86_64-darwin paratype-pt-mono | |
x86_64-darwin haskellPackages.versions | |
aarch64-linux linuxKernel.packages.linux_xanmod.new-lg4ff | |
x86_64-darwin mapproxy | |
aarch64-darwin python312Packages.swisshydrodata | |
x86_64-darwin python311Packages.types-aiobotocore-mediastore | |
x86_64-linux xfce.xfce4-notifyd | |
x86_64-darwin python312Packages.azure-mgmt-iothub | |
aarch64-darwin rakkess | |
x86_64-linux haskellPackages.tensorflow-records-conduit | |
aarch64-linux home-assistant-component-tests.mqtt_room | |
aarch64-darwin emacsPackages.darcula-theme | |
aarch64-darwin haskellPackages.pager | |
x86_64-darwin haskellPackages.bindings-levmar | |
aarch64-darwin lua54Packages.luaposix | |
aarch64-darwin cloogppl | |
x86_64-linux python311Packages.tlsh | |
aarch64-linux gtree | |
aarch64-linux python311Packages.types-aiobotocore-customer-profiles | |
x86_64-linux vimPlugins.ncm2-dictionary | |
aarch64-darwin python312Packages.junit-xml | |
x86_64-darwin fcl | |
aarch64-darwin llvmPackages.clang-manpages | |
aarch64-darwin viu | |
aarch64-darwin haskellPackages.free | |
aarch64-linux python312Packages.dictdiffer | |
x86_64-darwin python312Packages.portend | |
aarch64-linux python311Packages.tblite | |
aarch64-darwin python311Packages.bugwarrior | |
aarch64-linux python312Packages.snakebite | |
x86_64-linux lua53Packages.say | |
aarch64-darwin groff | |
aarch64-darwin python311Packages.natasha | |
aarch64-darwin rubyPackages_3_3.colored | |
x86_64-linux rubyPackages_3_3.coffee-script-source | |
aarch64-darwin newsflash | |
aarch64-darwin hunspellDicts.es-cl | |
aarch64-darwin python311Packages.typing-extensions | |
aarch64-linux python311Packages.pillow | |
aarch64-darwin emacsPackages.liblouis | |
x86_64-linux haskellPackages.hs-speedscope | |
aarch64-darwin haskellPackages.language-c-quote | |
x86_64-darwin emacsPackages.ob-spice | |
x86_64-darwin haskellPackages.data-accessor-mtl | |
aarch64-darwin python311Packages.cartopy | |
x86_64-darwin emacsPackages.visual-regexp | |
aarch64-darwin libdevil | |
aarch64-linux i3lock | |
x86_64-linux haskellPackages.ascetic | |
aarch64-darwin perl538Packages.TestTCP | |
aarch64-darwin emacsPackages.fstar-mode | |
x86_64-linux postgresqlPackages.pg_partman | |
x86_64-darwin python311Packages.ancp-bids | |
x86_64-darwin chickenPackages_5.chickenEggs.snowtar | |
aarch64-linux qt6Packages.qtpbfimageplugin | |
aarch64-linux linux | |
x86_64-darwin perl538Packages.TieSimple | |
x86_64-darwin lua51Packages.argparse | |
aarch64-darwin perl536Packages.SGMLSpm | |
x86_64-darwin python312Packages.jsons | |
aarch64-linux haskellPackages.time-out | |
aarch64-linux python312Packages.django-ranged-response | |
aarch64-linux tllist | |
x86_64-linux haskellPackages.amazonka-secretsmanager | |
x86_64-darwin python312Packages.types-aiobotocore-lambda | |
x86_64-linux haskellPackages.wordexp | |
aarch64-linux haskellPackages.plaid | |
x86_64-linux emacsPackages.org-projectile-helm | |
aarch64-linux python312Packages.configobj | |
x86_64-darwin python311Packages.djangorestframework-camel-case | |
x86_64-darwin haskellPackages.github | |
aarch64-linux emacsPackages.ssh-agency | |
x86_64-darwin haskellPackages.HUnit-approx | |
x86_64-linux python311Packages.hpack | |
aarch64-darwin bacula | |
aarch64-linux rocmPackages_5.rocm-comgr | |
aarch64-darwin python311Packages.mypy-boto3-storagegateway | |
x86_64-darwin python311Packages.py17track | |
aarch64-darwin perl538Packages.MojoliciousPluginGravatar | |
x86_64-linux python311Packages.arsenic | |
x86_64-linux libmatroska | |
aarch64-darwin python312Packages.videocr | |
aarch64-darwin haskellPackages.attoparsec-time | |
aarch64-linux haskellPackages.x509-store | |
x86_64-darwin python311Packages.orm | |
x86_64-linux linuxKernel.packages.linux_libre.broadcom_sta | |
x86_64-linux xwayland | |
aarch64-linux python311Packages.perfplot | |
aarch64-darwin haskellPackages.real-day-end | |
aarch64-linux govc | |
x86_64-linux variety | |
aarch64-linux ceres-solver | |
aarch64-darwin haskellPackages.JuicyPixels | |
x86_64-darwin python311Packages.templateflow | |
aarch64-linux python311Packages.galario | |
x86_64-linux recoll | |
x86_64-darwin prodigal | |
aarch64-darwin ffmpeg_6-full | |
x86_64-darwin mtpfs | |
aarch64-linux emacsPackages.evil-colemak-minimal | |
aarch64-darwin python312Packages.llama-index-agent-openai | |
x86_64-darwin haskellPackages.pa-prelude | |
aarch64-linux solaar | |
x86_64-darwin emacsPackages.flycheck-pkg-config | |
x86_64-darwin postgresql13Packages.pg_topn | |
x86_64-linux python312Packages.pyvmomi | |
aarch64-linux python312Packages.treq | |
x86_64-linux meek | |
aarch64-linux freeglut | |
aarch64-darwin cp210x-program | |
aarch64-darwin python311Packages.aiocurrencylayer | |
aarch64-darwin emacsPackages.verify-url | |
x86_64-darwin wiiuse | |
aarch64-linux kmscube | |
x86_64-darwin micronaut | |
aarch64-darwin emacsPackages.ponylang-mode | |
aarch64-darwin binaryen | |
aarch64-darwin emacsPackages.global-tags | |
aarch64-linux extest | |
aarch64-linux haskellPackages.hedis | |
x86_64-linux megapixels | |
x86_64-linux kdePackages.kiconthemes | |
aarch64-darwin python312Packages.aiodhcpwatcher | |
x86_64-darwin libbitcoin-client | |
x86_64-linux nosql-workbench | |
x86_64-linux haskellPackages.gi-girepository | |
x86_64-linux emacsPackages.haskell-snippets | |
aarch64-linux linuxPackages_latest.acpi_call | |
aarch64-darwin opensp | |
x86_64-darwin Fabric | |
aarch64-linux tarsum | |
x86_64-linux rocmPackages.rocprofiler | |
aarch64-darwin java-language-server | |
x86_64-darwin emacsPackages.robots-txt-mode | |
x86_64-darwin drawpile | |
aarch64-darwin python311Packages.smpplib | |
aarch64-linux python312Packages.onnx | |
x86_64-linux python311Packages.flask-seasurf | |
x86_64-linux emacsPackages.monotropic-theme | |
x86_64-linux python312Packages.pyvis | |
x86_64-linux tests.hardeningFlags.fortify3StdenvUnsuppDoesntUnsuppFortify | |
aarch64-darwin vimPlugins.asynctasks-vim | |
aarch64-darwin perl538Packages.CryptDH | |
aarch64-darwin python312Packages.mypy-boto3-kinesis-video-signaling | |
x86_64-darwin zkar | |
aarch64-darwin python312Packages.bincopy | |
x86_64-darwin python311Packages.clldutils | |
aarch64-linux python311Packages.django-jinja | |
x86_64-linux gvpe | |
aarch64-darwin libsForQt5.kxmlgui | |
x86_64-darwin shairport-sync | |
x86_64-linux eclipses.eclipse-embedcpp | |
x86_64-linux luajitPackages.argparse | |
aarch64-linux haskellPackages.blas-comfort-array | |
x86_64-linux haskellPackages.contravariant | |
aarch64-darwin python312Packages.hatch-vcs | |
aarch64-darwin azure-cli-extensions.azurestackhci | |
aarch64-linux iosevka-comfy.comfy-fixed | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.scfg | |
aarch64-linux lucky-commit | |
aarch64-darwin python312Packages.dask-gateway-server | |
x86_64-linux python311Packages.meraki | |
aarch64-darwin emacsPackages.heap | |
aarch64-darwin bluetooth_battery | |
x86_64-linux python311Packages.types-lxml | |
aarch64-linux python311Packages.vaa | |
aarch64-linux emacsPackages.olc | |
aarch64-darwin emacsPackages.show-eol | |
x86_64-linux adbfs-rootless | |
x86_64-darwin haskellPackages.SQLDeps | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.cmake | |
x86_64-linux memray | |
x86_64-darwin python311Packages.flow-record | |
aarch64-linux python312Packages.gnureadline | |
x86_64-linux libretro.stella | |
x86_64-darwin konstraint | |
x86_64-darwin rtptools | |
x86_64-linux haskellPackages.hexpat | |
x86_64-linux emacsPackages.mmm-jinja2 | |
aarch64-darwin python311Packages.chromadb | |
x86_64-darwin chickenPackages_5.chickenEggs.gmi | |
x86_64-linux fulcio | |
aarch64-darwin isl_0_24 | |
aarch64-linux postgresql15JitPackages.pgaudit | |
x86_64-linux openbox-menu | |
x86_64-darwin ltl2ba | |
aarch64-linux emacsPackages.evil-surround | |
aarch64-darwin libsForQt5.kpackage | |
x86_64-linux pa_applet | |
aarch64-linux python312Packages.appdirs | |
x86_64-linux cplay-ng | |
aarch64-linux livedl | |
x86_64-darwin haskellPackages.dustme | |
aarch64-linux python311Packages.librespot | |
x86_64-darwin python311Packages.bqscales | |
x86_64-linux libcxxrt | |
x86_64-darwin perl536Packages.NetLibIDN2 | |
aarch64-linux python311Packages.mypy-boto3-sagemaker-metrics | |
x86_64-darwin python311Packages.pyautogui | |
x86_64-darwin emacsPackages.ox-spectacle | |
x86_64-linux gnomeExtensions.luxembourgish-word-of-the-day | |
aarch64-darwin xorg.libXvMC | |
aarch64-linux spicy | |
aarch64-linux linuxKernel.packages.linux_xanmod.decklink | |
x86_64-darwin python312Packages.lpc-checksum | |
x86_64-darwin emacsPackages.hl-column | |
x86_64-darwin emacsPackages.hc-zenburn-theme | |
x86_64-darwin llvmPackages_14.clang | |
aarch64-linux nix-info-tested | |
x86_64-darwin haskellPackages.exception-mailer | |
aarch64-darwin azure-cli-extensions.azurelargeinstance | |
aarch64-linux python312Packages.base58 | |
aarch64-linux emacsPackages.make-it-so | |
x86_64-linux python311Packages.wrf-python | |
x86_64-linux s3ql | |
x86_64-linux tests.cuda.cudaPackages_11_5.saxpy | |
aarch64-darwin languageMachines.libfolia | |
aarch64-linux haskellPackages.cooklang-hs | |
aarch64-linux emacsPackages.yatemplate | |
x86_64-linux gnome-2048 | |
aarch64-darwin python311Packages.georss-nrcan-earthquakes-client | |
aarch64-linux haskellPackages.http-client-tls | |
x86_64-darwin python311Packages.qualysclient | |
x86_64-darwin vimPlugins.lightline-ale | |
x86_64-linux valgrind | |
aarch64-darwin python312Packages.pyftdi | |
x86_64-linux haskellPackages.bugsnag-wai | |
aarch64-linux python312Packages.torch-tb-profiler | |
aarch64-darwin emacsPackages.difftastic | |
x86_64-linux cudaPackagesGoogle.cudnn_8_0 | |
x86_64-darwin kubectl-view-secret | |
x86_64-linux qt6Packages.packagekit-qt | |
x86_64-darwin poppler_min | |
aarch64-linux rubyPackages_3_2.jekyll-theme-leap-day | |
x86_64-linux postgresql16Packages.pgroonga | |
aarch64-linux python312Packages.gcal-sync | |
aarch64-linux pgagroal | |
x86_64-darwin haskellPackages.data-elf | |
x86_64-linux emacsPackages.bech32 | |
x86_64-linux emacsPackages.cljr-ivy | |
x86_64-linux emacsPackages.el2org | |
aarch64-darwin libsForQt5.qtmultimedia | |
aarch64-linux haskellPackages.async-extras | |
x86_64-linux linuxKernel.packages.linux_lqx.system76-acpi | |
x86_64-linux webassemblyjs-repl | |
aarch64-darwin emacsPackages.paredit-menu | |
x86_64-darwin python311Packages.robotframework-seleniumlibrary | |
aarch64-darwin xib2nib | |
x86_64-linux vimPlugins.hoon-vim | |
aarch64-linux python311Packages.stups-fullstop | |
x86_64-linux addlicense | |
aarch64-linux linuxKernel.packages.linux_latest_libre.gasket | |
x86_64-darwin logrotate | |
x86_64-linux haskellPackages.raven-haskell | |
x86_64-linux arch-install-scripts | |
aarch64-darwin python312Packages.pytile | |
aarch64-linux linuxPackages_xanmod.mba6x_bl | |
aarch64-darwin emacsPackages.lv | |
x86_64-darwin python312Packages.webthing | |
aarch64-linux python311Packages.mypy-boto3-codedeploy | |
aarch64-linux rubyPackages_3_2.html-pipeline | |
x86_64-linux plasma5Packages.qt5.qtwebglplugin | |
aarch64-darwin python312Packages.mlxtend | |
aarch64-linux staticjinja | |
aarch64-linux sundials | |
x86_64-darwin emacsPackages.ansi | |
aarch64-linux wayfarer | |
x86_64-darwin clair | |
x86_64-darwin cl-wordle | |
x86_64-linux emacsPackages.helm-firefox | |
x86_64-darwin emacsPackages.zprint-mode | |
aarch64-linux kdePackages.kalzium | |
x86_64-darwin postgresql14JitPackages.pg_auto_failover | |
aarch64-darwin python312Packages.authheaders | |
aarch64-darwin python312Packages.material-color-utilities | |
aarch64-linux python312Packages.orderedset | |
x86_64-linux vimPlugins.fileline-nvim | |
x86_64-linux adoptopenjdk-jre-bin | |
aarch64-darwin python311Packages.habluetooth | |
aarch64-linux eject | |
aarch64-linux python311Packages.azure-eventhub | |
aarch64-linux emacsPackages.flymake-flycheck | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.fanout | |
aarch64-linux python311Packages.ninja | |
aarch64-linux wit-bindgen | |
aarch64-darwin cardpeek | |
x86_64-linux linuxKernel.packages.linux_6_8.virtualbox | |
x86_64-darwin emacsPackages.realgud-trepan-xpy | |
aarch64-linux lv2bm | |
x86_64-linux python312Packages.html5lib | |
aarch64-darwin emacsPackages.textile-mode | |
x86_64-darwin python312Packages.screenlogicpy | |
x86_64-linux python312Packages.cexprtk | |
x86_64-linux python312Packages.lunarcalendar | |
x86_64-linux haskellPackages.Hastodon | |
x86_64-linux dotnet-sdk_6 | |
aarch64-darwin vimPlugins.vim-github-dashboard | |
x86_64-darwin python311Packages.md2gemini | |
x86_64-darwin python311Packages.thermopro-ble | |
x86_64-linux python312Packages.types-aiobotocore-cloudwatch | |
x86_64-darwin vimPlugins.vim-niceblock | |
x86_64-linux linuxPackages-libre.system76-power | |
x86_64-linux xorg.fontibmtype1 | |
aarch64-linux python312Packages.pysyncthru | |
aarch64-linux gnome.four-in-a-row | |
aarch64-linux gnome.gnome-chess | |
x86_64-linux k3s_1_27 | |
x86_64-linux python311Packages.imgcat | |
aarch64-darwin rubyPackages.og-corefoundation | |
aarch64-linux luaPackages.stdlib | |
x86_64-linux rubyPackages_3_3.faraday-net_http | |
aarch64-linux python311Packages.certbot | |
aarch64-linux emacsPackages.flymake-aspell | |
x86_64-linux vimPlugins.vim-signify | |
aarch64-linux gp-saml-gui | |
aarch64-darwin perl538Packages.LWPProtocolHttps | |
x86_64-linux adwaita-qt6 | |
x86_64-linux linuxPackages_5_15_hardened.nvidia_x11_beta | |
aarch64-linux python311Packages.online-judge-api-client | |
x86_64-linux python312Packages.pynina | |
aarch64-linux haskellPackages.elynx-tools | |
aarch64-linux kodi-cli | |
aarch64-darwin sonarr | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.git_rebase | |
aarch64-darwin perl538Packages.TermProgressBarQuiet | |
aarch64-linux python311Packages.pytest-datafiles | |
aarch64-linux python312Packages.readability-lxml | |
x86_64-darwin python312Packages.dlinfo | |
x86_64-linux haskellPackages.cyclotomic | |
aarch64-darwin python312Packages.kivy | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.rtl8189fs | |
x86_64-darwin emacsPackages.ob-blockdiag | |
aarch64-linux mlir_16 | |
x86_64-darwin python312Packages.ydiff | |
aarch64-darwin trash-cli | |
aarch64-linux gistyc | |
x86_64-linux kdePackages.qmlbox2d | |
aarch64-linux jdt-language-server | |
x86_64-darwin python311Packages.before-after | |
x86_64-linux ckbcomp | |
aarch64-darwin vimPlugins.compiler-explorer-nvim | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.linux-gpib | |
aarch64-linux python312Packages.beautiful-date | |
x86_64-linux massren | |
aarch64-linux chickenPackages_5.chickenEggs.awful-postgresql | |
x86_64-darwin gnatPackages.gnatcoll-lzma | |
x86_64-darwin libsForQt5.qtxmlpatterns | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.dpdk-kmods | |
aarch64-linux python311Packages.dj-database-url | |
x86_64-darwin rubyPackages_3_2.highline | |
aarch64-linux discordo | |
x86_64-linux linuxPackages_5_15_hardened.bpftrace | |
aarch64-linux emacsPackages.iwindow | |
x86_64-darwin rubyPackages_3_1.eventmachine | |
aarch64-darwin emacsPackages.calibre | |
aarch64-linux python311Packages.heudiconv | |
x86_64-darwin gts | |
aarch64-darwin selfoss | |
aarch64-linux haskellPackages.yi-rope | |
aarch64-darwin python312Packages.tika-client | |
x86_64-linux haskellPackages.concurrent-rpc | |
x86_64-linux python312Packages.netapp-lib | |
x86_64-darwin python311Packages.blockdiag | |
aarch64-darwin perl536Packages.MathFibonacci | |
aarch64-darwin emacsPackages.multi | |
x86_64-linux python311Packages.rki-covid-parser | |
x86_64-darwin lua54Packages.libluv | |
aarch64-darwin soapysdr | |
aarch64-darwin perl536Packages.FCGIProcManager | |
aarch64-darwin doctave | |
x86_64-linux ccrtp | |
aarch64-darwin python311Packages.retry | |
x86_64-darwin libwpg | |
x86_64-linux paper-age | |
x86_64-linux python312Packages.progressbar33 | |
aarch64-linux razergenie | |
x86_64-linux qt5.qtsvg | |
x86_64-linux pantheon.granite | |
aarch64-linux libhttpserver | |
x86_64-linux tox-node | |
aarch64-darwin haskellPackages.servant-swagger | |
x86_64-linux slstatus | |
aarch64-linux haskellPackages.woot | |
x86_64-linux haskellPackages.amazonka-marketplace-analytics | |
x86_64-darwin haskellPackages.html-minimalist | |
x86_64-linux luaPackages.lua-curl | |
x86_64-darwin libnfs | |
x86_64-linux python311Packages.mypy-boto3-cloudfront | |
x86_64-linux libpcap | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.rtl8723ds | |
aarch64-darwin rubyPackages_3_3.hpricot | |
aarch64-darwin python311Packages.pydantic-compat | |
x86_64-darwin python311Packages.sphinxcontrib-excel-table | |
x86_64-darwin python312Packages.tbm-utils | |
x86_64-linux linuxPackages_lqx.decklink | |
x86_64-darwin passky-desktop | |
aarch64-darwin cvc4 | |
x86_64-darwin emacsPackages.company-lean | |
aarch64-darwin ruby-lsp | |
aarch64-linux ipxe | |
x86_64-linux libxkbcommon | |
aarch64-darwin python312Packages.pygatt | |
aarch64-linux libqtdbustest | |
aarch64-darwin perl536Packages.W3CLinkChecker | |
aarch64-darwin rubyPackages_3_3.actioncable | |
x86_64-linux emacsPackages.py-smart-operator | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.Qt5OpenGLExtensions | |
x86_64-darwin haskellPackages.nagios-config | |
aarch64-linux dr14_tmeter | |
aarch64-linux emacsPackages.indent-info | |
x86_64-linux lld_15 | |
x86_64-darwin python312Packages.matplotlib-sixel | |
x86_64-darwin python312Packages.pyexiftool | |
aarch64-darwin sshs | |
x86_64-darwin emacsPackages.haskell-snippets | |
x86_64-linux emacsPackages.lyrics-fetcher | |
x86_64-darwin perl536Packages.CryptArgon2 | |
aarch64-linux haskellPackages.ploton | |
aarch64-darwin chickenPackages_4.chickenEggs.uri-common | |
aarch64-darwin python312Packages.piccata | |
x86_64-darwin ascii-image-converter | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.decklink | |
aarch64-linux sheldon | |
aarch64-linux home-assistant-component-tests.group | |
x86_64-darwin argocd-vault-plugin | |
aarch64-linux haskellPackages.hosc | |
aarch64-darwin aspellDicts.et | |
x86_64-linux latencytop | |
aarch64-linux python311Packages.websockify | |
aarch64-darwin llvmPackages_12.clang-manpages | |
aarch64-linux plasma5Packages.kcmutils | |
x86_64-darwin haskellPackages.lazysmallcheck | |
aarch64-linux raider | |
aarch64-linux containerlab | |
x86_64-linux fmit | |
aarch64-darwin python311Packages.jaraco-classes | |
aarch64-darwin rubyPackages_3_2.coderay | |
x86_64-darwin haskellPackages.hspec-checkers | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.gotmpl | |
aarch64-darwin emacsPackages.spacemacs-theme | |
aarch64-darwin python312Packages.types-aiobotocore-timestream-query | |
aarch64-darwin perl536Packages.CatalystPluginSessionDynamicExpiry | |
x86_64-darwin chickenPackages_5.chickenEggs.iset | |
x86_64-linux adrgen | |
x86_64-linux lua51Packages.lua-term | |
aarch64-darwin emacsPackages.easy-hugo | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.lalrpop | |
aarch64-darwin haskellPackages.shell-utility | |
aarch64-darwin python312Packages.types-aiobotocore-license-manager-linux-subscriptions | |
aarch64-darwin emacsPackages.ivy-ycmd | |
x86_64-darwin haskellPackages.stable-marriage | |
aarch64-linux python312Packages.jupyter-ui-poll | |
aarch64-darwin haskellPackages.doctest-lib | |
aarch64-darwin perl536Packages.TestPerlTidy | |
aarch64-darwin python311Packages.aioruuvigateway | |
x86_64-darwin python311Packages.os-service-types | |
x86_64-darwin scorecard | |
x86_64-darwin python312Packages.platformdirs | |
x86_64-linux urn-timer | |
x86_64-linux vimPlugins.vim-wordmotion | |
aarch64-darwin perl536Packages.CompilerLexer | |
x86_64-linux python311Packages.faadelays | |
x86_64-darwin python311Packages.mypy-boto3-cleanrooms | |
x86_64-darwin python311Packages.pymoo | |
x86_64-darwin python312Packages.pytedee-async | |
aarch64-darwin haskellPackages.mdo | |
aarch64-darwin libde265 | |
x86_64-linux python312Packages.pytest-xprocess | |
aarch64-darwin vimPlugins.lens-vim | |
x86_64-darwin vimPlugins.ctrlp-py-matcher | |
x86_64-darwin perl538Packages.MathPrimeUtilGMP | |
x86_64-darwin youtube-viewer | |
aarch64-darwin haskellPackages.hedgehog-extras | |
x86_64-linux haskellPackages.json2yaml | |
aarch64-darwin rfc | |
x86_64-darwin haskellPackages.comma | |
aarch64-linux emacsPackages.svg-mode-line-themes | |
aarch64-linux clib | |
aarch64-darwin python312Packages.ledgercomm | |
x86_64-linux linuxKernel.packages.linux_lqx.asus-ec-sensors | |
aarch64-darwin python312Packages.mypy-boto3-autoscaling-plans | |
aarch64-darwin sea-orm-cli | |
aarch64-linux rubyPackages_3_3.gitlab-markup | |
aarch64-darwin python312Packages.molecule | |
aarch64-linux haskellPackages.unexceptionalio | |
x86_64-darwin luaPackages.mediator_lua | |
aarch64-linux catcli | |
aarch64-linux emacsPackages.aiken-mode | |
x86_64-linux python311Packages.django-stubs | |
aarch64-linux kdePackages.tokodon | |
aarch64-darwin rubyPackages.rb-readline | |
x86_64-darwin haskellPackages.looksee | |
x86_64-darwin haskellPackages.mx-state-codes | |
x86_64-linux gnomeExtensions.window-title-is-back | |
x86_64-linux unvanquished | |
aarch64-darwin haskellPackages.docstrings | |
x86_64-linux emacsPackages.darcula-theme | |
aarch64-darwin esphome | |
x86_64-linux python312Packages.x-wr-timezone | |
aarch64-linux kdePackages.kblackbox | |
x86_64-linux python312Packages.llama-parse | |
x86_64-linux emacsPackages.isearch-plus | |
aarch64-darwin luajitPackages.pathlib-nvim | |
aarch64-linux linuxPackages_xanmod.nvidia_x11_vulkan_beta_open | |
x86_64-linux go-graft | |
x86_64-darwin haskellPackages.hfsevents | |
aarch64-darwin ivy | |
x86_64-linux mate.mate-notification-daemon | |
aarch64-darwin emacsPackages.org-listcruncher | |
aarch64-darwin python311Packages.jsmin | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.luap | |
x86_64-darwin python311Packages.gridnet | |
x86_64-linux vimPlugins.vim-humanoid-colorscheme | |
aarch64-linux vimPlugins.markdown-preview-nvim | |
x86_64-darwin emacsPackages.wavefront-obj-mode | |
aarch64-darwin python311Packages.walrus | |
x86_64-linux gobble | |
x86_64-linux emacsPackages.terraform-doc | |
aarch64-darwin iosevka-bin | |
x86_64-darwin deluge-2_x | |
aarch64-darwin python311Packages.gplaycli | |
x86_64-linux tvheadend | |
aarch64-linux qt6Packages.qtserialport | |
aarch64-darwin haskellPackages.FloatingHex | |
x86_64-darwin pokemon-colorscripts-mac | |
x86_64-darwin pict-rs_0_3 | |
aarch64-linux cudaPackages_10.cutensor | |
aarch64-linux mdctags | |
x86_64-darwin python311Packages.plumbum | |
aarch64-linux python311Packages.rangehttpserver | |
x86_64-linux tootik | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.gasket | |
x86_64-darwin emacsPackages.skewer-mode | |
x86_64-darwin python311Packages.pynmea2 | |
aarch64-linux haskellPackages.io-region | |
x86_64-linux wonderdraft | |
aarch64-linux emacsPackages.wiki-summary | |
x86_64-darwin haskellPackages.posix-timer | |
aarch64-linux python312Packages.validobj | |
aarch64-linux emacsPackages.dired-explorer | |
x86_64-darwin python311Packages.mypy-boto3-timestream-query | |
x86_64-linux haskell.compiler.native-bignum.ghc98 | |
x86_64-linux emacsPackages.ligature | |
x86_64-linux ydotool | |
aarch64-linux haskellPackages.trial | |
x86_64-darwin ngt | |
x86_64-darwin python311Packages.django-nose | |
x86_64-linux python311Packages.vacuum-map-parser-base | |
aarch64-linux python311Packages.inkex | |
aarch64-linux stunnel | |
x86_64-linux vimPlugins.sideways-vim | |
x86_64-darwin perl536Packages.HTTPDate | |
aarch64-linux python311Packages.telegraph | |
aarch64-linux kdePackages.kweather | |
x86_64-darwin moc | |
x86_64-darwin python312Packages.types-lxml | |
x86_64-linux postgresql12JitPackages.timescaledb_toolkit | |
aarch64-darwin python312Packages.ipyvuetify | |
x86_64-linux python312Packages.azure-mgmt-logic | |
aarch64-linux tubekit-unwrapped | |
aarch64-linux gamja | |
aarch64-darwin perl538Packages.ListMoreUtils | |
x86_64-linux librsvg | |
x86_64-darwin python311Packages.sphinx-better-theme | |
x86_64-linux haskellPackages.neat-interpolation | |
x86_64-linux linuxPackages_xanmod_stable.dpdk-kmods | |
aarch64-linux python311Packages.types-aiobotocore-waf | |
x86_64-linux cmake-language-server | |
aarch64-darwin python311Packages.nianet | |
aarch64-linux python312Packages.asn1tools | |
x86_64-darwin corepack_21 | |
aarch64-darwin dura | |
x86_64-darwin haskellPackages.lima | |
x86_64-darwin python311Packages.pyasn | |
x86_64-darwin python311Packages.python-novaclient | |
x86_64-linux maxima | |
x86_64-darwin python312Packages.libsass | |
x86_64-darwin python311Packages.anel-pwrctrl-homeassistant | |
x86_64-linux python311Packages.types-aiobotocore-kinesisanalytics | |
aarch64-darwin python312Packages.types-aiobotocore-autoscaling-plans | |
x86_64-darwin adenum | |
x86_64-linux libfishsound | |
aarch64-darwin python312Packages.django-maintenance-mode | |
aarch64-darwin tandoor-recipes | |
x86_64-darwin vimPlugins.nvim-tree-lua | |
aarch64-darwin haskellPackages.fused-effects | |
aarch64-darwin haskellPackages.pusher-http-haskell | |
aarch64-darwin python311Packages.pixelmatch | |
aarch64-linux python312Packages.pulsectl | |
aarch64-darwin home-assistant-custom-components.gpio | |
aarch64-darwin python311Packages.mypy-boto3-machinelearning | |
aarch64-linux libsForQt5.kolf | |
x86_64-linux i3blocks-gaps | |
x86_64-darwin python311Packages.dvc-render | |
aarch64-darwin packcc | |
x86_64-linux cudaPackages_10.tensorrt_8_5 | |
aarch64-darwin emacsPackages.pasp-mode | |
x86_64-linux libmysqlclient | |
x86_64-linux pantheon.elementary-session-settings | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.gnuplot | |
x86_64-linux postgresql13JitPackages.pgrouting | |
x86_64-linux emacsPackages.map | |
x86_64-darwin booster | |
x86_64-darwin python311Packages.pytest-unordered | |
aarch64-linux haskellPackages.forkable-monad | |
aarch64-darwin python311Packages.google-cloud-vision | |
aarch64-linux python312Packages.ckcc-protocol | |
x86_64-linux kubo-migrator-unwrapped | |
x86_64-linux kodi-gbm | |
aarch64-linux python312Packages.jsonschema | |
x86_64-linux vimPlugins.pony-vim-syntax | |
x86_64-linux emacsPackages.elbank | |
x86_64-linux gnomeExtensions.pinguxnetlabel | |
x86_64-darwin tests.haskell.cabalSdist.hercules-ci-cnix-store | |
x86_64-linux gtkspellmm | |
x86_64-linux minecraft-server | |
x86_64-darwin python312Packages.unidic-lite | |
x86_64-darwin python312Packages.zconfig | |
x86_64-linux rubyPackages_3_2.msgpack | |
aarch64-linux haskellPackages.code-conjure | |
x86_64-linux haskellPackages.feature-flags | |
aarch64-darwin rubyPackages_3_3.pastel | |
aarch64-linux python312Packages.klein | |
x86_64-darwin python311Packages.yangson | |
x86_64-darwin haskellPackages.lock-file | |
x86_64-linux stc-cli | |
x86_64-linux lld_14 | |
aarch64-linux linuxPackages_6_1_hardened.ax99100 | |
x86_64-darwin python311Packages.homepluscontrol | |
aarch64-linux quantlib | |
aarch64-darwin gawkextlib.nl_langinfo | |
x86_64-linux haskellPackages.pathtype | |
x86_64-linux lua52Packages.http | |
aarch64-darwin haskellPackages.jacobi-theta | |
aarch64-linux lua52Packages.coxpcall | |
x86_64-linux haskellPackages.hpc-codecov | |
x86_64-linux emacsPackages.inf-crystal | |
aarch64-linux instawow | |
aarch64-linux python312Packages.repeated-test | |
aarch64-darwin postgresql14JitPackages.wal2json | |
x86_64-linux vimPlugins.multicursors-nvim | |
aarch64-linux airstrike | |
x86_64-darwin emacsPackages.scratches | |
x86_64-linux python311Packages.lmcloud | |
aarch64-linux python312Packages.pydevd | |
x86_64-linux functionalplus | |
x86_64-darwin notesnook | |
x86_64-darwin python311Packages.beautifulsoup4 | |
aarch64-linux graphqurl | |
aarch64-linux haskellPackages.systemd | |
aarch64-linux haskellPackages.genvalidity-persistent | |
x86_64-darwin tickrs | |
x86_64-linux postgresql12Packages.tds_fdw | |
x86_64-darwin emacsPackages.org-clock-agenda-daytime-mode | |
x86_64-darwin postgresql13Packages.citus | |
x86_64-darwin emacsPackages.playerctl | |
x86_64-linux haskellPackages.servant-rawm-client | |
x86_64-linux k4dirstat | |
aarch64-darwin haskellPackages.imagesize-conduit | |
x86_64-linux home-assistant-custom-lovelace-modules.multiple-entity-row | |
x86_64-darwin form | |
x86_64-linux keepass-qrcodeview | |
x86_64-linux emacsPackages.bats-mode | |
aarch64-linux kdePackages.milou | |
x86_64-linux python311Packages.hass-nabucasa | |
x86_64-darwin libinotify-kqueue | |
x86_64-linux coqPackages.paramcoq | |
x86_64-darwin perl536Packages.SyntaxKeywordTry | |
x86_64-darwin emacsPackages.lorem-ipsum | |
x86_64-darwin emacsPackages.mpages | |
x86_64-linux haskellPackages.repa-fftw | |
x86_64-darwin python312Packages.jxmlease | |
aarch64-linux unar | |
x86_64-darwin python312Packages.google-cloud-vision | |
aarch64-darwin haskellPackages.amazonka-iotthingsgraph | |
x86_64-linux sbclPackages.cl-heap | |
x86_64-linux immer | |
x86_64-darwin haskellPackages.docusign-base | |
x86_64-darwin pgbouncer | |
x86_64-linux haskellPackages.amazonka-sns | |
aarch64-linux crex | |
x86_64-linux haskellPackages.names-th | |
aarch64-darwin python311Packages.signify | |
aarch64-linux haskellPackages.ltl | |
aarch64-linux python311Packages.pixel-ring | |
aarch64-darwin python312Packages.aioridwell | |
aarch64-darwin tests.cc-wrapper.llvmTests.llvmPackages_12.libcxx | |
aarch64-darwin emacsPackages.immutant-server | |
x86_64-linux emacsPackages.plaster | |
x86_64-darwin emacsPackages.create-link | |
aarch64-darwin eunomia | |
aarch64-darwin python311Packages.surt | |
aarch64-linux haskellPackages.cryptohash | |
aarch64-linux haskellPackages.data-default-instances-dlist | |
aarch64-darwin libbraiding | |
aarch64-darwin python311Packages.umalqurra | |
aarch64-darwin dinghy | |
aarch64-linux home-assistant-component-tests.no_ip | |
aarch64-darwin python311Packages.zope-schema | |
x86_64-linux python311Packages.range-typed-integers | |
aarch64-darwin di | |
aarch64-darwin rubyPackages.coffee-script | |
x86_64-darwin rubyPackages.fog-dnsimple | |
x86_64-darwin python312Packages.pkginfo | |
x86_64-linux xteve | |
aarch64-darwin emacsPackages.remark-mode | |
x86_64-linux haskellPackages.numbered-semigroups | |
x86_64-darwin terraform-providers.consul | |
x86_64-linux emacsPackages.minimap | |
x86_64-darwin python312Packages.zstandard | |
x86_64-darwin python311Packages.image-go-nord | |
x86_64-darwin emacsPackages.transient-cycles | |
x86_64-linux python311Packages.coloredlogs | |
aarch64-linux haskellPackages.numhask | |
x86_64-darwin localsend | |
aarch64-linux python311Packages.opentelemetry-instrumentation-django | |
x86_64-linux emacsPackages.proof-general | |
x86_64-darwin perl538Packages.TextGlob | |
aarch64-darwin python312Packages.mypy-boto3-dlm | |
x86_64-darwin python312Packages.rply | |
x86_64-linux vimPlugins.sqlite-lua | |
x86_64-darwin rubyPackages_3_3.matrix | |
aarch64-linux dependency-track-exporter | |
x86_64-linux python311Packages.opensensemap-api | |
x86_64-darwin python311Packages.mediafire-dl | |
aarch64-darwin emacsPackages.ob-tmux | |
aarch64-linux haskellPackages.lz4-hs | |
x86_64-darwin haskellPackages.rivet-autoimporter | |
aarch64-darwin python311Packages.types-aiobotocore-logs | |
aarch64-darwin fastJson | |
x86_64-linux python312Packages.btsmarthub-devicelist | |
x86_64-darwin haskellPackages.smt2-parser | |
aarch64-linux python311Packages.mdformat | |
aarch64-darwin xorg.libxkbfile | |
aarch64-darwin haskellPackages.genvalidity-dirforest | |
aarch64-darwin perl536Packages.FileBOM | |
x86_64-darwin python312Packages.gradio-client | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.kvdo | |
aarch64-linux gcalcli | |
aarch64-linux python311Packages.pywatchman | |
aarch64-darwin qt5.qtconnectivity | |
x86_64-linux terraform-providers.google-beta | |
x86_64-linux python312Packages.types-aiobotocore-ce | |
aarch64-linux kdePackages.qtdoc | |
aarch64-linux emacsPackages.prism | |
x86_64-darwin python311Packages.pytest-env | |
aarch64-darwin python312Packages.curtsies | |
aarch64-linux davix | |
x86_64-linux python312Packages.zeroc-ice | |
x86_64-linux python311Packages.pyspice | |
aarch64-darwin python312Packages.twitter-common-dirutil | |
aarch64-linux unicorn | |
aarch64-darwin python311Packages.python-editor | |
aarch64-darwin haskellPackages.uniform-time | |
aarch64-darwin vimPlugins.markdown-preview-nvim | |
x86_64-darwin python311Packages.xstatic-jquery | |
aarch64-linux vimPlugins.syntastic | |
aarch64-linux luajitPackages.fifo | |
aarch64-darwin hunspellDicts.es_UY | |
aarch64-linux jdk11 | |
x86_64-linux python311Packages.multipledispatch | |
x86_64-linux vimPlugins.switch-vim | |
x86_64-darwin emacsPackages.org-emms | |
x86_64-linux zerobin | |
x86_64-darwin emacsPackages.zpl-mode | |
x86_64-linux python311Packages.esprima | |
x86_64-darwin emacsPackages.archive-rpm | |
aarch64-linux python311Packages.tinyobjloader-py | |
aarch64-darwin python312Packages.cashaddress | |
x86_64-darwin wasm | |
x86_64-linux nix-bisect | |
x86_64-darwin python311Packages.recordlinkage | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.system76-power | |
aarch64-linux perl536Packages.CacheKyotoTycoon | |
x86_64-linux haskellPackages.lapack | |
aarch64-darwin bitbucket-server-cli | |
aarch64-linux python311Packages.mypy-boto3-proton | |
x86_64-linux emacsPackages.docopt | |
x86_64-darwin perl536Packages.DBDSQLite | |
aarch64-darwin darwin.diskdev_cmds | |
aarch64-linux python311Packages.handout | |
x86_64-linux python311Packages.bleak-esphome | |
aarch64-linux vimPlugins.vim-jsx-pretty | |
x86_64-linux nss_ldap | |
aarch64-darwin python311Packages.pyqvrpro | |
x86_64-linux emacsPackages.auto-sort-mode | |
x86_64-darwin python311Packages.mypy-boto3-route53resolver | |
aarch64-darwin python312Packages.opt-einsum | |
aarch64-linux linuxKernel.packages.linux_4_19.dpdk-kmods | |
x86_64-linux vimPlugins.thumbnail-vim | |
aarch64-linux haskellPackages.amazonka-fsx | |
x86_64-darwin rubyPackages_3_1.xcodeproj | |
x86_64-linux libgnome-games-support | |
aarch64-linux python311Packages.avro3k | |
aarch64-darwin python311Packages.periodictable | |
aarch64-linux haskellPackages.safe-money-aeson | |
x86_64-darwin python311Packages.lcov-cobertura | |
aarch64-darwin tai-ahom | |
aarch64-linux gnome-extension-manager | |
aarch64-darwin python312Packages.pykwb | |
aarch64-darwin emacsPackages.slime-repl-ansi-color | |
aarch64-darwin python311Packages.autobahn | |
aarch64-linux haskellPackages.zcache | |
x86_64-linux python311Packages.clldutils | |
x86_64-linux alpnpass | |
aarch64-darwin graylogPlugins.snmp | |
aarch64-darwin emacsPackages.ptemplate-templates | |
aarch64-linux linuxPackages_5_4_hardened.prl-tools | |
x86_64-linux reveal-md | |
x86_64-darwin haskellPackages.focus | |
x86_64-linux plasma5Packages.mauikit-documents | |
aarch64-darwin emacsPackages.ac-js2 | |
aarch64-darwin bwidget | |
aarch64-linux lxqt.lxqt-sudo | |
aarch64-linux haskellPackages.xturtle | |
aarch64-linux haskellPackages.authenticate | |
aarch64-linux python311Packages.pylgnetcast | |
aarch64-linux haskellPackages.throttled | |
aarch64-linux taskserver | |
aarch64-linux home-assistant-component-tests.dynalite | |
aarch64-darwin python311Packages.wsnsimpy | |
x86_64-darwin haskellPackages.amazonka-appsync | |
aarch64-linux imgur-screenshot | |
x86_64-linux chain-bench | |
aarch64-darwin gitolite | |
aarch64-darwin postgresql12Packages.periods | |
x86_64-darwin haskellPackages.group-by-date | |
aarch64-linux emacsPackages.chronometer | |
x86_64-linux python312Packages.web | |
aarch64-linux emacsPackages.schrute | |
x86_64-linux oneshot | |
x86_64-darwin amp | |
aarch64-darwin python312Packages.nose2 | |
x86_64-linux spaceFM | |
aarch64-linux python312Packages.wsgidav | |
x86_64-darwin vncdo | |
x86_64-darwin qt6.qtdatavis3d | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.glut | |
aarch64-darwin emacsPackages.naga-theme | |
x86_64-linux emacsPackages.memory-usage | |
x86_64-darwin haskellPackages.mnist-idx-conduit | |
x86_64-darwin python311Packages.googleapis-common-protos | |
x86_64-darwin python311Packages.mypy-boto3-greengrassv2 | |
aarch64-darwin python312Packages.anysqlite | |
aarch64-darwin vimPlugins.dashboard-nvim | |
aarch64-darwin emacsPackages.vlf | |
x86_64-linux haskellPackages.wrapped | |
x86_64-darwin lua53Packages.luasnip | |
aarch64-darwin python312Packages.vilfo-api-client | |
x86_64-linux haskellPackages.benchmark-function | |
x86_64-darwin bashblog | |
aarch64-darwin emacsPackages.use-package | |
x86_64-linux emacsPackages.pass | |
aarch64-linux crosswords | |
aarch64-linux emacsPackages.journalctl-mode | |
aarch64-linux rubyPackages_3_3.awesome_print | |
aarch64-darwin emacsPackages.gited | |
x86_64-linux home-assistant-component-tests.snmp | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.cpupower | |
aarch64-linux emacsPackages.totp | |
aarch64-linux emacsPackages.urscript-mode | |
x86_64-linux haskellPackages.generic-data-functions | |
aarch64-darwin python311Packages.types-pillow | |
aarch64-darwin doppler | |
x86_64-darwin stdman | |
aarch64-linux nix-store-gcs-proxy | |
x86_64-darwin python312Packages.channels-redis | |
aarch64-linux emote | |
x86_64-darwin python311Packages.notedown | |
aarch64-darwin haskellPackages.ascii-case | |
x86_64-linux haskellPackages.casing | |
x86_64-darwin python312Packages.crownstone-cloud | |
x86_64-linux xorg.xmessage | |
aarch64-darwin infracost | |
aarch64-linux vimPlugins.cmp-git | |
x86_64-darwin perl538Packages.TermVT102Boundless | |
x86_64-linux kluctl | |
x86_64-darwin haskellPackages.bindings | |
aarch64-linux python311Packages.pyquil | |
x86_64-linux python312Packages.motioneye-client | |
x86_64-linux emacsPackages.elogcat | |
aarch64-darwin perl538Packages.RegexpIPv6 | |
aarch64-darwin microbin | |
x86_64-linux emacsPackages.ack | |
x86_64-darwin python311Packages.bibtexparser | |
aarch64-linux python312Packages.toml | |
aarch64-linux sispmctl | |
aarch64-linux python311Packages.python-socketio | |
x86_64-darwin jiq | |
x86_64-darwin chickenPackages_5.chickenEggs.foreigners | |
x86_64-linux lomiri.gmenuharness | |
x86_64-darwin python312Packages.virtualenv-clone | |
x86_64-darwin cargo-risczero | |
aarch64-linux rocmPackages_5.llvm.mlir | |
x86_64-linux supersonic-wayland | |
aarch64-darwin haskellPackages.hakyll-process | |
x86_64-linux linuxKernel.packages.linux_4_19.ryzen-smu | |
aarch64-linux python312Packages.coqpit | |
x86_64-linux tests.hardeningFlags-gcc.allExplicitDisabledPie | |
aarch64-darwin chickenPackages_5.chickenEggs.message-digest-primitive | |
x86_64-darwin cotton | |
x86_64-linux python312Packages.invocations | |
x86_64-linux edl | |
x86_64-linux haskellPackages.ses-html | |
aarch64-darwin haskellPackages.threadscope | |
aarch64-darwin perl538Packages.DigestJHash | |
aarch64-darwin vimPlugins.heirline-nvim | |
aarch64-linux python312Packages.virtkey | |
aarch64-linux python312Packages.prayer-times-calculator | |
aarch64-linux vimPlugins.vim-hexokinase | |
aarch64-darwin darwin.ditto | |
aarch64-darwin haskellPackages.modular | |
x86_64-linux sbclPackages.ptester | |
aarch64-darwin haskellPackages.wide-word | |
x86_64-linux emacsPackages.director | |
x86_64-linux libsForQt5.kio | |
aarch64-linux haskellPackages.cond | |
x86_64-darwin perl538Packages.CryptTwofish | |
aarch64-linux emacsPackages.ox-mdx-deck | |
aarch64-linux haskellPackages.ini | |
aarch64-linux mikmod | |
aarch64-darwin python311Packages.txi2p-tahoe | |
aarch64-linux python312Packages.limiter | |
x86_64-darwin bc | |
aarch64-linux postgresql12Packages.repmgr | |
aarch64-darwin haskellPackages.integer-logarithms | |
x86_64-darwin gyre-fonts | |
x86_64-darwin antidote | |
aarch64-darwin rubyPackages_3_2.yard | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.prisma | |
x86_64-linux php82Extensions.pdo_sqlite | |
x86_64-linux php82Packages.php-codesniffer | |
aarch64-linux dotnetCorePackages.sdk_7_0_4xx | |
aarch64-linux haskellPackages.redis-resp | |
aarch64-linux emacsPackages.expand-region | |
aarch64-darwin gcc9Stdenv | |
aarch64-linux python311Packages.fastdownload | |
aarch64-linux detect-secrets | |
aarch64-linux python311Packages.pymupdf | |
x86_64-darwin emacsPackages.key-seq | |
x86_64-linux libsForQt5.akonadi-calendar | |
aarch64-darwin ffsend | |
aarch64-darwin terraform-providers.netlify | |
aarch64-linux python311Packages.setuptools-generate | |
x86_64-darwin rubyPackages_3_3.rspec | |
aarch64-darwin libsForQt5.qtpim | |
aarch64-linux zxing | |
x86_64-darwin python311Packages.protobuf | |
x86_64-linux glibmm | |
aarch64-linux parinfer-rust | |
x86_64-darwin azure-cli-extensions.spring-cloud | |
aarch64-darwin haskellPackages.x509-validation | |
aarch64-darwin vimPlugins.deoplete-vim-lsp | |
x86_64-linux python311Packages.area | |
aarch64-darwin google-cursor | |
x86_64-darwin haskellPackages.typecheck-plugin-nat-simple | |
x86_64-linux glog | |
x86_64-linux kdePackages.sweeper | |
aarch64-linux emacsPackages.git-auto-commit-mode | |
aarch64-linux emacsPackages.elcord | |
aarch64-darwin python311Packages.tasklib | |
x86_64-linux python312Packages.persist-queue | |
x86_64-darwin haskellPackages.text-conversions | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.sourcepawn | |
aarch64-linux python311Packages.pathable | |
x86_64-linux gitu | |
x86_64-darwin plasma5Packages.kitemmodels | |
aarch64-darwin emacsPackages.reason-mode | |
aarch64-linux gnome.gvfs | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.rtl8814au | |
aarch64-linux vimPlugins.citruszest-nvim | |
aarch64-linux python311Packages.ldap3 | |
x86_64-darwin vimPlugins.vim-shellcheck | |
aarch64-darwin haskellPackages.data-accessor | |
x86_64-linux premid | |
x86_64-linux p4v | |
x86_64-linux python311Packages.pysigma-pipeline-crowdstrike | |
x86_64-linux rocmPackages.clr | |
aarch64-darwin perl538Packages.ModuleBuildPluggable | |
aarch64-darwin wire | |
aarch64-linux oculante | |
x86_64-linux mpdscribble | |
x86_64-darwin emacsPackages.teleport | |
x86_64-darwin armbian-firmware | |
x86_64-darwin python312Packages.pybrowserid | |
x86_64-darwin emacsPackages.molecule | |
aarch64-darwin haskellPackages.weeder | |
x86_64-darwin haskellPackages.netwire-input-glfw | |
aarch64-linux emacsPackages.treemacs-persp | |
aarch64-darwin mpir | |
x86_64-linux sbclPackages.cl-who | |
x86_64-linux blas-ilp64 | |
x86_64-linux motif | |
x86_64-darwin python311Packages.mizani | |
x86_64-linux python311Packages.mypy-boto3-backupstorage | |
x86_64-linux haskellPackages.inline-c | |
aarch64-darwin python311Packages.lxmf | |
x86_64-darwin emacsPackages.eredis | |
aarch64-linux python312Packages.avro-python3 | |
aarch64-linux xorg.xstdcmap | |
x86_64-darwin python311Packages.aiostream | |
x86_64-darwin python311Packages.django-mysql | |
x86_64-darwin python311Packages.luddite | |
aarch64-darwin python312Packages.floret | |
aarch64-linux python312Packages.crownstone-cloud | |
x86_64-darwin darwin.top | |
aarch64-darwin haskellPackages.ShowF | |
aarch64-darwin emacsPackages.latex-extra | |
aarch64-linux emacsPackages.fuzzy-finder | |
aarch64-linux libsForQt5.qt5.qtsystems | |
x86_64-darwin cargo-bisect-rustc | |
x86_64-darwin caffeWithCuda | |
aarch64-darwin haskellPackages.gi-poppler | |
aarch64-linux python311Packages.echo | |
x86_64-darwin toot | |
x86_64-linux linuxPackages_hardened.akvcam | |
x86_64-linux errands | |
x86_64-darwin python311Packages.outcome | |
x86_64-darwin python311Packages.chai | |
x86_64-darwin python311Packages.pyvips | |
aarch64-linux python312Packages.zigpy-cc | |
x86_64-linux nixos-anywhere | |
aarch64-linux haskellPackages.wai-middleware-caching-redis | |
x86_64-darwin haskellPackages.amazonka-backupstorage | |
x86_64-linux vuze | |
x86_64-darwin perl538Packages.ClassContainer | |
x86_64-linux python310Full | |
aarch64-darwin python312Packages.nwdiag | |
x86_64-linux subtitleedit | |
x86_64-linux python312Packages.hydrawiser | |
x86_64-linux python312Packages.python-bidi | |
x86_64-linux linuxPackages_xanmod_stable.nvidia_x11_beta | |
aarch64-linux kdePackages.qtnetworkauth | |
aarch64-darwin emacsPackages.nadvice | |
x86_64-darwin go-swag | |
aarch64-linux linuxPackages_6_6_hardened.nvidia_x11 | |
x86_64-linux papermcServers.papermc-1_20_4 | |
aarch64-linux python311Packages.veryprettytable | |
x86_64-darwin gnome-console | |
x86_64-linux emacsPackages.rainbow-delimiters | |
x86_64-linux perl538Packages.NetIMAPClient | |
x86_64-darwin gospider | |
x86_64-linux muparser | |
x86_64-darwin python312Packages.asn1tools | |
aarch64-darwin python312Packages.flask-sqlalchemy | |
aarch64-linux emacsPackages.toggle-quotes | |
aarch64-linux transcrypt | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.facetimehd | |
aarch64-darwin python312Packages.lc7001 | |
aarch64-darwin vimPlugins.DoxygenToolkit-vim | |
x86_64-linux emacsPackages.undo-fu | |
aarch64-darwin python311Packages.sockjs | |
aarch64-linux zine | |
x86_64-darwin haskellPackages.persistent | |
x86_64-linux plasma5Packages.oxygen | |
aarch64-linux python312Packages.hsaudiotag3k | |
aarch64-linux python311Packages.gpustat | |
x86_64-darwin perl538Packages.CryptDHGMP | |
aarch64-linux python311Packages.types-aiobotocore-ivs | |
aarch64-linux emacsPackages.related-files | |
x86_64-darwin haskellPackages.withdependencies | |
x86_64-linux haskellPackages.hasql-listen-notify | |
aarch64-linux python311Packages.bz2file | |
aarch64-darwin combinatorial_designs | |
x86_64-linux emacsPackages.java-snippets | |
aarch64-linux emacsPackages.company-cabal | |
aarch64-linux linuxPackages_lqx.nvidia_x11_production | |
aarch64-linux python312Packages.maison | |
x86_64-darwin python311Packages.nclib | |
aarch64-darwin db5 | |
x86_64-darwin emacsPackages.jade-mode | |
x86_64-linux efm-langserver | |
aarch64-linux python311Packages.aioshutil | |
aarch64-darwin python312Packages.numdifftools | |
x86_64-linux ruby_3_2 | |
aarch64-linux python312Packages.p1monitor | |
x86_64-darwin emacsPackages.insecure-lock | |
aarch64-linux gnome-user-docs | |
aarch64-darwin haskellPackages.blaze-html | |
aarch64-darwin tests.haskell.cabalSdist.hercules-ci-cnix-store | |
x86_64-darwin ocaml-crunch | |
x86_64-linux linuxPackages_xanmod.ax99100 | |
aarch64-darwin emacsPackages.haxe-mode | |
aarch64-linux portunus | |
x86_64-linux geos_3_9 | |
aarch64-darwin haskellPackages.mwc-probability | |
x86_64-darwin postgresql_14 | |
aarch64-darwin haskellPackages.hasql-implicits | |
aarch64-linux snakemake | |
x86_64-darwin emacsPackages.jsdoc | |
x86_64-linux llvmPackages_15.compiler-rt | |
aarch64-linux emacsPackages.haxe-imports | |
aarch64-darwin npm-check-updates | |
aarch64-darwin latex2mathml | |
aarch64-darwin cryptop | |
x86_64-darwin python312Packages.seabreeze | |
x86_64-darwin qt6.qtwebengine | |
aarch64-darwin qt6.qtspeech | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.libzmq | |
aarch64-darwin htop-vim | |
aarch64-linux apbs | |
aarch64-linux emacsPackages.flymake-lua | |
aarch64-linux postgresql12Packages.lantern | |
x86_64-darwin haskellPackages.hedgehog | |
x86_64-darwin haskellPackages.hp2html | |
aarch64-darwin vimPlugins.neco-look | |
aarch64-linux gaw | |
x86_64-darwin perl538Packages.LWPProtocolhttp10 | |
aarch64-linux haskellPackages.kan-extensions | |
aarch64-linux exodus | |
x86_64-linux linuxPackages_latest-libre.mstflint_access | |
aarch64-darwin rubyPackages_3_3.jmespath | |
aarch64-darwin emacsPackages.register-channel | |
x86_64-linux emacsPackages.scroll-on-jump | |
x86_64-darwin lua53Packages.alt-getopt | |
x86_64-darwin python311Packages.snakemake-storage-plugin-s3 | |
x86_64-linux qcachegrind | |
aarch64-linux postgresql14JitPackages.jsonb_deep_sum | |
x86_64-linux linuxPackages_xanmod.mstflint_access | |
aarch64-linux rubyPackages_3_3.patron | |
aarch64-darwin libopcodes_2_38 | |
x86_64-linux emacsPackages.kaesar-file | |
x86_64-darwin python311Packages.types-aiobotocore-mgn | |
aarch64-darwin perl536Packages.GooCanvas2 | |
x86_64-darwin gcc9 | |
x86_64-linux haskellPackages.conduit-concurrent-map | |
aarch64-darwin haskellPackages.persistent-template | |
aarch64-darwin haskellPackages.amazonka-resource-explorer-v2 | |
x86_64-darwin luajitPackages.lua-resty-jwt | |
x86_64-linux openjdk19_headless | |
aarch64-linux xosd | |
x86_64-linux python312Packages.fluent-logger | |
x86_64-linux linuxPackages_lqx.asus-wmi-sensors | |
aarch64-darwin python-qt | |
aarch64-linux python311Packages.simplejson | |
aarch64-darwin emacsPackages.theme-buffet | |
aarch64-linux python312Packages.mypy-boto3-neptune | |
aarch64-darwin emacsPackages.scratches | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.norg | |
aarch64-linux vopono | |
x86_64-linux postgresql15JitPackages.pg_ivm | |
aarch64-darwin rubyPackages_3_3.sorbet-runtime | |
x86_64-linux enumer | |
x86_64-linux haskellPackages.generically | |
x86_64-darwin haskellPackages.hakyll-sass | |
aarch64-linux python312Packages.naturalsort | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.lenovo-legion-module | |
aarch64-darwin sl | |
x86_64-linux emacsPackages.dokuwiki | |
aarch64-darwin rtl-sdr-blog | |
aarch64-linux python312Packages.azure-mgmt-hanaonazure | |
x86_64-darwin python312Packages.persisting-theory | |
aarch64-darwin haskellPackages.amazonka-mtl | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.tuxedo-keyboard | |
x86_64-darwin python311Packages.django-filter | |
x86_64-darwin emacsPackages.test-c | |
aarch64-linux tests.hardeningFlags.fortify3EnabledEnvEnablesFortify | |
aarch64-linux clickclack | |
x86_64-darwin sourcehut.pastesrht | |
aarch64-darwin python311Packages.aspy-refactor-imports | |
aarch64-darwin calaos_installer | |
x86_64-darwin afew | |
aarch64-linux haskellPackages.ParsecTools | |
x86_64-darwin rubyPackages_3_3.addressable | |
aarch64-darwin emacsPackages.counsel-etags | |
aarch64-linux vimPlugins.vim-ft-diff_fold | |
x86_64-linux python312Packages.mitmproxy | |
x86_64-darwin python311Packages.sparklines | |
x86_64-darwin emacsPackages.mame | |
aarch64-darwin python312Packages.pyld | |
aarch64-darwin perl536Packages.strip-nondeterminism | |
x86_64-linux sqlite3-to-mysql | |
x86_64-linux python312Packages.h5netcdf | |
x86_64-linux python312Packages.pypiserver | |
x86_64-linux haskellPackages.hrfsize | |
x86_64-darwin gcc8 | |
aarch64-linux linuxKernel.packages.linux_6_6.ax99100 | |
aarch64-darwin sd | |
aarch64-linux asn2quickder | |
aarch64-linux linuxPackages-libre.cryptodev | |
x86_64-linux dmlive | |
aarch64-darwin haskellPackages.isbn | |
x86_64-linux python312Packages.etuples | |
aarch64-darwin python312Packages.nnpdf | |
x86_64-linux python312Packages.colored-traceback | |
x86_64-darwin emacsPackages.sly-hello-world | |
aarch64-linux luaPackages.fluent | |
aarch64-linux haskellPackages.highlighter2 | |
aarch64-darwin python312Packages.adb-homeassistant | |
aarch64-linux python312Packages.manuf | |
aarch64-linux python312Packages.mypy-boto3-tnb | |
x86_64-darwin jna | |
aarch64-linux cargo-bolero | |
x86_64-linux python311Packages.pysqlitecipher | |
x86_64-darwin python312Packages.eliot | |
aarch64-linux home-assistant-component-tests.home_connect | |
aarch64-linux python311Packages.awkward-cpp | |
aarch64-darwin rubyPackages_3_1.net-protocol | |
x86_64-darwin python311Packages.types-aiobotocore-redshift-data | |
x86_64-linux terraform-providers.jetstream | |
aarch64-darwin haskellPackages.compiler-warnings | |
x86_64-darwin perl538Packages.DistZillaRoleFileWatcher | |
x86_64-linux qboot | |
x86_64-linux the-legend-of-edgar | |
aarch64-linux linuxPackages_5_15_hardened.rtw89 | |
aarch64-darwin python312Packages.pytest-voluptuous | |
aarch64-linux postgresql12Packages.pg_relusage | |
aarch64-linux python311Packages.demetriek | |
x86_64-darwin python311Packages.webcolors | |
aarch64-linux qt6.qtserialport | |
x86_64-linux perl536Packages.FFIPlatypusTypePtrObject | |
aarch64-linux dxvk_2 | |
aarch64-darwin python312Packages.todoist-api-python | |
x86_64-linux obs-studio-plugins.obs-source-switcher | |
aarch64-linux python311Packages.streamz | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.xcursor | |
x86_64-darwin prowlarr | |
aarch64-linux emacsPackages.org-tree-slide | |
aarch64-darwin haskellPackages.haskell-coffee | |
x86_64-linux python311Packages.sybil | |
x86_64-darwin python311Packages.easyocr | |
aarch64-linux chatblade | |
aarch64-darwin perl538Packages.TextSimpleTable | |
aarch64-darwin perl538Packages.CatalystViewCSV | |
x86_64-darwin lua51Packages.luacov | |
x86_64-darwin libbap | |
x86_64-linux luckybackup | |
aarch64-darwin python312Packages.azure-storage-nspkg | |
x86_64-darwin rubyPackages.crabstone | |
aarch64-linux haskellPackages.expiring-mvar | |
aarch64-linux fuse3 | |
aarch64-darwin python311Packages.mypy-boto3-apigateway | |
aarch64-darwin haskellPackages.text-builder-linear | |
aarch64-darwin haskellPackages.EdisonAPI | |
x86_64-linux python312Packages.i3-py | |
aarch64-linux cargo-pgx_0_6_1 | |
aarch64-linux python311Packages.types-aiobotocore-sms | |
aarch64-linux python312Packages.chispa | |
aarch64-darwin electrum-ltc | |
x86_64-linux haskellPackages.newtype-generics | |
x86_64-darwin haskellPackages.heterocephalus | |
x86_64-linux _1oom | |
aarch64-darwin haskellPackages.timestamp-subprocess-lines | |
aarch64-darwin haskellPackages.wuerfelschlange | |
aarch64-darwin perl538Packages.MooseXClone | |
x86_64-darwin python311Packages.docstr-coverage | |
x86_64-linux qt-video-wlr | |
x86_64-darwin haskellPackages.mbox-utility | |
x86_64-linux linuxKernel.packages.linux_xanmod.system76-power | |
aarch64-linux tests.haskell.cabalSdist.helloFromCabalSdist | |
aarch64-linux haskellPackages.profunctors | |
aarch64-darwin python311Packages.datefinder | |
aarch64-darwin emacsPackages.android-mode | |
aarch64-darwin toipe | |
aarch64-darwin wireguard-vanity-keygen | |
aarch64-darwin zydis | |
aarch64-darwin python312Packages.amqplib | |
x86_64-linux python312Packages.ttp-templates | |
aarch64-darwin haskellPackages.amazonka-connectcases | |
x86_64-darwin mmark | |
x86_64-darwin python311Packages.trafilatura | |
aarch64-darwin python312Packages.llama-index-graph-stores-neo4j | |
aarch64-darwin python311Packages.resize-right | |
x86_64-darwin python312Packages.pyinstrument | |
aarch64-darwin perl536Packages.ExtUtilsHelpers | |
x86_64-linux python311Packages.uptime-kuma-monitor | |
x86_64-darwin python311Packages.python-fsutil | |
x86_64-linux postgresql16JitPackages.age | |
aarch64-linux emacsPackages.neato-graph-bar | |
aarch64-darwin python311Packages.dbt-postgres | |
aarch64-linux haskellPackages.tar-conduit | |
aarch64-darwin perl538Packages.PathTiny | |
x86_64-linux postgresql12Packages.pg_repack | |
aarch64-linux emacsPackages.commify | |
aarch64-linux python312Packages.pyvmomi | |
x86_64-linux haskellPackages.filter-logger | |
x86_64-darwin haskellPackages.shelly-extra | |
aarch64-linux haskellPackages.sydtest-typed-process | |
x86_64-darwin python311Packages.samsungctl | |
aarch64-linux omni-gtk-theme | |
x86_64-darwin emacsPackages.lf | |
x86_64-darwin sq | |
aarch64-darwin python311Packages.python-cinderclient | |
aarch64-darwin haskellPackages.Agda | |
x86_64-linux linuxPackages_6_6_hardened.liquidtux | |
aarch64-linux python311Packages.pyrogram | |
x86_64-linux haskellPackages.compose-ltr | |
aarch64-linux python311Packages.bitarray | |
x86_64-linux sienna | |
x86_64-darwin python312Packages.opencensus-context | |
aarch64-linux haskellPackages.ttn | |
x86_64-darwin dig | |
x86_64-linux python312Packages.lupa | |
aarch64-darwin rubyPackages.mini_mime | |
x86_64-linux lua51Packages.lua-messagepack | |
aarch64-linux python311Packages.mdformat-admon | |
aarch64-linux home-assistant-component-tests.device_tracker | |
aarch64-linux maubot | |
x86_64-linux python311Packages.napalm | |
aarch64-linux python311Packages.powerline | |
aarch64-darwin libsForQt5.kzones | |
x86_64-linux python312Packages.tftpy | |
x86_64-darwin python311Packages.mypy-boto3-medical-imaging | |
x86_64-linux php81Extensions.snmp | |
x86_64-darwin perl538Packages.ConvertASCIIArmour | |
aarch64-darwin emacsPackages.dhall-mode | |
x86_64-darwin python312Packages.mastodon-py | |
aarch64-linux template-glib | |
aarch64-darwin haskellPackages.fast-logger | |
x86_64-darwin python311Packages.geant4 | |
x86_64-linux linuxPackages-libre.chipsec | |
x86_64-linux haskellPackages.di | |
aarch64-linux python311Packages.releases | |
x86_64-darwin perl538Packages.DistZillaPluginTestNoTabs | |
aarch64-darwin haskellPackages.literatex | |
x86_64-linux python311Packages.pyrr | |
x86_64-linux emacsPackages.emacs-everywhere | |
x86_64-linux haskellPackages.gtk-largeTreeStore | |
x86_64-darwin honeycomb-refinery | |
aarch64-darwin python312Packages.screed | |
x86_64-linux python312Packages.mypy-boto3-dataexchange | |
x86_64-linux postgresqlJitPackages.pg_hll | |
x86_64-linux python312Packages.mypy-boto3-imagebuilder | |
x86_64-linux haskellPackages.amazonka-cognito-sync | |
x86_64-darwin wbox | |
x86_64-darwin python311Packages.gpustat | |
x86_64-darwin postgresql15JitPackages.pg_ivm | |
x86_64-linux xfce.xfce4-taskmanager | |
aarch64-linux libcoap | |
aarch64-linux home-assistant-component-tests.bluetooth | |
x86_64-darwin chickenPackages_5.chickenEggs.pwdb | |
aarch64-linux python311Packages.prometheus-client | |
aarch64-darwin perl538Packages.FFICStat | |
aarch64-darwin python312Packages.mullvad-api | |
x86_64-darwin python311Packages.sip | |
aarch64-linux home-assistant-custom-components.smartthinq-sensors | |
x86_64-linux haskellPackages.language-c99 | |
aarch64-darwin emacsPackages.gruber-darker-theme | |
aarch64-linux postgresql14JitPackages.pg_net | |
aarch64-linux home-assistant-component-tests.syncthing | |
x86_64-linux lua51Packages.lmpfrlib | |
x86_64-linux linuxPackages_hardened.broadcom_sta | |
x86_64-darwin perl538Packages.StatisticsCaseResampling | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.wing | |
aarch64-linux xcb-imdkit | |
aarch64-darwin plasma5Packages.kidletime | |
x86_64-darwin perl538Packages.PerlMinimumVersion | |
x86_64-darwin perl538Packages.DevelFindPerl | |
aarch64-darwin vimPlugins.vim-bookmarks | |
x86_64-darwin vimPlugins.xptemplate | |
aarch64-linux haskellPackages.SciBaseTypes | |
x86_64-darwin ario | |
aarch64-darwin emacsPackages.capnp-mode | |
aarch64-darwin rubyPackages_3_2.hitimes | |
aarch64-linux vimPlugins.vim-plug | |
x86_64-linux emacsPackages.poke-mode | |
aarch64-darwin haskellPackages.linear-programming | |
aarch64-linux haskellPackages.lens-family | |
aarch64-linux terraform-providers.mongodbatlas | |
aarch64-darwin rubyPackages_3_3.yard | |
aarch64-linux python311Packages.django-model-utils | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.php_only | |
x86_64-darwin CoinMP | |
aarch64-darwin emacsPackages.emacsshot | |
x86_64-darwin python311Packages.datasets | |
aarch64-linux postgresql14JitPackages.plv8 | |
x86_64-linux kdePackages.kwrited | |
aarch64-darwin emacsPackages.async-backup | |
x86_64-linux haskellPackages.spatial-rotations | |
x86_64-linux linuxPackages_latest.akvcam | |
aarch64-linux linuxPackages_5_10_hardened.drbd | |
x86_64-linux libsForQt5.qmake | |
aarch64-linux linuxPackages_xanmod_latest.hyperv-daemons | |
x86_64-darwin python311Packages.pyheos | |
aarch64-linux flitter | |
x86_64-linux vimPlugins.vim-closer | |
aarch64-linux rubyPackages_3_3.rdoc | |
x86_64-linux graalvmCEPackages.graalnodejs | |
aarch64-linux libsForQt5.kolourpaint | |
x86_64-darwin python312Packages.asn1crypto | |
aarch64-linux ddccontrol | |
aarch64-darwin haskellPackages.dhall | |
x86_64-darwin gammastep | |
x86_64-darwin haskellPackages.amazonka-cloudtrail | |
aarch64-linux gruut | |
x86_64-linux kodiPackages.kodi | |
x86_64-darwin emacsPackages.dianyou | |
aarch64-linux python312Packages.bsuite | |
aarch64-darwin emacsPackages.rdf-prefix | |
aarch64-linux fileinfo | |
x86_64-linux packr | |
x86_64-linux haskellPackages.aeson-unqualified-ast | |
x86_64-linux opencomposite | |
x86_64-linux python311Packages.pybtex-docutils | |
x86_64-linux python311Packages.license-expression | |
aarch64-linux emacsPackages.helm-w3m | |
aarch64-darwin haskellPackages.keera-hails-mvc-view | |
x86_64-linux emacsPackages.org-link-beautify | |
x86_64-linux haskellPackages.matrix-market-attoparsec | |
x86_64-darwin python312Packages.sepaxml | |
x86_64-darwin rubyPackages_3_2.jekyll-redirect-from | |
aarch64-darwin python312Packages.jupyter-core | |
x86_64-linux home-assistant-component-tests.amberelectric | |
x86_64-darwin python312Packages.tikzplotlib | |
x86_64-darwin python311Packages.echo | |
x86_64-linux haskellPackages.cpphs | |
aarch64-darwin rubyPackages_3_3.syntax_tree-rbs | |
aarch64-linux bespokesynth-with-vst2 | |
aarch64-linux linuxKernel.packages.linux_5_10.perf | |
x86_64-darwin perl536Packages.TestCheckDeps | |
x86_64-linux emacsPackages.voca-builder | |
aarch64-linux haskellPackages.os-string | |
aarch64-linux vimPlugins.splice-vim | |
x86_64-darwin dosage | |
aarch64-linux python311Packages.localstack-client | |
aarch64-linux python311Packages.helpdev | |
x86_64-linux lua52Packages.linenoise | |
x86_64-linux python312Packages.stups-pierone | |
aarch64-darwin soapyhackrf | |
x86_64-darwin python311Packages.meson | |
aarch64-linux xdg-dbus-proxy | |
aarch64-darwin yaml-cpp_0_3 | |
x86_64-darwin python311Packages.kiwisolver | |
x86_64-darwin emacsPackages.dired-atool | |
aarch64-darwin snagboot | |
aarch64-darwin python311Packages.django-compression-middleware | |
x86_64-darwin haskellPackages.serialise-uuid | |
x86_64-darwin haskellPackages.unfree | |
x86_64-darwin python312Packages.txrequests | |
aarch64-linux xautolock | |
aarch64-darwin python312Packages.tabcmd | |
x86_64-darwin dbus-test-runner | |
aarch64-darwin emacsPackages.wikinfo | |
x86_64-linux python311Packages.tinycss | |
aarch64-linux languagetool-rust | |
x86_64-linux python311Packages.json5 | |
x86_64-darwin haskellPackages.doldol | |
x86_64-darwin haskellPackages.clientsession | |
x86_64-darwin emacsPackages.devdocs-browser | |
x86_64-darwin emacsPackages.pygn-mode | |
x86_64-darwin nodejs_20 | |
aarch64-darwin direnv | |
x86_64-linux haskellPackages.partial-semigroup | |
aarch64-darwin python312Packages.ovmfvartool | |
x86_64-linux piper-train | |
x86_64-linux done | |
aarch64-linux juicity | |
x86_64-linux python312Packages.bond-async | |
aarch64-darwin python312Packages.responses | |
x86_64-darwin lesscpy | |
x86_64-darwin python312Packages.types-aiobotocore-rds | |
aarch64-darwin cargo-espmonitor | |
x86_64-linux emacsPackages.ts-comint | |
aarch64-darwin python311Packages.pyprobables | |
aarch64-darwin emacsPackages.unicode-progress-reporter | |
aarch64-linux unixtools.fsck | |
aarch64-darwin rubyPackages_3_3.mab | |
x86_64-darwin pffft | |
x86_64-darwin lua51Packages.rocks-config-nvim | |
x86_64-darwin emacsPackages.defcapture | |
x86_64-darwin python311Packages.dissect-esedb | |
aarch64-darwin dotnetCorePackages.aspnetcore_9_0 | |
x86_64-darwin haskellPackages.pandoc-lua-engine | |
aarch64-darwin emacsPackages.vdiff | |
aarch64-linux haskellPackages.amazonka-eks | |
aarch64-linux vimPlugins.coc-diagnostic | |
x86_64-darwin python312Packages.airthings-cloud | |
x86_64-linux kubetail | |
x86_64-linux python312Packages.openerz-api | |
x86_64-darwin rubyPackages_3_1.forwardable-extended | |
x86_64-linux besu | |
x86_64-linux home-assistant-component-tests.venstar | |
aarch64-darwin emacsPackages.moe-theme | |
x86_64-darwin perl536Packages.NetDNSResolverMock | |
x86_64-linux emacsPackages.calfw-cal | |
x86_64-darwin python311Packages.django-crontab | |
aarch64-darwin python311Packages.fairscale | |
aarch64-darwin haskellPackages.acme-smuggler | |
x86_64-darwin perl536Packages.GetoptArgvFile | |
x86_64-darwin hidrd | |
aarch64-linux python311Packages.mkdocstrings | |
x86_64-linux python312Packages.types-aiobotocore-controltower | |
aarch64-linux readability-extractor | |
aarch64-linux gigedit | |
aarch64-darwin kubectl | |
x86_64-linux gnomeExtensions.colosseum | |
x86_64-darwin mate.mate-power-manager | |
aarch64-darwin mloader | |
x86_64-darwin emacsPackages.jack-ts-mode | |
x86_64-linux python312Packages.mlxtend | |
aarch64-linux emacsPackages.ibrowse | |
x86_64-darwin libretro.smsplus-gx | |
aarch64-linux python311Packages.lcgit | |
aarch64-darwin python311Packages.cffi | |
x86_64-linux python312Packages.tailer | |
aarch64-darwin python311Packages.pyramid-jinja2 | |
x86_64-darwin speedread | |
x86_64-darwin emacsPackages.javap-mode | |
aarch64-linux python311Packages.eagle100 | |
x86_64-linux emacsPackages.dyalog-mode | |
x86_64-linux python312Packages.connio | |
x86_64-linux python311Packages.tesla-powerwall | |
aarch64-linux groestlcoin | |
aarch64-linux python312Packages.mypy-boto3-neptunedata | |
aarch64-darwin go_1_22 | |
aarch64-linux python312Packages.mlflow | |
aarch64-linux python311Packages.types-toml | |
aarch64-linux python311Packages.hepmc3 | |
x86_64-darwin emacsPackages.scrollable-quick-peek | |
aarch64-linux haskellPackages.amazonka-dataexchange | |
x86_64-darwin boxes | |
aarch64-linux linuxPackages_xanmod_latest.mwprocapture | |
aarch64-darwin python312Packages.rouge-score | |
x86_64-darwin usql | |
x86_64-darwin libfishsound | |
aarch64-darwin haskellPackages.intmap-graph | |
aarch64-linux python311Packages.pyxl3 | |
x86_64-darwin haskellPackages.language-c99-simple | |
aarch64-linux haskellPackages.reord | |
aarch64-linux haskellPackages.quickcheck-unicode | |
aarch64-linux haskellPackages.servant-machines | |
aarch64-darwin python311Packages.pynvim-pp | |
aarch64-darwin lua53Packages.lua-protobuf | |
aarch64-darwin haskellPackages.pagination | |
x86_64-linux gnomeExtensions.printers | |
x86_64-linux plasma5Packages.qca | |
x86_64-linux chezmoi | |
x86_64-darwin python311Packages.flask-sockets | |
aarch64-darwin emacsPackages.hungry-delete | |
aarch64-linux wishbone-tool | |
x86_64-linux linuxKernel.packages.linux_zen.nvidia_x11_beta | |
x86_64-darwin haskellPackages.pretty-terminal | |
x86_64-linux lndinit | |
x86_64-linux haskellPackages.data-default-instances-vector | |
x86_64-darwin haskellPackages.rec-def | |
x86_64-darwin libroxml | |
x86_64-darwin python311Packages.affine | |
aarch64-darwin python312Packages.mypy-boto3-payment-cryptography | |
aarch64-linux home-assistant-component-tests.foscam | |
aarch64-darwin perl538Packages.MooseXHasSugar | |
aarch64-linux python312Packages.types-aiobotocore-servicecatalog | |
aarch64-linux vimPlugins.persisted-nvim | |
x86_64-linux python311Packages.pyindego | |
aarch64-darwin python311Packages.capstone | |
aarch64-linux home-assistant-component-tests.sigfox | |
x86_64-darwin haskellPackages.usa-holidays | |
x86_64-darwin haskellPackages.saltine | |
x86_64-linux terraform-providers.ucloud | |
aarch64-darwin python312Packages.miniupnpc | |
x86_64-linux home-assistant-component-tests.reolink | |
x86_64-darwin avr-sim | |
x86_64-darwin lfe_2_1 | |
x86_64-linux emacsPackages.flycheck-buf-lint | |
x86_64-linux python312Packages.mkdocs-gitlab | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.prql | |
x86_64-linux emacsPackages.org-contacts | |
x86_64-linux vimPlugins.vim-ps1 | |
x86_64-linux libsForQt5.drumstick | |
aarch64-darwin emacsPackages.pyinspect | |
x86_64-linux emacsPackages.aria2 | |
x86_64-linux haskellPackages.UISF | |
x86_64-linux haskellPackages.roboservant | |
x86_64-linux haskellPackages.amazonka-elasticbeanstalk | |
aarch64-linux python312Packages.watchdog | |
aarch64-linux python311Packages.pyunifi | |
aarch64-darwin haskellPackages.si-timers | |
x86_64-linux emacsPackages.excorporate | |
aarch64-linux python312Packages.django-annoying | |
aarch64-linux tdrop | |
aarch64-darwin python311Packages.amazon-kclpy | |
aarch64-darwin truecrack | |
aarch64-linux libsForQt5.breeze-qt5 | |
aarch64-darwin luajitPackages.fluent | |
x86_64-linux coqPackages.LibHyps | |
aarch64-darwin perl536Packages.NetAmazonEC2 | |
aarch64-linux python311Packages.querystring-parser | |
x86_64-darwin nwg-wrapper | |
x86_64-linux jack_oscrolloscope | |
aarch64-linux firefox-esr-115-unwrapped | |
x86_64-darwin perl536Packages.ProtocolRedisFaster | |
x86_64-darwin python312Packages.pypubsub | |
aarch64-darwin der-ascii | |
aarch64-linux postgresql15JitPackages.plr | |
x86_64-darwin haskellPackages.symbolize | |
x86_64-linux emacsPackages.ob-swiftui | |
x86_64-darwin frogmouth | |
x86_64-darwin python311Packages.elastic-transport | |
x86_64-linux linuxPackages_zen.bpftrace | |
aarch64-linux emacsPackages.friendly-shell | |
aarch64-darwin man-db | |
aarch64-linux mirakurun | |
aarch64-darwin unfs3 | |
aarch64-darwin electron_24-bin | |
x86_64-linux emacsPackages.eclim | |
x86_64-linux python311Packages.stemming | |
x86_64-darwin emacsPackages.ob-elvish | |
x86_64-darwin plasma5Packages.qt5.qtvirtualkeyboard | |
aarch64-darwin python311Packages.azure-mgmt-privatedns | |
aarch64-linux emacsPackages.fstar-mode | |
x86_64-linux emacsPackages.finalize | |
aarch64-darwin python311Packages.cppheaderparser | |
aarch64-linux python311Packages.sphinxcontrib-openapi | |
aarch64-linux haskellPackages.array-memoize | |
aarch64-linux lua52Packages.luaunit | |
x86_64-darwin python312Packages.ansible-vault-rw | |
x86_64-linux makima | |
x86_64-linux fuzzel | |
aarch64-darwin emacsPackages.bbdb | |
x86_64-linux liquidwar5 | |
x86_64-linux python312Packages.afsapi | |
x86_64-darwin python312Packages.sphinxcontrib-excel-table | |
aarch64-darwin mirakurun | |
x86_64-linux python312Packages.pyfcm | |
aarch64-linux python312Packages.sphinxcontrib-serializinghtml | |
aarch64-darwin python312Packages.executor | |
x86_64-darwin mlt | |
aarch64-linux python312Packages.potentials | |
x86_64-darwin python312Packages.pylutron-caseta | |
aarch64-darwin vimPlugins.lazy-nvim | |
aarch64-darwin chickenPackages_5.chickenEggs.sql-de-lite | |
x86_64-darwin haskellPackages.termbox-bindings-hs | |
aarch64-darwin flycast | |
x86_64-linux python311Packages.pysilero-vad | |
aarch64-darwin mcrypt | |
x86_64-darwin emacsPackages.mu4e-overview | |
aarch64-darwin odt2txt | |
aarch64-linux haskellPackages.loglevel | |
x86_64-linux xorg.libWindowsWM | |
x86_64-linux python312Packages.zha-quirks | |
aarch64-linux prometheus-zfs-exporter | |
x86_64-linux python311Packages.mypy-boto3-marketplace-catalog | |
aarch64-linux python312Packages.queuelib | |
aarch64-darwin normalize | |
aarch64-darwin python312Packages.dipy | |
x86_64-linux linuxPackages_5_10_hardened.rtl8723ds | |
x86_64-linux python311Packages.nftables | |
x86_64-darwin safefile | |
x86_64-linux triton | |
x86_64-linux kubedog | |
x86_64-darwin haskellPackages.commonmark-cli | |
x86_64-linux tests.hardeningFlags-clang.allExplicitDisabledBindNow | |
aarch64-linux python311Packages.naturalsort | |
x86_64-linux emacsPackages.darkman | |
x86_64-linux python311Packages.readmdict | |
x86_64-darwin specup | |
aarch64-linux python311Packages.reuse | |
x86_64-linux lxd-lts | |
x86_64-linux haskellPackages.diff-loc | |
x86_64-darwin libubox-wolfssl | |
x86_64-linux accountsservice | |
x86_64-linux emacsPackages.ssh-agency | |
aarch64-linux python312Packages.into-dbus-python | |
x86_64-linux gotools | |
aarch64-darwin python312Packages.rasterio | |
x86_64-linux emacsPackages.impostman | |
aarch64-linux python312Packages.pyspcwebgw | |
aarch64-linux emacsPackages.netrunner | |
x86_64-linux kdePackages.killbots | |
x86_64-linux haskellPackages.cabal2nix | |
x86_64-darwin tartan | |
aarch64-linux rtz | |
aarch64-darwin python311Packages.svg-path | |
aarch64-linux python312Packages.types-aiobotocore-memorydb | |
x86_64-linux xnee | |
x86_64-linux haskellPackages.ssh-known-hosts | |
x86_64-darwin rubyPackages_3_1.http | |
aarch64-darwin python312Packages.sasmodels | |
aarch64-linux jdk17 | |
x86_64-linux linuxKernel.packages.linux_libre.gcadapter-oc-kmod | |
aarch64-darwin postgresqlJitPackages.timescaledb_toolkit | |
aarch64-darwin python312Packages.zope-event | |
aarch64-darwin llvmPackages_15.clang-manpages | |
x86_64-linux lua54Packages.telescope-manix | |
x86_64-darwin broot | |
x86_64-darwin vimPlugins.vim-exchange | |
aarch64-linux linuxPackages_4_19_hardened.evdi | |
aarch64-darwin haskellPackages.matrix-market-pure | |
x86_64-darwin perl536Packages.RegexpAssemble | |
x86_64-linux sshed | |
x86_64-darwin haskellPackages.ca-province-codes | |
x86_64-darwin python311Packages.wasserstein | |
x86_64-linux emacsPackages.dired-hide-dotfiles | |
aarch64-darwin emacsPackages.nnhackernews | |
x86_64-darwin python311Packages.python-yate | |
aarch64-linux python312Packages.mt-940 | |
x86_64-darwin libretro.fbneo | |
aarch64-linux python312Packages.lmfit | |
x86_64-darwin ejson2env | |
aarch64-darwin haskellPackages.simple-src-utils | |
aarch64-linux vimPlugins.vim-agda | |
x86_64-darwin zncModules.ignore | |
x86_64-darwin emacsPackages.rustic | |
aarch64-linux python312Packages.mypy-boto3-databrew | |
x86_64-linux lxde.gtk2-x11 | |
x86_64-darwin rubyPackages.jekyll-remote-theme | |
aarch64-linux python312Packages.django-picklefield | |
aarch64-linux ddd | |
aarch64-linux python311Packages.dicom2nifti | |
aarch64-darwin python312Packages.prawcore | |
aarch64-linux python311Packages.pyexploitdb | |
aarch64-darwin go-chromecast | |
x86_64-darwin perl536Packages.FileNFSLock | |
x86_64-darwin python312Packages.libgpiod | |
x86_64-linux rubyPackages_3_3.rb-readline | |
aarch64-darwin python312Packages.prayer-times-calculator | |
x86_64-darwin unimatrix | |
x86_64-darwin python311Packages.atomiclong | |
x86_64-linux listmonk | |
aarch64-darwin lld_13 | |
aarch64-darwin haskellPackages.monad-logger-logstash | |
aarch64-darwin postgresql_13_jit | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.zfs_2_2 | |
x86_64-darwin python311Packages.flask-restx | |
aarch64-darwin goreplay | |
aarch64-linux haskellPackages.Chart-gtk | |
x86_64-linux jesec-rtorrent | |
x86_64-darwin python312Packages.markupsafe | |
x86_64-darwin haskellPackages.monad-control-identity | |
x86_64-linux linuxPackages_zen.pktgen | |
x86_64-darwin emacsPackages.prettier | |
aarch64-darwin python312Packages.ledgerblue | |
aarch64-darwin emacsPackages.pg | |
aarch64-darwin plow | |
aarch64-darwin emacsPackages.ob-browser | |
x86_64-darwin python312Packages.types-aiobotocore-connectparticipant | |
aarch64-darwin python312Packages.azure-storage-file | |
x86_64-darwin python312Packages.netmap | |
x86_64-darwin radicle-upstream | |
x86_64-darwin emacsPackages.pdf-tools | |
aarch64-darwin haskellPackages.git-annex | |
aarch64-darwin vk-bootstrap | |
x86_64-darwin emacsPackages.moody | |
x86_64-linux python312Packages.jsonnet | |
x86_64-linux vimPlugins.fugitive-gitlab-vim | |
aarch64-linux libretro.dolphin | |
x86_64-linux gruut | |
x86_64-darwin python311Packages.simplekv | |
aarch64-linux docker_26 | |
aarch64-darwin fluent-gtk-theme | |
aarch64-linux web-ext | |
x86_64-darwin python311Packages.i2csense | |
x86_64-linux emacsPackages.alarm-clock | |
aarch64-darwin haskellPackages.mod | |
x86_64-linux qt5.qtwebkit | |
aarch64-darwin rubyPackages.regexp_parser | |
aarch64-darwin heimdall-gui | |
aarch64-linux emacsPackages.ob-blockdiag | |
x86_64-linux haskellPackages.xml-conduit-writer | |
x86_64-darwin emacsPackages.vhdl-capf | |
aarch64-darwin emacsPackages.smart-jump | |
x86_64-linux postgresqlJitPackages.pg_similarity | |
aarch64-linux xboxdrv | |
aarch64-linux slirp4netns | |
x86_64-linux xxHash | |
aarch64-darwin git-dive | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.corn | |
aarch64-darwin dotnetCorePackages.runtime_9_0 | |
aarch64-linux prometheus-postgres-exporter | |
x86_64-darwin python311Packages.subzerod | |
x86_64-darwin python312Packages.pysingleton | |
aarch64-linux linuxPackages_latest-libre.hid-ite8291r3 | |
aarch64-linux haskellPackages.amazonka-migrationhub-config | |
x86_64-darwin emacsPackages.apples-mode | |
aarch64-linux pa_applet | |
aarch64-darwin python312Packages.pytest-virtualenv | |
x86_64-darwin python311Packages.lxml-html-clean | |
aarch64-darwin OVMFFull | |
aarch64-darwin perl536Packages.DistZillaPluginPodWeaver | |
aarch64-linux vimPlugins.pony-vim-syntax | |
x86_64-darwin vimPlugins.fzf-hoogle-vim | |
x86_64-linux terraform-providers.cloudinit | |
x86_64-darwin tarssh | |
aarch64-linux python311Packages.nix-prefetch-github | |
aarch64-linux haskellPackages.amazonka-chime-sdk-identity | |
aarch64-darwin python312Packages.pyperscan | |
x86_64-linux emacsPackages.translate-mode | |
aarch64-linux python311Packages.azure-identity | |
x86_64-linux python311Packages.leb128 | |
x86_64-linux spek | |
x86_64-darwin gawkextlib.errno | |
x86_64-linux home-assistant-component-tests.flux | |
x86_64-linux python311Packages.pglast | |
aarch64-linux mandelbulber | |
aarch64-darwin python311Packages.pysnooz | |
aarch64-linux haskellPackages.wai-http2-extra | |
x86_64-darwin haskellPackages.boardgame | |
x86_64-darwin python311Packages.pylev | |
aarch64-linux linux_5_4_hardened | |
x86_64-linux haskellPackages.tasty-hunit | |
aarch64-darwin rubyPackages_3_2.uuid4r | |
x86_64-linux haskellPackages.Jazzkell | |
aarch64-linux python312Packages.furl | |
x86_64-darwin python312Packages.test-tube | |
x86_64-linux python312Packages.pytikz-allefeld | |
x86_64-linux rubyPackages_3_3.cocoapods-dependencies | |
aarch64-linux emacsPackages.python-mls | |
aarch64-linux copyq | |
x86_64-linux clang_17 | |
x86_64-linux emacsPackages.perspeen | |
x86_64-linux haskellPackages.amazonka-detective | |
x86_64-darwin python311Packages.pyotgw | |
aarch64-linux qalculate-qt | |
x86_64-darwin haskellPackages.run-st | |
aarch64-linux python311Packages.ipywidgets | |
aarch64-darwin luakit | |
aarch64-linux python312Packages.fontpens | |
aarch64-linux ubootOrangePiZeroPlus2H5 | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.zfs_unstable | |
x86_64-darwin haskellPackages.monad-markov | |
aarch64-darwin emacsPackages.fancy-compilation | |
aarch64-darwin perl536Packages.MP3Tag | |
aarch64-linux haskellPackages.mutable-lens | |
x86_64-darwin badchars | |
x86_64-linux zotero-translation-server | |
aarch64-darwin python312Packages.aioraven | |
x86_64-linux lua54Packages.bit32 | |
aarch64-linux haskellPackages.lift-type | |
aarch64-linux emacsPackages.orgtbl-show-header | |
aarch64-darwin perl536Packages.Test2PluginUUID | |
aarch64-darwin python312Packages.yara-python | |
x86_64-darwin emacsPackages.gams-mode | |
aarch64-darwin python311Packages.grappelli-safe | |
x86_64-darwin luajitPackages.rapidjson | |
aarch64-linux imagemagick6Big | |
aarch64-darwin python311Packages.tissue | |
aarch64-darwin python312Packages.pyric | |
aarch64-linux haskellPackages.unamb | |
aarch64-linux llvmPackages_15.lld | |
aarch64-linux python311Packages.py3to2 | |
aarch64-darwin haskellPackages.ghcjs-codemirror | |
aarch64-darwin python311Packages.pymysqlsa | |
aarch64-linux haskellPackages.cast | |
aarch64-darwin lua51Packages.luaexpat | |
aarch64-linux python312Packages.opencensus-context | |
x86_64-linux home-assistant-component-tests.aemet | |
x86_64-darwin python311Packages.psycopg2 | |
aarch64-darwin passphrase2pgp | |
x86_64-linux pifpaf | |
x86_64-darwin python311Packages.sphinxcontrib-programoutput | |
x86_64-darwin emacsPackages.chinese-word-at-point | |
aarch64-linux emacsPackages.auth-source-keytar | |
aarch64-linux haskellPackages.link-relations | |
aarch64-darwin haskellPackages.rematch-text | |
x86_64-linux linuxKernel.packages.linux_5_15.acpi_call | |
aarch64-darwin perl538Packages.PlackMiddlewareReverseProxy | |
aarch64-darwin icemon | |
aarch64-linux python311Packages.llama-index-readers-llama-parse | |
x86_64-darwin python311Packages.svgwrite | |
aarch64-linux emacsPackages.fold-dwim-org | |
aarch64-linux python312Packages.libpyvivotek | |
x86_64-linux python311Packages.pygreat | |
aarch64-linux rubyPackages_3_2.redis-rack | |
aarch64-linux python312Packages.defusedxml | |
aarch64-linux python312Packages.flit | |
aarch64-linux apacheKafka_3_1 | |
aarch64-linux python312Packages.automate-home | |
x86_64-linux haskellPackages.yeganesh | |
aarch64-linux python311Packages.rtslib | |
x86_64-linux wallust | |
x86_64-darwin python312Packages.ambee | |
aarch64-darwin hunspellDicts.en_GB-large | |
x86_64-darwin aspellDicts.yi | |
aarch64-darwin pcre | |
x86_64-linux emacsPackages.pastelmac-theme | |
x86_64-linux gitstats | |
x86_64-linux xfce.xfce4-embed-plugin | |
x86_64-linux prometheus-process-exporter | |
x86_64-darwin haskellPackages.leancheck-instances | |
x86_64-darwin coqPackages.addition-chains | |
aarch64-linux emacsPackages.frontside-javascript | |
x86_64-darwin haskellPackages.dprox | |
aarch64-darwin python311Packages.csscompressor | |
x86_64-linux haskellPackages.gpio | |
aarch64-linux kafkactl | |
aarch64-linux emacsPackages.decor | |
aarch64-linux xsd | |
aarch64-darwin emacsPackages.say-what-im-doing | |
x86_64-darwin python311Packages.python-pidfile | |
aarch64-darwin rubyPackages_3_3.gio2 | |
x86_64-linux emacsPackages.org-linkotron | |
x86_64-darwin python311Packages.colormath | |
x86_64-linux ffmpeg_6 | |
x86_64-linux libsidplayfp | |
aarch64-linux vimPlugins.aniseed | |
aarch64-linux emacsPackages.corfu-terminal | |
x86_64-linux np2kai | |
x86_64-linux dhall-docs | |
x86_64-linux ctop | |
x86_64-linux haskellPackages.hsemail-ns | |
aarch64-darwin python312Packages.ecoaliface | |
x86_64-linux python312Packages.netcdf4 | |
aarch64-darwin emacsPackages.urgrep | |
aarch64-linux xorex | |
x86_64-darwin python311Packages.georss-ign-sismologia-client | |
x86_64-darwin python311Packages.aspy-yaml | |
aarch64-darwin haskellPackages.matrix | |
aarch64-linux glogg | |
aarch64-darwin lean3 | |
aarch64-linux rocmPackages_5.hipfort | |
aarch64-linux unbound-with-systemd | |
aarch64-darwin gauge | |
aarch64-darwin python312Packages.mypy-boto3-panorama | |
x86_64-darwin merge-fmt | |
aarch64-darwin gst_all_1.gst-editing-services | |
aarch64-darwin python311Packages.gassist-text | |
aarch64-darwin whatsapp-chat-exporter | |
x86_64-darwin perl536Packages.ParallelPipes | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.virtio_vmmci | |
aarch64-darwin apacheHttpdPackages_2_4.mod_ocsp | |
aarch64-darwin python311Packages.dnf-plugins-core | |
aarch64-darwin haskellPackages.cndict | |
x86_64-darwin python311Packages.traits | |
aarch64-linux emacsPackages.flycheck-xcode | |
aarch64-darwin python311Packages.robot-detection | |
x86_64-darwin postgresql16Packages.lantern | |
x86_64-linux postgresql15JitPackages.pg_hll | |
x86_64-darwin perl538Packages.JavaScriptMinifierXS | |
aarch64-linux python312Packages.mypy-boto3-codestar-notifications | |
aarch64-linux saw-tools | |
x86_64-darwin haskellPackages.enumset | |
x86_64-linux rubyPackages_3_2.glib2 | |
aarch64-linux python311Packages.pkce | |
x86_64-darwin haskellPackages.self-extract | |
aarch64-darwin python311Packages.click-repl | |
aarch64-linux emacsPackages.nsis-mode | |
aarch64-linux python312Packages.aiomisc-pytest | |
aarch64-linux python311Packages.mypy-boto3-lex-models | |
aarch64-linux emacsPackages.soft-morning-theme | |
aarch64-darwin perl538Packages.MooseXRunnable | |
aarch64-linux haskellPackages.sdl-try-drivers | |
x86_64-linux python311Packages.agate-dbf | |
aarch64-linux anystyle-cli | |
aarch64-linux haskellPackages.pipes-random | |
aarch64-darwin python311Packages.biplist | |
aarch64-darwin haskellPackages.conformance-gen | |
x86_64-linux linuxPackages_zen.apfs | |
aarch64-darwin remmina | |
aarch64-linux pick-colour-picker | |
aarch64-darwin python312Packages.jsonpickle | |
aarch64-darwin collectd-data | |
aarch64-linux python311Packages.inifile | |
x86_64-darwin raider | |
x86_64-linux magma_2_7_2 | |
aarch64-darwin zoom-us | |
x86_64-linux python311Packages.jupyter-client | |
aarch64-darwin python311Packages.rapidfuzz-capi | |
aarch64-darwin python311Packages.mypy-boto3-cleanrooms | |
aarch64-linux buildbot-worker | |
x86_64-linux python311Packages.samsungtvws | |
x86_64-darwin pagmo2 | |
x86_64-linux satellite | |
x86_64-linux youtube-dl-light | |
aarch64-darwin python312Packages.apispec-webframeworks | |
aarch64-darwin kodiPackages.osmc-skin | |
x86_64-darwin python312Packages.boiboite-opener-framework | |
x86_64-linux python312Packages.types-aiobotocore-route53 | |
aarch64-darwin haskellPackages.logging-facade-syslog | |
aarch64-linux python312Packages.playwrightcapture | |
x86_64-linux juicity | |
x86_64-linux linuxPackages_latest-libre.zfs | |
x86_64-linux rocmPackages.rccl | |
aarch64-linux gtksourceview5 | |
x86_64-linux fcft | |
aarch64-linux libsForQt5.libkmahjongg | |
aarch64-linux nss | |
x86_64-linux python312Packages.mypy-boto3-rds | |
x86_64-linux python311Packages.py-multicodec | |
x86_64-linux rubyPackages_3_3.redcarpet | |
x86_64-linux cudaPackagesGoogle.cuda_nvprof | |
x86_64-darwin emacsPackages.flycheck-swift | |
x86_64-darwin python311Packages.rx | |
aarch64-darwin python312Packages.mockupdb | |
aarch64-darwin python311Packages.fontawesomefree | |
x86_64-linux haskellPackages.search-algorithms | |
aarch64-darwin cargo-flamegraph | |
aarch64-linux jamin | |
x86_64-linux musikcube | |
x86_64-linux schemes | |
aarch64-darwin python312Packages.youless-api | |
aarch64-darwin python311Packages.assay | |
x86_64-linux python311Packages.google-compute-engine | |
x86_64-linux shmig | |
x86_64-darwin safe | |
x86_64-darwin python311Packages.nethsm | |
x86_64-linux haskellPackages.texrunner | |
x86_64-darwin libraqm | |
x86_64-linux cromfs | |
aarch64-linux haskellPackages.ssv | |
aarch64-darwin python312Packages.miniaudio | |
x86_64-darwin perl536Packages.CatalystXComponentTraits | |
x86_64-linux python311Packages.git-filter-repo | |
x86_64-linux emacsPackages.flycheck-relint | |
aarch64-linux python312Packages.tappy | |
x86_64-linux haskellPackages.lvar | |
x86_64-darwin haskellPackages.webex-teams-pipes | |
aarch64-linux linuxKernel.packages.linux_5_15.qc71_laptop | |
x86_64-linux python312Packages.gbulb | |
aarch64-linux vokoscreen-ng | |
x86_64-darwin python312Packages.structlog | |
aarch64-linux libcanberra-gtk2 | |
x86_64-darwin libsForQt5.qt5.qtsystems | |
x86_64-darwin sqlitebrowser | |
x86_64-darwin python312Packages.bids-validator | |
aarch64-linux rubyPackages_3_3.jekyll-paginate | |
x86_64-linux lomiri.geonames | |
x86_64-linux plasma5Packages.qt5.qtconnectivity | |
x86_64-darwin planarity | |
aarch64-darwin lua51Packages.lpeglabel | |
x86_64-linux libxklavier | |
aarch64-darwin opensc | |
x86_64-linux python311Packages.mypy-boto3-codeartifact | |
aarch64-darwin python311Packages.certbot-dns-ovh | |
aarch64-darwin python312Packages.torrent-parser | |
x86_64-linux emacsPackages.smalltalk-mode | |
x86_64-linux linuxKernel.packages.linux_6_1.decklink | |
x86_64-linux plasma5Packages.kdebugsettings | |
aarch64-linux plasma5Packages.libkcddb | |
aarch64-darwin python311Packages.pymdstat | |
x86_64-linux python311Packages.textile | |
x86_64-darwin asciinema-agg | |
x86_64-darwin lua53Packages.teal-language-server | |
x86_64-linux srt | |
x86_64-darwin python312Packages.avea | |
x86_64-linux python311Packages.autoslot | |
aarch64-darwin python312Packages.azure-loganalytics | |
aarch64-darwin perl536Packages.Testmysqld | |
x86_64-linux python311Packages.github3-py | |
aarch64-darwin haskellPackages.serialise-uuid | |
x86_64-darwin wayland-scanner | |
aarch64-linux haskellPackages.pcre-utils | |
aarch64-linux syft | |
x86_64-linux emacsPackages.evil-python-movement | |
x86_64-linux python312Packages.onnxconverter-common | |
aarch64-darwin abseil-cpp_202401 | |
x86_64-darwin python312Packages.ansible-pylibssh | |
x86_64-darwin emacsPackages.coffee-mode | |
x86_64-linux emacsPackages.time-block | |
x86_64-linux python312Packages.dataset | |
aarch64-darwin libsForQt5.plasma-applet-caffeine-plus | |
aarch64-linux csdp | |
aarch64-darwin ghosttohugo | |
x86_64-linux patatt | |
x86_64-darwin tokyocabinet | |
x86_64-darwin emacsPackages.masm-mode | |
x86_64-darwin haskellPackages.euler-tour-tree | |
x86_64-darwin libpeas | |
aarch64-darwin ots | |
aarch64-linux perl536Packages.DistZillaPluginPodWeaver | |
x86_64-linux openshot-qt | |
aarch64-darwin haskellPackages.elm-syntax | |
aarch64-darwin gokrazy | |
x86_64-linux perl536Packages.NetCUPS | |
x86_64-linux emacsPackages.shrink-path | |
aarch64-linux python311Packages.tinycss2 | |
aarch64-darwin python311Packages.easyenergy | |
x86_64-darwin python312Packages.wurlitzer | |
x86_64-linux python311Packages.userpath | |
aarch64-linux plasma5Packages.lightly | |
x86_64-darwin python312Packages.mypy-boto3-support | |
aarch64-linux neuron-mpi | |
aarch64-darwin python312Packages.appnope | |
aarch64-linux python311Packages.types-ipaddress | |
x86_64-darwin vimPlugins.vim-vue | |
aarch64-darwin emacsPackages.flycheck-ocaml | |
x86_64-darwin gtk-mac-integration | |
x86_64-linux kavita | |
x86_64-darwin python311Packages.alexapy | |
aarch64-linux python311Packages.aiocsv | |
x86_64-linux kdePackages.kalk | |
x86_64-darwin python311Packages.nototools | |
x86_64-linux haskellPackages.parsec-permutation | |
x86_64-linux haskellPackages.linebreak | |
x86_64-darwin haskellPackages.monad-coroutine | |
aarch64-linux python311Packages.unicodedata2 | |
x86_64-darwin lua54Packages.luabitop | |
x86_64-linux linuxPackages_hardened.oci-seccomp-bpf-hook | |
aarch64-darwin haskellPackages.attoparsec-binary | |
aarch64-linux linuxKernel.packages.linux_latest_libre.evdi | |
aarch64-darwin haskellPackages.acme-cuteboy | |
aarch64-darwin minecraft-server-hibernation | |
x86_64-linux xib2nib | |
aarch64-linux flink | |
x86_64-linux vimPlugins.vim-extradite | |
x86_64-linux haskellPackages.tasty-hedgehog | |
x86_64-darwin haskellPackages.applicable | |
x86_64-linux python312Packages.httpie-ntlm | |
aarch64-darwin python311Packages.meshtastic | |
x86_64-linux python311Packages.warlock | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.racket | |
x86_64-darwin chickenPackages_5.chickenEggs.srfi-69 | |
aarch64-darwin python311Packages.resend | |
x86_64-darwin perl536Packages.DataSpreadPagination | |
x86_64-linux python311Packages.libversion | |
x86_64-darwin vimPlugins.neuron-nvim | |
x86_64-darwin vimPlugins.vim9-stargate | |
aarch64-linux libsForQt5.partitionmanager | |
x86_64-linux python312Packages.types-aiobotocore-appfabric | |
aarch64-linux emacsPackages.spark | |
aarch64-linux plasma5Packages.kcodecs | |
aarch64-darwin nix-generate-from-cpan | |
x86_64-darwin haskellPackages.xor | |
x86_64-linux python312Packages.deezer-python | |
x86_64-darwin perl538Packages.CatalystPluginSessionDynamicExpiry | |
aarch64-darwin perl538Packages.BusinessHours | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.rtl88xxau-aircrack | |
x86_64-darwin haskellPackages.mig-server | |
aarch64-linux nixStatic | |
x86_64-darwin perl536Packages.CatalystPluginCacheHTTP | |
x86_64-linux python311Packages.aiozeroconf | |
aarch64-linux ebpf-verifier | |
aarch64-linux xorg.xcbutilerrors | |
aarch64-linux haskellPackages.gitlib-libgit2 | |
aarch64-darwin python312Packages.cookiecutter | |
aarch64-linux libsForQt5.qt5.qtsensors | |
aarch64-linux haskellPackages.lens-aeson | |
aarch64-darwin haskellPackages.amazonka-snowball | |
x86_64-darwin python311Packages.astor | |
x86_64-linux linuxPackages_zen.ithc | |
x86_64-linux openvswitch | |
x86_64-linux python312Packages.adal | |
x86_64-darwin emacsPackages.ac-alchemist | |
x86_64-linux gtkdialog | |
aarch64-linux emacsPackages.ido-complete-space-or-hyphen | |
x86_64-linux python311Packages.cloudpathlib | |
x86_64-darwin pipes | |
x86_64-darwin python312Packages.linode-api | |
x86_64-linux python312Packages.starline | |
x86_64-linux python311Packages.recoll | |
aarch64-linux python312Packages.domeneshop | |
aarch64-darwin emacsPackages.ob-drawtiming | |
x86_64-linux emacsPackages.ob-lfe | |
x86_64-darwin perl538Packages.URIcpan | |
aarch64-darwin xschem | |
aarch64-linux lua53Packages.lua-messagepack | |
aarch64-darwin python312Packages.python-editor | |
x86_64-linux emacsPackages.eval-expr | |
x86_64-linux haskellPackages.matrix-client | |
aarch64-linux javaCup | |
aarch64-linux emacsPackages.crossword | |
x86_64-linux temurin-bin-11 | |
aarch64-darwin python311Packages.libgpuarray | |
x86_64-darwin crabfit-api | |
x86_64-linux python312Packages.wagtail | |
x86_64-darwin haskellPackages.hack2 | |
aarch64-darwin postgresql14JitPackages.plr | |
x86_64-darwin perl536Packages.DistZillaPluginTestEOL | |
aarch64-darwin buildbot-plugins.buildbot-pkg | |
x86_64-darwin python312Packages.python-gnupg | |
aarch64-linux python311Packages.envs | |
x86_64-darwin azure-cli-extensions.storage-blob-preview | |
aarch64-linux python311Packages.requestsexceptions | |
aarch64-darwin perl536Packages.JSONAny | |
aarch64-darwin python311Packages.clarabel | |
x86_64-linux python311Packages.gphoto2 | |
x86_64-darwin bcunit | |
x86_64-linux deckmaster | |
aarch64-linux lua54Packages.lua-protobuf | |
aarch64-darwin tests.testers.hasPkgConfigModules.zlib-has-zlib | |
x86_64-linux haskellPackages.AsyncRattus | |
x86_64-darwin wyoming-faster-whisper | |
aarch64-darwin luaPackages.ldbus | |
aarch64-linux python311Packages.llfuse | |
aarch64-darwin python311Packages.pywebpush | |
x86_64-darwin pypiserver | |
aarch64-linux python312Packages.re-assert | |
x86_64-darwin rubyPackages.gobject-introspection | |
aarch64-linux rpiplay | |
x86_64-linux haskellPackages.copilot-core | |
x86_64-darwin python312Packages.isbnlib | |
aarch64-darwin perl538Packages.TestMost | |
aarch64-linux haskellPackages.step-function | |
aarch64-darwin ninvaders | |
aarch64-linux python311Packages.pyintesishome | |
x86_64-linux python312Packages.pydantic-yaml-0 | |
aarch64-linux python311Packages.bencoder | |
aarch64-linux ldapdomaindump | |
aarch64-linux beancount-black | |
x86_64-linux rubyPackages_3_2.sassc | |
x86_64-darwin python312Packages.dicom2nifti | |
x86_64-linux linuxPackages_xanmod_latest.mstflint_access | |
aarch64-linux python311Packages.gerbonara | |
aarch64-linux python312Packages.luxor | |
aarch64-darwin clzip | |
aarch64-darwin avfs | |
aarch64-darwin libgovirt | |
x86_64-linux xorg.libXvMC | |
aarch64-linux qt6Packages.qca | |
aarch64-linux wingpanel-indicator-ayatana | |
x86_64-linux python312Packages.cdcs | |
x86_64-linux codeql | |
aarch64-linux python311Packages.mypy-boto3-mobile | |
x86_64-darwin python312Packages.isort | |
x86_64-linux emacsPackages.frog-menu | |
aarch64-linux python312Packages.pycosat | |
x86_64-linux python312Packages.pyrfxtrx | |
x86_64-linux rclip | |
x86_64-darwin clippy | |
aarch64-darwin cowsay | |
aarch64-linux linuxPackages_latest-libre.rtw88 | |
x86_64-darwin chaos | |
x86_64-linux python311Packages.myhome | |
x86_64-darwin haskellPackages.canonical-json | |
x86_64-darwin emacsPackages.selectrum | |
aarch64-darwin aspcud | |
aarch64-darwin python311Packages.types-aiobotocore-cloudformation | |
x86_64-darwin python312Packages.twill | |
x86_64-linux netlify-cli | |
aarch64-darwin python311Packages.types-aiobotocore-cloudwatch | |
aarch64-darwin replace | |
x86_64-linux meshoptimizer | |
aarch64-linux xits-math | |
aarch64-linux haskellPackages.microlens-aeson | |
x86_64-darwin emacsPackages.csharp-mode | |
x86_64-darwin emacsPackages.diffed | |
aarch64-linux emacsPackages.listen | |
x86_64-linux perl536Packages.Tcl | |
x86_64-darwin python312Packages.rova | |
aarch64-linux plasma5Packages.qtwebengine | |
x86_64-linux haskellPackages.run-haskell-module | |
x86_64-linux kdePackages.dolphin-plugins | |
x86_64-linux python311Packages.azure-mgmt-policyinsights | |
x86_64-linux theme-obsidian2 | |
aarch64-linux python311Packages.itemloaders | |
aarch64-darwin lua51Packages.lmpfrlib | |
x86_64-darwin haskellPackages.bson-lens | |
x86_64-linux emacsPackages.ob-elvish | |
aarch64-darwin libsForQt5.kdav | |
aarch64-linux linuxKernel.packages.linux_5_4.nvidia_x11_beta | |
x86_64-linux emacsPackages.no-spam | |
x86_64-linux haskellPackages.jack | |
x86_64-darwin python312Packages.kanidm | |
aarch64-linux python312Packages.eth-hash | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.erlang | |
x86_64-linux openntpd | |
x86_64-darwin haskellPackages.json-ast | |
x86_64-darwin python311Packages.pyscreenshot | |
aarch64-darwin python311Packages.mac-alias | |
x86_64-darwin emacsPackages.vulpea | |
x86_64-darwin python312Packages.mac-vendor-lookup | |
aarch64-linux python312Packages.traceback2 | |
aarch64-darwin pg_checksums | |
x86_64-linux emboss | |
x86_64-darwin qrtool | |
x86_64-linux libsForQt5.kcoreaddons | |
x86_64-linux plasma5Packages.breeze-grub | |
aarch64-darwin libsForQt5.ki18n | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.rtl8821cu | |
x86_64-darwin haskellPackages.hxt-xpath | |
aarch64-darwin emacsPackages.lsp-pyright | |
x86_64-darwin python311Packages.gaphas | |
x86_64-linux python311Packages.llama-index-embeddings-gemini | |
aarch64-darwin emacsPackages.hyperlist-mode | |
aarch64-darwin aspellDicts.pa | |
aarch64-darwin python311Packages.python-google-nest | |
aarch64-linux imhex | |
x86_64-darwin perl538Packages.TestNoWarnings | |
aarch64-linux python312Packages.aocd | |
x86_64-linux kdePackages.syntax-highlighting | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.jool | |
aarch64-darwin emacsPackages.i3wm | |
aarch64-linux haskellPackages.gloss-algorithms | |
x86_64-darwin perl536Packages.NetFrame | |
aarch64-darwin python311Packages.isounidecode | |
x86_64-darwin python311Packages.jupyter-client | |
x86_64-linux python312Packages.pyradiomics | |
x86_64-darwin python311Packages.kafka-python | |
aarch64-linux python312Packages.withings-sync | |
aarch64-linux matcha-gtk-theme | |
x86_64-darwin squawk | |
x86_64-linux pantheon.switchboard-plug-onlineaccounts | |
x86_64-linux sapling | |
x86_64-linux linuxKernel.packages.linux_5_10.rtl8814au | |
aarch64-linux haskellPackages.gl-capture | |
x86_64-darwin rubyPackages_3_1.paru | |
aarch64-linux emacsPackages.flycheck-coverity | |
x86_64-linux haskellPackages.text-metrics | |
x86_64-linux emacsPackages.mu2tex | |
aarch64-linux python312Packages.asciimatics | |
x86_64-darwin xxh | |
aarch64-linux vimPlugins.verilog_systemverilog-vim | |
x86_64-linux python311Packages.python-flirt | |
x86_64-linux emacsPackages.org-tree-slide | |
x86_64-linux python311Packages.formulae | |
x86_64-linux rubyPackages_3_3.fog-json | |
aarch64-darwin ccache | |
x86_64-darwin uid_wrapper | |
aarch64-darwin gnome.gnome-sudoku | |
x86_64-darwin kdePackages.qtremoteobjects | |
aarch64-linux libsForQt5.akonadi-calendar | |
x86_64-darwin home-assistant-custom-components.indego | |
aarch64-darwin perl538Packages.MNI-Perllib | |
x86_64-linux python311Packages.sqlite-migrate | |
aarch64-darwin python311Packages.torch-pitch-shift | |
aarch64-linux plasma5Packages.keditbookmarks | |
aarch64-linux python311Packages.htmllistparse | |
aarch64-linux python312Packages.promise | |
x86_64-linux j2cli | |
aarch64-darwin python312Packages.scrapy-fake-useragent | |
x86_64-linux libretro.mame2003 | |
aarch64-linux haskellPackages.NestedSampling | |
x86_64-linux llvmPackages_13.libcxx | |
aarch64-darwin python311Packages.zadnegoale | |
x86_64-linux llvmPackages_16.libcxxStdenv | |
aarch64-linux haskellPackages.terminal-punch | |
aarch64-linux opencv4 | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.bash | |
x86_64-darwin python312Packages.types-aiobotocore-backup | |
x86_64-darwin mcap-cli | |
x86_64-linux mcstatus | |
aarch64-darwin python311Packages.pynzb | |
x86_64-darwin lua53Packages.lua-iconv | |
aarch64-linux emacsPackages.fixmee | |
aarch64-darwin cargo-semver-checks | |
x86_64-linux python312Packages.logutils | |
aarch64-linux python311Packages.fipy | |
aarch64-darwin python311Packages.httpagentparser | |
x86_64-linux gambit | |
x86_64-linux xplorer | |
x86_64-linux python311Packages.pytibber | |
x86_64-darwin python312Packages.graphql-server-core | |
x86_64-linux fq | |
x86_64-darwin python312Packages.impacket | |
x86_64-linux python312Packages.llama-index-llms-openai-like | |
x86_64-linux vimPlugins.kotlin-vim | |
x86_64-darwin haskellPackages.lawful | |
x86_64-darwin python312Packages.pysmappee | |
x86_64-darwin bandwhich | |
x86_64-darwin python312Packages.micloud | |
x86_64-darwin emacsPackages.undohist | |
aarch64-darwin cot | |
x86_64-linux python311Packages.horizon-eda | |
x86_64-darwin snowcat | |
aarch64-linux org-stats | |
x86_64-darwin python311Packages.google-cloud-testutils | |
aarch64-darwin python312Packages.ritassist | |
aarch64-linux python312Packages.pymeeus | |
x86_64-linux haskellPackages.amazonka-dynamodb-streams | |
x86_64-linux python312Packages.paramiko | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.nvidia_x11_vulkan_beta_open | |
aarch64-linux openexr_2 | |
x86_64-darwin python311Packages.dvc-s3 | |
aarch64-darwin python311Packages.pydexcom | |
x86_64-linux python311Packages.pytensor | |
aarch64-darwin python311Packages.bellows | |
aarch64-darwin haskellPackages.membership | |
aarch64-linux plata-theme | |
x86_64-linux haskellPackages.shh-extras | |
aarch64-linux glew-egl | |
x86_64-darwin emacsPackages.sparql-mode | |
x86_64-darwin postgresql14Packages.repmgr | |
x86_64-linux haskellPackages.amazonka-controltower | |
x86_64-linux emacsPackages.go-direx | |
x86_64-darwin haskellPackages.helf | |
aarch64-darwin bc | |
x86_64-darwin python311Packages.flet-runtime | |
x86_64-darwin emacsPackages.auto-complete-clang | |
x86_64-linux libime | |
x86_64-linux haskellPackages.tasty-th | |
x86_64-darwin haskellPackages.ntype | |
aarch64-darwin plots | |
x86_64-linux php83Extensions.enchant | |
aarch64-linux colobot | |
aarch64-linux python311Packages.msg-parser | |
aarch64-darwin python312Packages.gitignore-parser | |
aarch64-linux jdepend | |
x86_64-darwin go-mtpfs | |
x86_64-linux gnomeExtensions.switch-workspaces-on-active-monitor | |
aarch64-darwin haskellPackages.promises | |
aarch64-linux python312Packages.ansible-pylibssh | |
x86_64-linux python312Packages.fabulous | |
aarch64-darwin python311Packages.fontforge | |
x86_64-linux coordgenlibs | |
aarch64-darwin python311Packages.sigrok | |
x86_64-linux python311Packages.mopeka-iot-ble | |
aarch64-darwin byobu | |
aarch64-darwin emacsPackages.flatland-theme | |
x86_64-linux rocmPackages.rocm-thunk | |
x86_64-linux emacsPackages.flymake-haskell-multi | |
aarch64-linux python311Packages.uqbar | |
x86_64-darwin bashInteractive | |
aarch64-darwin python312Packages.python-nomad | |
x86_64-linux haskellPackages.the-snip | |
x86_64-linux sbclPackages.qtools | |
aarch64-linux libsForQt5.kbookmarks | |
aarch64-darwin chickenPackages_5.chickenEggs.svnwiki-sxml | |
aarch64-linux python311Packages.nltk | |
aarch64-darwin haskellPackages.cql | |
aarch64-linux python311Packages.segments | |
x86_64-linux azure-cli-extensions.vmware | |
x86_64-linux llvmPackages_17.llvm | |
x86_64-linux python312Packages.spdx-lookup | |
aarch64-linux linuxKernel.packages.linux_6_6.rtl8821cu | |
aarch64-linux emacsPackages.vline | |
x86_64-linux glpk | |
x86_64-linux minc_tools | |
x86_64-linux hors | |
x86_64-linux sbclPackages.quri | |
aarch64-darwin perl538Packages.MaxMindDBCommon | |
x86_64-linux python312Packages.stups-zign | |
x86_64-linux python312Packages.nested-lookup | |
aarch64-linux emacsPackages.vimscript-ts-mode | |
x86_64-darwin intermodal | |
x86_64-linux autofs5 | |
x86_64-darwin python312Packages.polling | |
x86_64-darwin python312Packages.wifi | |
aarch64-darwin perl538Packages.ModulePluggableFast | |
aarch64-darwin haskellPackages.neural-network-base | |
aarch64-linux fscan | |
x86_64-linux labelife-label-printer | |
aarch64-linux anew | |
x86_64-darwin haskellPackages.aws-xray-client-persistent | |
x86_64-darwin emacsPackages.ietf-docs | |
x86_64-linux postgresql16Packages.rum | |
aarch64-darwin python311Packages.samplerate | |
aarch64-linux kdePackages.akonadi-import-wizard | |
x86_64-darwin python312Packages.versiontag | |
aarch64-linux emacsPackages.python-coverage | |
x86_64-linux gnomeExtensions.do-not-disturb-while-screen-sharing-or-recording | |
x86_64-linux python312Packages.shodan | |
x86_64-linux python311Packages.nvchecker | |
x86_64-linux python312Packages.tableauserverclient | |
x86_64-darwin haskellPackages.type-booleans | |
aarch64-linux python311Packages.sunweg | |
aarch64-darwin python311Packages.types-aiobotocore-acm | |
aarch64-darwin python311Packages.types-aiobotocore-appintegrations | |
x86_64-linux haskellPackages.bzip-signature | |
x86_64-darwin perl536Packages.XMLFilterSort | |
x86_64-darwin gsocket | |
x86_64-linux python311Packages.aioinflux | |
x86_64-darwin emacsPackages.vue-mode | |
x86_64-linux gotags | |
x86_64-darwin haskellPackages.storablevector | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.rtw89 | |
x86_64-darwin python311Packages.openwrt-ubus-rpc | |
x86_64-darwin emacsPackages.elpa-clone | |
x86_64-darwin prometheus-domain-exporter | |
x86_64-linux python312Packages.bootstrap.build | |
x86_64-darwin python311Packages.vulture | |
x86_64-darwin python311Packages.types-aiobotocore-ses | |
x86_64-darwin python311Packages.dbus-signature-pyparsing | |
x86_64-linux python312Packages.simanneal | |
aarch64-darwin python312Packages.pyspf | |
aarch64-linux python312Packages.pygobject3 | |
x86_64-darwin scummvm | |
x86_64-linux josh | |
x86_64-darwin python312Packages.flask-versioned | |
x86_64-linux rubyPackages_3_3.em-websocket | |
x86_64-linux zesarux | |
aarch64-darwin perl538Packages.LinguaENFindNumber | |
x86_64-darwin python311Packages.djangorestframework-guardian | |
aarch64-linux idrisPackages.free | |
x86_64-darwin perl538Packages.MooXHandlesVia | |
aarch64-linux python312Packages.cot | |
aarch64-darwin python312Packages.llama-index-readers-json | |
aarch64-linux wyoming-piper | |
aarch64-darwin python311Packages.etebase | |
x86_64-linux haskellPackages.lispparser | |
aarch64-linux python311Packages.azure-mgmt-billing | |
x86_64-linux lldb | |
x86_64-darwin rhash | |
aarch64-darwin kuma | |
x86_64-darwin terraform-providers.minio | |
aarch64-linux trojita | |
aarch64-linux haskellPackages.opaleye | |
x86_64-darwin perl538Packages.IOInterface | |
x86_64-darwin python311Packages.quantiphy | |
x86_64-darwin haskellPackages.recursion | |
aarch64-linux ffsend | |
x86_64-darwin coqPackages.mathcomp-character | |
aarch64-darwin ptunnel | |
aarch64-darwin jwasm | |
x86_64-linux linuxPackages_latest-libre.r8168 | |
aarch64-darwin perl538Packages.GlibObjectIntrospection | |
aarch64-darwin python311Packages.django_4 | |
x86_64-linux yajsv | |
x86_64-linux emacsPackages.org-epa-gpg | |
x86_64-darwin emacsPackages.hal-mode | |
aarch64-darwin vimPlugins.fzf-hoogle-vim | |
x86_64-linux git-trim | |
aarch64-linux python312Packages.types-aiobotocore-machinelearning | |
x86_64-linux python312Packages.mypy-boto3-redshift-data | |
x86_64-darwin python311Packages.mypy-boto3-mediaconvert | |
x86_64-darwin vimPlugins.nvim-moonwalk | |
aarch64-linux python312Packages.pytest-testinfra | |
x86_64-darwin python311Packages.automate-home | |
aarch64-linux python311Packages.flake8-future-import | |
aarch64-darwin python312Packages.mixpanel | |
x86_64-linux python312Packages.cytoolz | |
x86_64-linux apacheHttpdPackages_2_4.mod_auth_mellon | |
x86_64-darwin haskellPackages.quickcheck-text | |
x86_64-linux poop | |
aarch64-darwin python312Packages.spacy-pkuseg | |
aarch64-darwin emacsPackages.cpupower | |
x86_64-linux haskellPackages.fftwRaw | |
aarch64-linux python311Packages.ofxtools | |
aarch64-linux emacsPackages.rainbow-mode | |
aarch64-linux python312Packages.babelfish | |
x86_64-darwin subnetcalc | |
aarch64-linux open-policy-agent | |
aarch64-linux emacsPackages.dired-posframe | |
aarch64-linux rtmpdump_gnutls | |
x86_64-darwin rubyPackages_3_2.octokit | |
x86_64-linux gnomeExtensions.notch-clock-offset | |
x86_64-darwin dart | |
x86_64-linux osu-lazer | |
aarch64-darwin haskellPackages.numeric-quest | |
aarch64-darwin python311Packages.lsprotocol | |
aarch64-linux haskellPackages.git-lfs | |
aarch64-darwin python312Packages.tesla-wall-connector | |
x86_64-darwin mp3gain | |
x86_64-linux python311Packages.foolscap | |
aarch64-linux direwolf | |
aarch64-darwin python312Packages.chainer | |
aarch64-linux python312Packages.types-deprecated | |
aarch64-linux haskellPackages.generics-sop | |
x86_64-linux ciano | |
aarch64-darwin haskellPackages.hashing | |
x86_64-darwin perl538Packages.CryptCAST5_PP | |
x86_64-darwin airwindows-lv2 | |
aarch64-linux powermanga | |
x86_64-darwin rubyPackages_3_1.llhttp-ffi | |
x86_64-linux cpp-hocon | |
aarch64-linux emacsPackages.org-sidebar | |
x86_64-linux postgresql15Packages.pg_ed25519 | |
x86_64-linux libsForQt5.mauikit | |
aarch64-linux linuxKernel.packages.linux_6_6.digimend | |
aarch64-linux python311Packages.pandas-stubs | |
aarch64-linux linuxKernel.packages.linux_xanmod.rtl88x2bu | |
aarch64-darwin haskellPackages.wai-middleware-static | |
aarch64-linux emacsPackages.duplicate-thing | |
x86_64-linux haskellPackages.bz2 | |
x86_64-linux graphwar | |
x86_64-darwin emacsPackages.shut-up | |
aarch64-darwin emacsPackages.quelpa-leaf | |
aarch64-linux python312Packages.monai | |
aarch64-darwin python312Packages.mypy-boto3-s3 | |
aarch64-linux python311Packages.mypy-boto3-macie | |
aarch64-darwin python312Packages.simple-websocket-server | |
aarch64-linux python311Packages.mutf8 | |
x86_64-linux rubyPackages_3_3.webmention | |
x86_64-darwin _4ti2 | |
x86_64-darwin castty | |
x86_64-linux home-assistant-component-tests.graphite | |
x86_64-darwin python312Packages.types-aiobotocore-iotevents-data | |
x86_64-darwin darwin.ppp | |
aarch64-darwin coqPackages.autosubst | |
x86_64-linux vimPlugins.gleam-vim | |
x86_64-linux idrisPackages.farrp | |
x86_64-darwin perl538Packages.IPCShareLite | |
x86_64-darwin emacsPackages.link | |
x86_64-darwin haskellPackages.only | |
x86_64-linux gst_all_1.gst-plugins-ugly | |
aarch64-darwin xorg.luit | |
aarch64-darwin haskellPackages.fakepull | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.lenovo-legion-module | |
x86_64-darwin python311Packages.dbfread | |
aarch64-darwin python311Packages.slpp | |
x86_64-linux python312Packages.upnpy | |
aarch64-darwin postgresqlJitPackages.pg_rational | |
aarch64-linux dockerfile-language-server-nodejs | |
aarch64-darwin emacsPackages.dix-evil | |
x86_64-linux linuxKernel.packages.linux_6_8.tuxedo-keyboard | |
x86_64-linux haskellPackages.imagefilters | |
aarch64-darwin haskellPackages.IndentParser | |
x86_64-linux vala | |
aarch64-darwin python311Packages.scs | |
aarch64-darwin python311Packages.tableauserverclient | |
aarch64-linux python311Packages.dtlssocket | |
x86_64-linux lua51Packages.argparse | |
aarch64-darwin python312Packages.botocore-stubs | |
x86_64-linux castnow | |
x86_64-darwin perl536Packages.ClassLoad | |
x86_64-darwin pantalaimon-headless | |
aarch64-darwin python311Packages.types-aiobotocore-kafka | |
x86_64-linux python312Packages.faraday-agent-parameters-types | |
aarch64-linux emacsPackages.realgud-lldb | |
aarch64-linux vimPlugins.telescope-fzf-native-nvim | |
x86_64-linux lrdf | |
x86_64-darwin vimPlugins.vim-ocaml | |
x86_64-darwin haskellPackages.cabal-plan | |
aarch64-linux linuxKernel.packages.linux_5_10.trelay | |
x86_64-linux haskellPackages.abstract-deque | |
aarch64-darwin haskellPackages.simple-get-opt | |
aarch64-darwin python312Packages.confuse | |
aarch64-darwin python311Packages.mypy-boto3-marketplace-entitlement | |
x86_64-linux linuxPackages_5_10_hardened.akvcam | |
x86_64-linux emacsPackages.browse-at-remote | |
x86_64-linux python312Packages.deepwave | |
aarch64-darwin ssh-askpass-fullscreen | |
aarch64-darwin python312Packages.trfl | |
aarch64-linux linuxKernel.packages.linux_6_1.virtio_vmmci | |
x86_64-linux python312Packages.tikzplotlib | |
x86_64-linux animatch | |
x86_64-linux emacsPackages.lsp-pascal | |
aarch64-linux haskellPackages.data-checked | |
aarch64-linux dendrite | |
aarch64-linux haskellPackages.run-st | |
aarch64-linux poetry2conda | |
x86_64-linux open62541 | |
x86_64-darwin python311Packages.crcmod | |
x86_64-darwin python312Packages.types-aiobotocore-route53-recovery-control-config | |
x86_64-darwin haskellPackages.wai-middleware-static | |
x86_64-darwin perl538Packages.FileDirList | |
x86_64-linux libreswan | |
aarch64-darwin postgresql13JitPackages.pg_hll | |
x86_64-darwin bsync | |
aarch64-linux deepin.dde-dock | |
x86_64-darwin python311Packages.pyjks | |
aarch64-darwin emacsPackages.virtual-auto-fill | |
aarch64-linux haskell.compiler.native-bignum.ghc94 | |
aarch64-linux optifinePackages.optifine_1_16_4 | |
aarch64-linux azure-cli-extensions.spring-cloud | |
aarch64-linux rubyPackages_3_3.syntax_tree-rbs | |
x86_64-linux libsForQt5.syndication | |
x86_64-linux postgresql14Packages.citus | |
aarch64-linux xdg-utils | |
aarch64-linux poetryPlugins.poetry-plugin-poeblix | |
aarch64-darwin emacsPackages.alsamixer | |
x86_64-linux rubyPackages_3_2.colored2 | |
aarch64-darwin emacsPackages.osx-plist | |
aarch64-darwin postgresqlJitPackages.citus | |
aarch64-darwin python311Packages.hole | |
x86_64-linux reftools | |
x86_64-linux python312Packages.colored | |
aarch64-darwin haskellPackages.SafeSemaphore | |
x86_64-darwin python312Packages.pythonnet | |
x86_64-darwin python311Packages.oss2 | |
x86_64-darwin python311Packages.falcon | |
x86_64-darwin python312Packages.graphite-web | |
x86_64-darwin plasma5Packages.qt5.qtdatavis3d | |
aarch64-darwin haskellPackages.full-text-search | |
aarch64-darwin chickenPackages_5.chickenEggs.autocompile | |
x86_64-darwin iosevka-comfy.comfy-duo | |
aarch64-linux python311Packages.od | |
x86_64-linux haskellPackages.gdelt | |
x86_64-darwin emacsPackages.undo-tree | |
x86_64-darwin hamlib_3 | |
aarch64-linux python311Packages.pycuda | |
x86_64-darwin rubyPackages_3_3.actioncable | |
x86_64-linux haskellPackages.error-or | |
aarch64-linux python312Packages.rangehttpserver | |
x86_64-linux sbclPackages.anaphora | |
aarch64-linux lua51Packages.lpeg | |
x86_64-linux emacsPackages.skeletor | |
aarch64-darwin haskellPackages.hs-opentelemetry-vendor-honeycomb | |
x86_64-linux python312Packages.hickle | |
x86_64-linux linuxPackages_xanmod_latest.ddcci-driver | |
aarch64-darwin python312Packages.types-docopt | |
aarch64-linux python312Packages.django-csp | |
x86_64-linux cosmic-store | |
aarch64-darwin python311Packages.rope | |
aarch64-linux marimo | |
aarch64-linux libgdiplus | |
x86_64-darwin python311Packages.pytado | |
aarch64-linux libretro.parallel-n64 | |
x86_64-linux mpsolve | |
x86_64-linux emacsPackages.chinese-number | |
x86_64-linux plasma5Packages.qqc2-breeze-style | |
aarch64-linux linux_xanmod_stable | |
aarch64-darwin haskellPackages.castagnoli | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.fwts-efi-runtime | |
x86_64-darwin haskellPackages.numbering | |
aarch64-linux plasma5Packages.picmi | |
x86_64-linux gnomeExtensions.multicore-system-monitor | |
x86_64-linux snac2 | |
aarch64-darwin zookeeper_mt | |
x86_64-linux coqPackages.pocklington | |
x86_64-darwin haskellPackages.elm-syntax | |
aarch64-darwin perl538Packages.WWWMechanize | |
aarch64-darwin python312Packages.jupyter-client | |
aarch64-linux rubyPackages_3_2.charlock_holmes | |
aarch64-darwin emacsPackages.color-theme-approximate | |
aarch64-darwin python312Packages.dsmr-parser | |
x86_64-linux pamix | |
aarch64-darwin python312Packages.ldfparser | |
x86_64-darwin emacsPackages.elmacro | |
x86_64-darwin python311Packages.halohome | |
x86_64-linux emacsPackages.gs-mode | |
aarch64-linux galculator | |
x86_64-darwin oranda | |
x86_64-darwin python311Packages.pyro5 | |
x86_64-linux vimPlugins.Jenkinsfile-vim-syntax | |
aarch64-linux prosody | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.xpadneo | |
aarch64-linux catimg | |
x86_64-darwin python312Packages.ruyaml | |
aarch64-darwin python312Packages.anthemav | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.nix-cmd | |
x86_64-linux oneDNN | |
aarch64-darwin haskellPackages.strict-list | |
x86_64-darwin xorg.fontbhlucidatypewriter75dpi | |
x86_64-darwin perl536Packages.commonsense | |
aarch64-linux python312Packages.ansicolor | |
x86_64-linux lexmark-aex | |
x86_64-linux vncrec | |
x86_64-linux liboqs | |
aarch64-linux llvm_13 | |
aarch64-darwin emacsPackages.programmer-dvorak | |
x86_64-linux gnomeExtensions.light-style | |
aarch64-linux lomiri.lomiri-schemas | |
aarch64-linux flpsed | |
x86_64-linux rubyPackages.pcaprub | |
aarch64-linux emacsPackages.flycheck-nimsuggest | |
aarch64-linux emacsPackages.melpa-upstream-visit | |
aarch64-darwin pushup | |
aarch64-darwin haskellPackages.ihs | |
aarch64-darwin python311Packages.pysigset | |
aarch64-darwin qrupdate | |
aarch64-linux python312Packages.pytlv | |
x86_64-darwin flowgger | |
x86_64-linux libretro.snes9x2005 | |
x86_64-linux python312Packages.mdurl | |
x86_64-linux wlroots_0_16 | |
x86_64-darwin perl536Packages.BKeywords | |
x86_64-linux emacsPackages.network-watch | |
aarch64-linux postgresql15JitPackages.pg_topn | |
x86_64-darwin python311Packages.ftfy | |
x86_64-linux haskellPackages.monad-loops | |
aarch64-linux haskellPackages.array-builder | |
aarch64-darwin rubyPackages.cairo-gobject | |
x86_64-darwin vimPlugins.vim-vue-plugin | |
x86_64-darwin vimPlugins.vim-clap | |
x86_64-linux python312Packages.plugnplay | |
x86_64-darwin emacsPackages.rnc-mode | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.diff | |
x86_64-linux luajitPackages.busted | |
aarch64-linux python312Packages.gradio-pdf | |
aarch64-darwin python312Packages.rapidgzip | |
aarch64-darwin harmonist | |
x86_64-linux python311Packages.smart-meter-texas | |
x86_64-darwin python311Packages.ipyniivue | |
aarch64-linux emacsPackages.sokoban | |
aarch64-darwin python311Packages.soundcloud-v2 | |
aarch64-darwin otel-desktop-viewer | |
x86_64-darwin haskellPackages.free-alacarte | |
aarch64-darwin python311Packages.pytest-resource-path | |
aarch64-linux python312Packages.ordered-set | |
x86_64-darwin hunspellDicts.es_PA | |
x86_64-darwin rubyPackages_3_2.rbnacl | |
aarch64-darwin swego | |
x86_64-darwin elasticsearch-curator | |
aarch64-darwin scons_4_5_2 | |
x86_64-linux yakut | |
x86_64-linux haskellPackages.jacinda | |
aarch64-linux haskellPackages.lambdabot-trusted | |
x86_64-linux python311Packages.email-validator | |
aarch64-linux ubootRaspberryPi4_64bit | |
x86_64-linux tlaps | |
aarch64-linux haskellPackages.monad-unlift-ref | |
aarch64-linux emacsPackages.slime-docker | |
x86_64-linux doq | |
x86_64-linux nix-doc | |
x86_64-darwin haskellPackages.TypeNat | |
x86_64-darwin haskellPackages.cpuid | |
x86_64-linux emacsPackages.peg | |
x86_64-linux libpulseaudio | |
aarch64-darwin python312Packages.journalwatch | |
aarch64-darwin python311Packages.rply | |
x86_64-linux kdePackages.taglib | |
x86_64-linux python311Packages.mwoauth | |
aarch64-linux home-assistant-component-tests.velux | |
x86_64-linux python311Packages.amqp | |
aarch64-linux cgit | |
aarch64-linux python312Packages.pytest-localserver | |
x86_64-darwin emacsPackages.sudo-ext | |
x86_64-darwin emacsPackages.ido-springboard | |
x86_64-darwin vimPlugins.telescope-vim-bookmarks-nvim | |
aarch64-linux emacsPackages.mkdown | |
x86_64-linux python312Packages.types-aiobotocore-sso-admin | |
x86_64-darwin lmmath | |
x86_64-linux linuxKernel.packages.linux_6_1.new-lg4ff | |
aarch64-linux rocmPackages_6.hipify | |
x86_64-darwin emacsPackages.zone-nyan | |
aarch64-linux python311Packages.lizard | |
x86_64-linux python311Packages.glueviz | |
aarch64-linux luajitPackages.luassert | |
x86_64-linux cargo-wizard | |
aarch64-darwin python312Packages.mypy-boto3-neptunedata | |
aarch64-darwin urlhunter | |
x86_64-linux linuxPackages_4_19_hardened.dpdk-kmods | |
x86_64-linux python311Packages.mailmanclient | |
x86_64-linux python312Packages.flask-paranoid | |
x86_64-linux vimPlugins.neovim-sensible | |
x86_64-linux python311Packages.sentence-transformers | |
x86_64-darwin doge | |
x86_64-linux haskellPackages.Yampa-core | |
x86_64-linux emacsPackages.ac-js2 | |
aarch64-linux haskellPackages.profunctor-misc | |
x86_64-darwin vtm | |
aarch64-linux python312Packages.tunigo | |
aarch64-linux python312Packages.xmodem | |
aarch64-darwin emacsPackages.ditz-mode | |
aarch64-darwin python311Packages.pytest-rerunfailures | |
x86_64-darwin rubyPackages_3_3.ruby2_keywords | |
x86_64-linux python311Packages.isoduration | |
aarch64-linux vimPlugins.neocord | |
aarch64-linux llvmPackages_17.lld | |
x86_64-linux haskellPackages.positive | |
aarch64-linux rubyPackages_3_3.rspec-expectations | |
aarch64-linux linuxPackages_xanmod_latest.nct6687d | |
aarch64-linux mirrorbits | |
x86_64-darwin libsForQt5.kconfigwidgets | |
aarch64-linux emacsPackages.helm-wordnet | |
x86_64-darwin python312Packages.deeptoolsintervals | |
aarch64-darwin perl536Packages.ClassUnload | |
x86_64-linux python311Packages.gpib-ctypes | |
aarch64-linux fftwMpi | |
aarch64-darwin python311Packages.adb-shell | |
x86_64-linux citations | |
x86_64-darwin emacsPackages.promise | |
x86_64-linux python311Packages.simplekv | |
aarch64-darwin rubyPackages_3_3.gtk3 | |
x86_64-darwin python312Packages.cirq-ft | |
x86_64-darwin haskellPackages.lhs2html | |
x86_64-darwin tv | |
aarch64-linux emacsPackages.forest-blue-theme | |
x86_64-linux haskellPackages.monad-dijkstra | |
x86_64-linux python312Packages.aiohttp | |
x86_64-darwin haskellPackages.mutable-containers | |
x86_64-darwin nufmt | |
x86_64-linux haskellPackages.servant-foreign | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.sysdig | |
aarch64-linux terragrunt | |
x86_64-linux rubyPackages_3_3.typhoeus | |
aarch64-darwin spf-engine | |
aarch64-linux bullet | |
x86_64-linux ctranslate2 | |
x86_64-linux emacsPackages.pyim-wbdict | |
x86_64-darwin python311Packages.django-currentuser | |
x86_64-linux gnuradio3_8Packages.ais | |
x86_64-linux python312Packages.karton-core | |
x86_64-linux gf | |
x86_64-linux libagar | |
aarch64-linux haskellPackages.amazonka-s3 | |
x86_64-linux python312Packages.sortedcollections | |
aarch64-linux python312Packages.types-aiobotocore | |
aarch64-darwin haskellPackages.tasty-quickcheck-laws | |
aarch64-linux plasma5Packages.qt3d | |
aarch64-linux vimPlugins.modicator-nvim | |
aarch64-darwin rubyPackages.jmespath | |
aarch64-darwin oobicpl | |
x86_64-linux pablodraw | |
x86_64-darwin emacsPackages.awk-ts-mode | |
aarch64-linux engauge-digitizer | |
aarch64-linux python312Packages.django_3 | |
x86_64-linux emacsPackages.dired-dups | |
aarch64-darwin python311Packages.redis | |
aarch64-darwin python312Packages.parse | |
aarch64-darwin emacsPackages.cedit | |
aarch64-darwin pm2 | |
x86_64-linux linuxKernel.packages.linux_6_6.ipu6-drivers | |
x86_64-darwin rubyPackages_3_1.mime-types-data | |
aarch64-darwin haskellPackages.fail | |
x86_64-linux emacsPackages.chef-mode | |
aarch64-linux sequoia-sq | |
x86_64-linux python312Packages.django-configurations | |
aarch64-linux haskellPackages.partial-handler | |
x86_64-darwin python312Packages.outlines | |
x86_64-darwin haskellPackages.ebird-client | |
aarch64-darwin amfora | |
x86_64-darwin python311Packages.types-aiobotocore-mediatailor | |
aarch64-linux linuxKernel.packages.linux_4_19.cpupower | |
x86_64-darwin perl536Packages.CatalystPluginStackTrace | |
x86_64-linux haskellPackages.dynamic | |
x86_64-darwin python311Packages.formbox | |
x86_64-darwin httpdump | |
x86_64-linux haskellPackages.exp-pairs | |
aarch64-linux ffmpeg_5 | |
aarch64-darwin uiua | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.mwprocapture | |
aarch64-darwin perl538Packages.TextPDF | |
aarch64-darwin python312Packages.rst2ansi | |
aarch64-darwin perl536Packages.CPANMetaCheck | |
aarch64-linux gnome.gnome-mahjongg | |
x86_64-linux klipperscreen | |
x86_64-darwin perl536Packages.ExtUtilsMakeMakerCPANfile | |
aarch64-darwin python311Packages.celery-types | |
x86_64-linux molotov | |
aarch64-linux python311Packages.llama-index-graph-stores-neptune | |
x86_64-linux haskellPackages.conversion-case-insensitive | |
aarch64-linux python312Packages.aiosmtplib | |
x86_64-linux zenmonitor | |
x86_64-darwin chickenPackages_5.chickenEggs.arrays | |
x86_64-darwin haskellPackages.hasql-listen-notify | |
aarch64-linux python311Packages.shiv | |
x86_64-darwin perl538Packages.NetCIDRLite | |
aarch64-linux brotli | |
x86_64-linux linuxPackages_xanmod_latest.batman_adv | |
x86_64-linux haskellPackages.dotgen | |
x86_64-linux prometheus-surfboard-exporter | |
x86_64-darwin wmderlandc | |
aarch64-darwin vimPlugins.space-vim | |
x86_64-linux linuxPackages_5_15_hardened.trelay | |
x86_64-linux python311Packages.python-velbus | |
aarch64-linux emacsPackages.external-completion | |
x86_64-linux spirv-cross | |
x86_64-darwin webalizer | |
aarch64-linux idrisPackages.electron | |
x86_64-linux emacsPackages.ron-mode | |
x86_64-linux python311Packages.eventkit | |
aarch64-linux python311Packages.shtab | |
aarch64-linux python312Packages.pytest-mypy-plugins | |
aarch64-linux python312Packages.webauthn | |
aarch64-linux python312Packages.luftdaten | |
aarch64-darwin haskellPackages.websockets-json | |
aarch64-darwin uxplay | |
x86_64-linux kdePackages.mlt | |
aarch64-darwin python312Packages.dissect-fat | |
aarch64-linux mu-repo | |
x86_64-linux haskellPackages.ttn | |
x86_64-darwin rubyPackages.sawyer | |
aarch64-darwin haskellPackages.SWMMoutGetMB | |
x86_64-linux emacsPackages.flymake-less | |
aarch64-linux openmoji-color | |
aarch64-linux python311Packages.pep440 | |
x86_64-linux python311Packages.qpsolvers | |
x86_64-linux python312Packages.pycomfoconnect | |
x86_64-darwin termshark | |
x86_64-darwin emacsPackages.dired-rsync-transient | |
x86_64-linux LibreArp | |
aarch64-darwin syncthing-relay | |
x86_64-linux gotosocial | |
x86_64-linux redoc-cli | |
aarch64-darwin python312Packages.python-gnupg | |
x86_64-darwin vim-language-server | |
aarch64-darwin emacsPackages.smmry | |
aarch64-darwin python311Packages.sslib | |
aarch64-linux bucklespring-libinput | |
x86_64-darwin python311Packages.snakemake-interface-report-plugins | |
aarch64-linux home-assistant-component-tests.sensorpro | |
x86_64-darwin perl536Packages.RegexpIPv6 | |
aarch64-linux haskellPackages.tree-sitter-typescript | |
aarch64-linux vimPlugins.git-messenger-vim | |
aarch64-linux python312Packages.inotify-simple | |
aarch64-linux libsForQt5.qtcharts | |
x86_64-darwin commonsCompress | |
x86_64-linux vscode-extensions.ms-python.vscode-pylance | |
aarch64-linux emacsPackages.color-theme-approximate | |
aarch64-darwin emacsPackages.gh-notify | |
x86_64-linux lua52Packages.lua-subprocess | |
x86_64-darwin rubyPackages.magic | |
x86_64-darwin python311Packages.osmpythontools | |
aarch64-linux emacsPackages.company-posframe | |
aarch64-linux python311Packages.dronecan | |
aarch64-darwin geckodriver | |
aarch64-darwin perl536Packages.SpreadsheetParseExcel | |
x86_64-linux python311Packages.log-symbols | |
x86_64-darwin perl538Packages.RegexpIPv6 | |
x86_64-linux linuxKernel.packages.linux_lqx.amdgpu-pro | |
aarch64-linux python312Packages.bluecurrent-api | |
x86_64-linux llvmPackages_18.bintoolsNoLibc | |
x86_64-darwin python311Packages.tlds | |
aarch64-darwin python311Packages.cheroot | |
aarch64-linux python311Packages.tabview | |
aarch64-linux python312Packages.unix-ar | |
x86_64-darwin rubyPackages_3_3.http-accept | |
x86_64-linux coqPackages.mathcomp-finmap | |
aarch64-darwin python312Packages.django-q | |
x86_64-linux xdg-desktop-portal-shana | |
aarch64-linux python311Packages.pycdio | |
aarch64-linux python311Packages.pymoo | |
x86_64-linux python2Full | |
x86_64-darwin lua54Packages.lua_cliargs | |
x86_64-linux terraform-providers.googleworkspace | |
x86_64-darwin apeClex | |
aarch64-linux clang-tools_13 | |
aarch64-linux git-credential-gopass | |
aarch64-linux haskellPackages.ghc-magic-dict-compat | |
x86_64-darwin llhttp | |
aarch64-darwin libretro.easyrpg | |
aarch64-darwin haskellPackages.eq | |
aarch64-linux haskellPackages.multistate | |
x86_64-linux linuxPackages_xanmod.tp_smapi | |
aarch64-linux tesseract5 | |
x86_64-linux libqtdbusmock | |
aarch64-darwin python311Packages.pytradfri | |
aarch64-linux vimPlugins.yescapsquit-vim | |
aarch64-linux hakrawler | |
aarch64-linux cinnamon.cinnamon-desktop | |
x86_64-darwin python311Packages.azure-mgmt-scheduler | |
x86_64-linux fcitx5-mozc | |
x86_64-linux foxtrotgps | |
aarch64-darwin perl536Packages.Mojomysql | |
x86_64-linux python311Packages.aiocsv | |
aarch64-darwin swiftPackages.clang | |
x86_64-linux mt32emu-smf2wav | |
aarch64-darwin python312Packages.pyosohotwaterapi | |
aarch64-linux haskellPackages.webkit2gtk3-javascriptcore | |
aarch64-linux python311Packages.types-aiobotocore-kendra | |
aarch64-linux python311Packages.safety | |
x86_64-linux python311Packages.labelbox | |
x86_64-darwin python311Packages.repeated-test | |
aarch64-darwin emacsPackages.oauth2-request | |
aarch64-darwin cln | |
x86_64-darwin lightwalletd | |
x86_64-linux emacsPackages.gherkin-mode | |
x86_64-linux python311Packages.pymdstat | |
aarch64-linux haskellPackages.yst | |
x86_64-linux qt6Packages.drumstick | |
aarch64-linux emacsPackages.sis | |
x86_64-linux emacsPackages.keyword-search | |
x86_64-darwin haskellPackages.hascal | |
x86_64-darwin haskellPackages.heckin | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.diff | |
aarch64-darwin emacsPackages.geiser-gauche | |
x86_64-darwin postgresql13Packages.pg_hint_plan | |
aarch64-linux python312Packages.http-ece | |
x86_64-linux protoc-gen-twirp_php | |
aarch64-darwin haskellPackages.should-not-typecheck | |
x86_64-linux python311Packages.unrpa | |
aarch64-darwin php82Packages.grumphp | |
x86_64-darwin ilmbase | |
aarch64-linux python312Packages.requests-gssapi | |
x86_64-linux pulumiPackages.pulumi-aws-native | |
x86_64-darwin emacsPackages.fill-page | |
aarch64-darwin idrisPackages.sdl2 | |
x86_64-linux python312Packages.pytest-testmon | |
aarch64-linux phpunit | |
aarch64-darwin python311Packages.grammalecte | |
x86_64-darwin vimPlugins.completion-nvim | |
x86_64-linux python311Packages.cftime | |
aarch64-darwin python311Packages.configshell | |
x86_64-darwin python312Packages.types-s3transfer | |
x86_64-darwin emacsPackages.splitter | |
x86_64-linux vimPlugins.julia-vim | |
aarch64-linux haskellPackages.raaz | |
aarch64-darwin emacsPackages.noaa | |
x86_64-darwin python312Packages.python-mystrom | |
aarch64-darwin perl538Packages.AnyEventHTTP | |
x86_64-linux armips | |
x86_64-linux haskellPackages.bzlib | |
x86_64-linux fileinfo | |
x86_64-darwin emacsPackages.amread-mode | |
x86_64-linux vimPlugins.cmp-latex-symbols | |
x86_64-linux python311Packages.pyads | |
x86_64-linux python311Packages.bond-api | |
aarch64-darwin perl538Packages.DistZillaPluginTestMinimumVersion | |
x86_64-linux emacsPackages.enlightened-theme | |
aarch64-darwin emacsPackages.psession | |
x86_64-linux python312Packages.pygrok | |
x86_64-linux python311Packages.temperusb | |
aarch64-linux haskellPackages.hoauth2 | |
x86_64-darwin abseil-cpp_202401 | |
x86_64-linux emacsPackages.erc-colorize | |
aarch64-linux haskellPackages.bindings-audiofile | |
aarch64-darwin python312Packages.lima | |
aarch64-darwin rubyPackages_3_1.http | |
x86_64-linux faust2jaqt | |
x86_64-linux gnomeExtensions.forge | |
aarch64-linux gdrive3 | |
x86_64-darwin chickenPackages_5.chickenEggs.messages | |
x86_64-linux vimPlugins.telescope-zoxide | |
aarch64-linux haskellPackages.strict-base-types | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.rtl88x2bu | |
aarch64-linux haskellPackages.genvalidity-typed-uuid | |
x86_64-linux haskellPackages.monadology | |
x86_64-linux python312Packages.types-aiobotocore-mq | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.xone | |
x86_64-darwin mimir | |
aarch64-linux linuxKernel.packages.linux_hardened.zfs_2_1 | |
x86_64-linux rubyPackages_3_2.mime-types | |
x86_64-linux python311Packages.codepy | |
aarch64-linux python311Packages.idna-ssl | |
aarch64-linux python312Packages.demetriek | |
x86_64-linux pass-wayland | |
aarch64-linux nightfox-gtk-theme | |
aarch64-linux lua52Packages.luarocks | |
x86_64-darwin emacsPackages.org-fragtog | |
aarch64-linux haskellPackages.rest-rewrite | |
aarch64-darwin inflow | |
x86_64-linux python312Packages.vobject | |
aarch64-darwin vimPlugins.vim-colors-solarized | |
aarch64-darwin soundmodem | |
aarch64-linux python311Packages.greatfet | |
x86_64-darwin python312Packages.types-aiobotocore-kendra | |
aarch64-linux haskellPackages.mancala | |
x86_64-darwin emacsPackages.ample-zen-theme | |
aarch64-darwin haskellPackages.hxt-charproperties | |
x86_64-darwin python312Packages.mypy-boto3-machinelearning | |
aarch64-linux haskellPackages.full-text-search | |
x86_64-darwin shaperglot | |
aarch64-darwin cargo-cyclonedx | |
x86_64-darwin python311Packages.fortiosapi | |
aarch64-linux emacsPackages.url-http-ntlm | |
aarch64-linux clang-sierraHack | |
x86_64-darwin haskellPackages.nondeterminism | |
x86_64-linux python312Packages.vsure | |
x86_64-darwin qt5.qtnetworkauth | |
aarch64-linux kubernetes-code-generator | |
x86_64-linux perl536Packages.BioDBHTS | |
aarch64-darwin haskellPackages.DAV | |
x86_64-darwin python312Packages.lizard | |
x86_64-darwin vim | |
aarch64-linux ragel | |
aarch64-linux emacsPackages.projekt | |
x86_64-linux python311Packages.mypy-boto3-personalize-events | |
x86_64-darwin python311Packages.knack | |
aarch64-linux linuxPackages-libre.nvidia_x11 | |
aarch64-darwin python312Packages.pyotgw | |
aarch64-darwin haskellPackages.dobutokO-effects | |
aarch64-darwin lua51Packages.nfd | |
aarch64-darwin nanopb | |
x86_64-linux python311Packages.base64io | |
x86_64-linux python311Packages.obspy | |
aarch64-linux veusz | |
aarch64-linux home-assistant-component-tests.input_boolean | |
aarch64-darwin python312Packages.azure-keyvault | |
x86_64-darwin haskellPackages.names | |
x86_64-linux haskellPackages.hls-plugin-api | |
aarch64-darwin python312Packages.types-aiobotocore-codeartifact | |
x86_64-darwin haskellPackages.doctest-discover | |
x86_64-linux haskellPackages.amazonka-ram | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.evdi | |
aarch64-darwin emacsPackages.omnisharp | |
x86_64-darwin python311Packages.uptime-kuma-api | |
x86_64-darwin planus | |
x86_64-darwin haskellPackages.streaming-commons | |
aarch64-linux haskellPackages.aern2-real | |
aarch64-darwin emacsPackages.robot-mode | |
x86_64-linux octavePackages.econometrics | |
x86_64-linux emacsPackages.evil-text-object-python | |
x86_64-linux python311Packages.tableauserverclient | |
aarch64-linux xorg.xcmsdb | |
x86_64-darwin chickenPackages_5.chickenEggs.sdl-base | |
aarch64-darwin fftwMpi | |
aarch64-linux python312Packages.types-aiobotocore-marketplace-catalog | |
aarch64-linux python311Packages.simpy | |
x86_64-darwin python312Packages.audible | |
x86_64-darwin perl536Packages.TryTinyByClass | |
aarch64-darwin haskellPackages.amazonka-dynamodb | |
aarch64-darwin emacsPackages.shift-number | |
aarch64-darwin haskellPackages.html-validator-cli | |
aarch64-darwin python311Packages.dogpile-cache | |
x86_64-darwin cassandra_4 | |
aarch64-linux emacsPackages.js2-mode | |
aarch64-linux emacsPackages.projectile-sift | |
aarch64-linux vimPlugins.vim-fish | |
aarch64-linux plasma5Packages.kiconthemes | |
x86_64-darwin perl538Packages.HTMLTemplate | |
x86_64-darwin plumber | |
x86_64-linux linuxPackages_lqx.mwprocapture | |
aarch64-linux emacsPackages.turnip | |
x86_64-darwin patroni | |
aarch64-darwin python312Packages.mypy-boto3-personalize-runtime | |
x86_64-linux python312Packages.cupy | |
x86_64-linux emacsPackages.ace-mc | |
aarch64-linux emacsPackages.vs-dark-theme | |
aarch64-linux home-assistant-component-tests.escea | |
x86_64-darwin python311Packages.sunwatcher | |
x86_64-darwin python312Packages.google-cloud-firestore | |
aarch64-linux python312Packages.pytest-base-url | |
x86_64-darwin haskellPackages.hsblst | |
x86_64-linux python312Packages.intelhex | |
aarch64-linux md-tangle | |
aarch64-linux python312Packages.jsonslicer | |
x86_64-darwin emacsPackages.mindre-theme | |
aarch64-linux python311Packages.dj-email-url | |
x86_64-linux linuxPackages_zen.kvmfr | |
aarch64-darwin rubyPackages_3_1.minitest | |
x86_64-darwin haskellPackages.structs | |
x86_64-darwin haskellPackages.control-dotdotdot | |
x86_64-darwin perl536Packages.IODigest | |
aarch64-darwin python311Packages.types-aiobotocore-ecr | |
aarch64-darwin emacsPackages.magit-todos | |
aarch64-linux python311Packages.pyqt5-multimedia | |
x86_64-linux libprom | |
aarch64-darwin python311Packages.types-aiobotocore-pinpoint | |
aarch64-darwin cpm-cmake | |
aarch64-darwin python311Packages.types-aiobotocore-controltower | |
x86_64-darwin sbt | |
x86_64-linux specr-transpile | |
aarch64-darwin python312Packages.find-libpython | |
x86_64-linux python311Packages.psutil-home-assistant | |
aarch64-darwin perl538Packages.GetoptArgvFile | |
aarch64-darwin python312Packages.python-motionmount | |
aarch64-linux python311Packages.mypy-boto3-entityresolution | |
x86_64-darwin python312Packages.reactivex | |
aarch64-linux emacsPackages.ivy-xcdoc | |
aarch64-linux emacsPackages.northcode-theme | |
aarch64-darwin emacsPackages.exercism | |
x86_64-linux np | |
aarch64-darwin haskellPackages.monad-memo | |
x86_64-linux rubyPackages_3_3.cocoapods-open | |
aarch64-darwin perl536Packages.CSSSquish | |
aarch64-linux rubyPackages_3_2.io-console | |
x86_64-linux python311Packages.deepwave | |
x86_64-linux emacsPackages.eval-in-repl | |
x86_64-darwin cargo-dist | |
x86_64-darwin guile-gcrypt | |
x86_64-linux php81Extensions.grpc | |
x86_64-linux python311Packages.pysiaalarm | |
aarch64-linux linuxPackages_xanmod.framework-laptop-kmod | |
aarch64-darwin perl536Packages.HTMLTiny | |
x86_64-darwin haskellPackages.regex-rure | |
x86_64-linux haskellPackages.acl2 | |
aarch64-darwin haskellPackages.chell | |
x86_64-linux home-assistant-component-tests.mailbox | |
aarch64-linux zs-apc-spdu-ctl | |
aarch64-darwin mockgen | |
x86_64-darwin python311Packages.cloup | |
aarch64-darwin emacsPackages.git-blamed | |
aarch64-linux libretro.snes9x2002 | |
aarch64-darwin simple-http-server | |
x86_64-darwin pnglatex | |
aarch64-linux haskellPackages.countable-inflections | |
aarch64-linux python312Packages.types-aiobotocore-emr | |
x86_64-darwin emacsPackages.anki-editor | |
aarch64-linux azure-cli-extensions.peering | |
aarch64-darwin rubyPackages_3_1.mime-types | |
aarch64-linux haskellPackages.pbkdf | |
x86_64-linux python311Packages.asmog | |
x86_64-linux emacsPackages.opam | |
aarch64-linux python312Packages.bech32 | |
aarch64-linux postgresql14JitPackages.pg_partman | |
x86_64-linux python312Packages.webssh | |
x86_64-darwin recutils | |
aarch64-darwin dav1d | |
x86_64-darwin python311Packages.tilt-ble | |
x86_64-linux rubyPackages_3_2.jekyll | |
aarch64-darwin chickenPackages_5.chickenEggs.uuid-lib | |
x86_64-linux left4gore-bin | |
x86_64-linux python311Packages.unicode-rbnf | |
aarch64-darwin emscriptenStdenv | |
x86_64-darwin emacsPackages.killer | |
x86_64-linux python311Packages.pysaj | |
aarch64-linux lua53Packages.lua-cjson | |
x86_64-linux github-desktop | |
x86_64-linux haskellPackages.cookbook | |
x86_64-darwin air | |
x86_64-darwin i3a | |
x86_64-linux haskellPackages.semver | |
aarch64-darwin mdbook-pagetoc | |
aarch64-darwin wordnet | |
x86_64-darwin emacsPackages.easy-escape | |
x86_64-darwin python311Packages.pytest-dotenv | |
aarch64-darwin emacsPackages.flycheck-cstyle | |
aarch64-linux python311Packages.astropy-helpers | |
x86_64-linux emacsPackages.espuds | |
x86_64-linux labwc-tweaks | |
aarch64-darwin lukesmithxyz-bible-kjv | |
x86_64-darwin python311Packages.types-aiobotocore-sso-oidc | |
aarch64-linux python311Packages.types-aiobotocore-forecastquery | |
aarch64-linux hamlib | |
x86_64-linux lua52Packages.luarocks-nix | |
aarch64-linux luarocks | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.decklink | |
aarch64-darwin perl538Packages.podlinkcheck | |
x86_64-linux python311Packages.aiolifx-effects | |
x86_64-linux haskellPackages.optparse-version | |
aarch64-darwin perl538Packages.CGIEmulatePSGI | |
x86_64-darwin home-assistant-custom-components.local_luftdaten | |
x86_64-linux python312Packages.qt-material | |
aarch64-linux python312Packages.casbin | |
aarch64-darwin ldmud | |
x86_64-linux python311Packages.inifile | |
x86_64-darwin python312Packages.cbor | |
x86_64-linux rustdesk-flutter | |
aarch64-linux emacsPackages.selcand | |
aarch64-darwin venta | |
x86_64-linux emacsPackages.ample-regexps | |
aarch64-linux pantheon.appcenter | |
x86_64-darwin haskellPackages.kind-generics | |
x86_64-darwin python312Packages.demetriek | |
aarch64-darwin perl538Packages.Dotenv | |
aarch64-linux haskellPackages.quickcheck-simple | |
aarch64-linux kuttl | |
aarch64-darwin python311Packages.pyglm | |
aarch64-linux tlrc | |
x86_64-linux fdroidcl | |
aarch64-linux perl538Packages.CairoGObject | |
aarch64-darwin rubyPackages_3_1.mustermann | |
aarch64-linux cinnamon.nemo-emblems | |
aarch64-darwin nq | |
aarch64-linux emacsPackages.bibslurp | |
x86_64-linux movine | |
x86_64-linux python312Packages.flashtext | |
x86_64-linux python312Packages.lrcalc-python | |
x86_64-linux python311Packages.griffe | |
x86_64-darwin perl536Packages.AlienBuildPluginDownloadGitLab | |
x86_64-linux python311Packages.setuptools-odoo | |
aarch64-linux cudaPackages.cuda_documentation | |
aarch64-linux emacsPackages.epl | |
aarch64-linux rubyPackages_3_2.zookeeper | |
x86_64-linux terraform-providers.hcloud | |
aarch64-darwin pwncat | |
x86_64-linux stargazer | |
x86_64-darwin chickenPackages_5.chickenEggs.iterators | |
aarch64-darwin python312Packages.expecttest | |
aarch64-linux fltk14 | |
x86_64-linux python311Packages.django-scheduler | |
aarch64-darwin python311Packages.geant4 | |
aarch64-darwin ripgrep | |
aarch64-linux python311Packages.cirq-ft | |
x86_64-linux elasticsearchPlugins.discovery-ec2 | |
x86_64-darwin deno | |
x86_64-darwin python311Packages.shellescape | |
x86_64-linux python312Packages.pywebview | |
aarch64-darwin rtptools | |
x86_64-darwin tinyproxy | |
x86_64-linux haskellPackages.amazonka-opsworks-cm | |
x86_64-darwin emacsPackages.sourcepawn-mode | |
aarch64-darwin perl536Packages.ExtUtilsConfig | |
x86_64-darwin gir-rs | |
aarch64-darwin emacsPackages.pdfgrep | |
aarch64-darwin xorg.xkbevd | |
x86_64-linux python312Packages.pytest-httpbin | |
aarch64-darwin python312Packages.jaraco-collections | |
x86_64-linux fsautocomplete | |
aarch64-darwin cfitsio | |
aarch64-darwin emacsPackages.brec-mode | |
x86_64-linux gnome.file-roller | |
aarch64-linux libsForQt5.nota | |
x86_64-darwin haskellPackages.markdown-unlit | |
x86_64-linux haskellPackages.compensated | |
aarch64-darwin perl536Packages.ClassMethodModifiers | |
aarch64-darwin haskellPackages.bytezap | |
aarch64-linux ofono-phonesim | |
aarch64-darwin perl536Packages.MathPari | |
aarch64-darwin perl538Packages.TemplateGD | |
x86_64-darwin haskellPackages.hercules-ci-cli | |
aarch64-linux telepathy-mission-control | |
x86_64-linux home-assistant-component-tests.mikrotik | |
x86_64-linux ensemble-chorus | |
x86_64-darwin vimPlugins.tagalong-vim | |
aarch64-linux haskellPackages.control-bool | |
aarch64-darwin python312Packages.trackpy | |
x86_64-darwin emacsPackages.qrencode | |
aarch64-linux haskellPackages.cabal-fmt | |
aarch64-linux python311Packages.atomicwrites-homeassistant | |
x86_64-darwin dovecot_pigeonhole | |
aarch64-linux python311Packages.onetimepad | |
x86_64-linux tesh | |
aarch64-darwin cargo-bolero | |
x86_64-darwin perl536Packages.Test2ToolsFFI | |
aarch64-darwin python312Packages.mypy-boto3-fsx | |
aarch64-linux emacsPackages.ivy-historian | |
x86_64-linux vimPlugins.startup-nvim | |
x86_64-linux emacsPackages.ace-jump-mode | |
x86_64-linux python312Packages.pyswitchbee | |
aarch64-darwin python311Packages.azure-mgmt-nspkg | |
x86_64-darwin python312Packages.requests-credssp | |
x86_64-linux golink | |
aarch64-darwin python312Packages.imapclient | |
x86_64-darwin tilem | |
aarch64-linux rmfakecloud | |
x86_64-darwin rubyPackages_3_1.rmagick | |
x86_64-darwin haskellPackages.netlist | |
x86_64-darwin emacsPackages.julia-mode | |
x86_64-linux afew | |
aarch64-darwin vimPlugins.acp | |
aarch64-darwin emacsPackages.yeetube | |
aarch64-linux python311Packages.python-unshare | |
aarch64-linux kdePackages.kconfig | |
x86_64-linux python311Packages.astropy-iers-data | |
x86_64-darwin haskellPackages.html-truncate | |
aarch64-darwin python312Packages.cx-freeze | |
aarch64-linux temurin-jre-bin-18 | |
aarch64-linux linuxKernel.packages.linux_5_15.dpdk-kmods | |
aarch64-linux ubootTools | |
x86_64-linux haskellPackages.IndentParser | |
x86_64-linux linuxPackages_5_4_hardened.system76-acpi | |
x86_64-darwin python311Packages.pydrawise | |
aarch64-linux websecprobe | |
aarch64-linux haskellPackages.merge-bash-history | |
aarch64-darwin perl536Packages.TimeParseDate | |
aarch64-darwin piv-agent | |
aarch64-darwin perl538Packages.ScopeGuard | |
x86_64-darwin libsForQt5.qt5.qtnetworkauth | |
aarch64-darwin husky | |
aarch64-linux emacsPackages.decide | |
aarch64-linux qt6Packages.qthttpserver | |
aarch64-darwin emacsPackages.ob-fsharp | |
aarch64-darwin lua54Packages.luarocks-nix | |
x86_64-darwin python311Packages.nulltype | |
aarch64-darwin ecmtools | |
aarch64-linux python312Packages.autocommand | |
x86_64-linux plasma5Packages.qtdatavis3d | |
aarch64-darwin perl538Packages.HTTPCookieJar | |
aarch64-linux linuxPackages_6_1_hardened.odp-dpdk | |
aarch64-darwin haskellPackages.plotfont | |
x86_64-linux emacsPackages.multifiles | |
x86_64-darwin perl538Packages.DataRandom | |
aarch64-linux haskellPackages.propeller | |
x86_64-linux python311Packages.num2words | |
x86_64-linux python311Packages.svgelements | |
aarch64-darwin pyload-ng | |
aarch64-darwin python311Packages.pysmartapp | |
aarch64-linux python311Packages.drf-nested-routers | |
x86_64-darwin python312Packages.pydantic-yaml-0 | |
x86_64-darwin ffmpeg-full | |
aarch64-darwin star-history | |
x86_64-darwin python312Packages.potentials | |
x86_64-linux i-pi | |
aarch64-linux hbase3 | |
aarch64-darwin python311Packages.moonraker-api | |
aarch64-darwin emacsPackages.flyparens | |
x86_64-darwin perl538Packages.W3CLinkChecker | |
aarch64-darwin perl536Packages.MouseXSimpleConfig | |
aarch64-linux podman-compose | |
x86_64-linux aws-assume-role | |
x86_64-darwin coq_8_15 | |
aarch64-darwin haskellPackages.allocated-processor | |
x86_64-linux linuxKernel.packages.linux_5_4.nvidia_x11_stable_open | |
x86_64-darwin weechatScripts.buffer_autoset | |
aarch64-linux CuboCore.corehunt | |
aarch64-darwin haskellPackages.json | |
x86_64-linux python312Packages.html5tagger | |
aarch64-darwin python312Packages.django-mysql | |
aarch64-darwin python312Packages.pdoc-pyo3-sample-library | |
aarch64-darwin ergo | |
aarch64-darwin python312Packages.fasttext | |
aarch64-darwin llvmPackages_15.libstdcxxClang | |
aarch64-linux haskellPackages.numerical-integration | |
aarch64-linux tartube | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.mwprocapture | |
x86_64-linux python311Packages.mongodict | |
x86_64-linux python312Packages.pyopengl-accelerate | |
x86_64-darwin powerline | |
x86_64-darwin licensee | |
x86_64-linux emacsPackages.mvn | |
aarch64-linux perl536Packages.DistZillaPluginBundleTestingMania | |
x86_64-darwin rubyPackages_3_3.loofah | |
x86_64-linux haskellPackages.unusable-pkg | |
x86_64-darwin python311Packages.picosvg | |
x86_64-darwin haskellPackages.headroom | |
x86_64-darwin python312Packages.pydelijn | |
aarch64-darwin helm-dashboard | |
aarch64-darwin tests.cuda.cudaPackages_10_0.saxpy | |
x86_64-darwin perl538Packages.EnvPath | |
aarch64-linux fsrx | |
aarch64-darwin perl536Packages.DevelPPPort | |
x86_64-darwin emacsPackages.modaled | |
x86_64-linux libwacom-surface | |
x86_64-darwin perl536Packages.MetaBuilder | |
x86_64-darwin vimPlugins.vim-deus | |
x86_64-linux emacsPackages.madhat2r-theme | |
aarch64-linux mtr | |
x86_64-linux cvehound | |
x86_64-linux angryipscanner | |
aarch64-darwin python312Packages.denonavr | |
aarch64-linux spatialite_tools | |
x86_64-linux python311Packages.python-arango | |
aarch64-linux rubyPackages_3_3.activesupport | |
aarch64-darwin arrpc | |
x86_64-linux netcdf-mpi | |
aarch64-darwin haskellPackages.formatn | |
aarch64-linux python312Packages.libcloud | |
aarch64-darwin haskellPackages.readline | |
aarch64-darwin rubyPackages.coffee-script-source | |
x86_64-linux eagle | |
aarch64-linux haskellPackages.time-parsers | |
x86_64-darwin tests.cc-wrapper.llvmTests.llvmPackages_12.clang | |
x86_64-darwin haskellPackages.commonmark-wikilink | |
aarch64-linux haskellPackages.hakyll-convert | |
x86_64-linux emacsPackages.gist | |
x86_64-linux fontfinder | |
x86_64-linux fricas | |
aarch64-darwin emacsPackages.gulp-task-runner | |
aarch64-linux haskellPackages.servant-xstatic | |
aarch64-linux python312Packages.types-aiobotocore-kinesisvideo | |
x86_64-linux gnomeExtensions.mouse-follows-focus | |
x86_64-linux gecode | |
x86_64-darwin perl538Packages.TieCycle | |
x86_64-darwin emacsPackages.protobuf-ts-mode | |
x86_64-linux emacsPackages.org-ai | |
x86_64-linux python312Packages.flake8-docstrings | |
x86_64-darwin emacsPackages.consult-hatena-bookmark | |
aarch64-linux python311Packages.mypy-boto3-networkmanager | |
x86_64-linux linuxKernel.packages.linux_latest_libre.pktgen | |
x86_64-linux networkmanagerapplet | |
x86_64-darwin mosh | |
aarch64-darwin python312Packages.zxcvbn | |
aarch64-linux at-spi2-atk | |
aarch64-linux snort | |
x86_64-darwin python312Packages.mypy-boto3-sagemaker | |
x86_64-linux linuxPackages_xanmod_latest.system76-acpi | |
aarch64-linux prettier-d-slim | |
aarch64-darwin python312Packages.asyncssh | |
x86_64-linux python311Packages.mypy-boto3-wafv2 | |
aarch64-linux home-assistant-component-tests.stookalert | |
aarch64-darwin emacsPackages.doc-show-inline | |
x86_64-darwin emacsPackages.auto-async-byte-compile | |
x86_64-darwin haskellPackages.futhark-server | |
x86_64-darwin xorg.xcbutilimage | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.nvidia_x11_beta | |
x86_64-darwin emacsPackages.etc-sudoers-mode | |
aarch64-linux linuxKernel.packages.linux_hardened.usbip | |
x86_64-darwin darwin.libunwind | |
x86_64-linux mpdris2 | |
x86_64-linux python311Packages.connection-pool | |
x86_64-linux qt6.qtpositioning | |
aarch64-linux python312Packages.pysatochip | |
aarch64-linux python312Packages.mypy-boto3-ivs-realtime | |
x86_64-darwin docbook-xsl-nons | |
x86_64-darwin libixp | |
x86_64-darwin emacsPackages.lsp-ui | |
aarch64-linux home-assistant-custom-components.sensi | |
x86_64-darwin haskellPackages.tasty-hspec | |
x86_64-linux devspace | |
aarch64-darwin python311Packages.mypy-boto3-ram | |
x86_64-darwin python312Packages.devtools | |
x86_64-darwin rubyPackages.rb-inotify | |
aarch64-darwin emacsPackages.gorepl-mode | |
x86_64-linux emacsPackages.rust-auto-use | |
x86_64-linux python311Packages.types-aiobotocore-savingsplans | |
x86_64-linux emacsPackages.compile-multi-embark | |
aarch64-linux python312Packages.types-aiobotocore-apigatewayv2 | |
x86_64-darwin plasma5Packages.kpty | |
aarch64-linux parsify | |
aarch64-darwin haskellPackages.amazonka-emr | |
x86_64-darwin emacsPackages.dark-souls | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.slang | |
x86_64-linux emacsPackages.heroku | |
x86_64-linux python311Packages.roman | |
x86_64-linux openjdk20_headless | |
x86_64-darwin libretro.dolphin | |
x86_64-darwin emacsPackages.fstar-mode | |
aarch64-darwin clang_15 | |
aarch64-linux python311Packages.graphql-subscription-manager | |
x86_64-darwin haskellPackages.elerea | |
aarch64-darwin perl538Packages.SVNSimple | |
x86_64-darwin openbabel3 | |
x86_64-darwin python312Packages.pynac | |
x86_64-linux rnp | |
x86_64-linux python312Packages.wasmerPackages.wasmer-compiler-llvm | |
x86_64-linux haskellPackages.strio | |
x86_64-darwin haskellPackages.happstack-fastcgi | |
aarch64-darwin xdxf2slob | |
x86_64-darwin vimPlugins.vim-dirdiff | |
aarch64-linux deadpixi-sam-unstable | |
x86_64-linux python311Packages.grapheme | |
aarch64-linux python311Packages.pulumi-azure-native | |
aarch64-darwin emacsPackages.io-mode | |
aarch64-linux emacsPackages.google-c-style | |
aarch64-darwin python312Packages.youtubeaio | |
x86_64-darwin emacsPackages.repl-driven-development | |
x86_64-linux python311Packages.python-lsp-server | |
x86_64-linux emacsPackages.shroud | |
x86_64-linux python311Packages.sphinx-material | |
x86_64-darwin python311Packages.merge3 | |
x86_64-darwin python311Packages.peppercorn | |
aarch64-linux emacsPackages.which-key-posframe | |
aarch64-darwin python312Packages.patch-ng | |
aarch64-linux ubootNanoPCT4 | |
x86_64-linux emacsPackages.testcover-mark-line | |
x86_64-linux python312Packages.nbconvert | |
x86_64-darwin python312Packages.django-picklefield | |
aarch64-darwin msgviewer | |
x86_64-darwin see | |
x86_64-darwin grizzly | |
aarch64-darwin python312Packages.gcsa | |
x86_64-linux haskellPackages.mcaeson | |
aarch64-darwin erigon | |
aarch64-darwin emacsPackages.consult-hoogle | |
x86_64-darwin libverto | |
aarch64-darwin migra | |
aarch64-linux prometheus-shelly-exporter | |
aarch64-darwin perl536Packages.CarpClan | |
x86_64-darwin python311Packages.django-picklefield | |
aarch64-linux emacsPackages.hayoo | |
x86_64-linux arcan-all-wrapped | |
x86_64-darwin emacsPackages.ox-asciidoc | |
x86_64-linux gotify-desktop | |
aarch64-linux rocmPackages.miopen | |
aarch64-darwin xearth | |
aarch64-linux python311Packages.mypy-boto3-migrationhubstrategy | |
x86_64-linux haskellPackages.amazonka-athena | |
aarch64-darwin python312Packages.pysyncthru | |
x86_64-darwin python311Packages.grpcio-reflection | |
x86_64-darwin python311Packages.directv | |
aarch64-linux lxterminal | |
aarch64-linux credhub-cli | |
x86_64-darwin innoextract | |
x86_64-linux python312Packages.mypy-boto3-acm-pca | |
aarch64-linux haskellPackages.writer-cps-transformers | |
x86_64-linux python312Packages.validators | |
x86_64-linux stackit-cli | |
x86_64-linux silicon | |
x86_64-darwin tvheadend | |
x86_64-darwin perl536Packages.CanaryStability | |
aarch64-linux geoclue2 | |
aarch64-linux gtkspellmm | |
aarch64-darwin python312Packages.getkey | |
aarch64-darwin haskellPackages.typecheck-plugin-nat-simple | |
aarch64-linux python312Packages.llama-index-llms-openai | |
x86_64-darwin haskellPackages.yesod-page-cursor | |
x86_64-darwin perl536Packages.CryptPassphraseArgon2 | |
x86_64-linux python312Packages.mypy-boto3-accessanalyzer | |
x86_64-darwin python312Packages.rich-pixels | |
aarch64-darwin lua53Packages.argparse | |
x86_64-darwin haskellPackages.travis-pogodi | |
x86_64-darwin emacsPackages.ruby-refactor | |
aarch64-linux fwknop | |
aarch64-darwin emacsPackages.spell-fu | |
aarch64-darwin rshell | |
x86_64-linux rubyPackages_3_2.jemoji | |
x86_64-linux linuxPackages.ivsc-driver | |
x86_64-linux haskellPackages.reflex-vty | |
aarch64-linux xorg.mkfontdir | |
aarch64-linux python312Packages.tomli-w | |
x86_64-darwin php83Extensions.ioncube-loader | |
aarch64-linux emacsPackages.dict-tree | |
x86_64-linux emacsPackages.helm-tail | |
x86_64-darwin python312Packages.binaryornot | |
aarch64-linux jack_autoconnect | |
aarch64-linux bpftop | |
aarch64-linux haskellPackages.mpg123-bindings | |
x86_64-darwin chickenPackages_5.chickenEggs.udp6 | |
x86_64-darwin python311Packages.djangorestframework-guardian2 | |
aarch64-linux shotcut | |
aarch64-linux fcitx5-hangul | |
aarch64-linux python312Packages.boolean-py | |
x86_64-darwin emacsPackages.import-popwin | |
aarch64-linux digikam | |
x86_64-darwin nerdfix | |
x86_64-darwin last | |
x86_64-darwin haskellPackages.amazonka-gamesparks | |
aarch64-darwin python311Packages.webtest | |
x86_64-darwin gdc | |
x86_64-darwin python312Packages.pytest-black | |
aarch64-linux python311Packages.aws-encryption-sdk | |
x86_64-linux haskellPackages.countable | |
aarch64-linux kdePackages.kcalendarcore | |
x86_64-linux python311Packages.glfw | |
aarch64-linux haskellPackages.resource-pool-fork-avanov | |
x86_64-darwin haskellPackages.StateVar | |
aarch64-darwin emacsPackages.listen | |
x86_64-linux jinja2-cli | |
x86_64-linux python311Packages.types-aiobotocore-account | |
aarch64-darwin postgresql15Packages.pg_roaringbitmap | |
x86_64-linux python312Packages.types-aiobotocore-ssm-incidents | |
x86_64-darwin emacsPackages.s3ed | |
aarch64-linux haskellPackages.gtk-largeTreeStore | |
aarch64-linux utf8proc | |
aarch64-darwin perl536Packages.LocaleUtilsPlaceholderBabelFish | |
aarch64-darwin k3d | |
x86_64-darwin csa | |
x86_64-darwin emacsPackages.highlight-operators | |
x86_64-darwin emacsPackages.company-quickhelp-terminal | |
x86_64-linux home-assistant-component-tests.min_max | |
x86_64-darwin python312Packages.ddt | |
aarch64-darwin vimPlugins.haskell-scope-highlighting-nvim | |
x86_64-linux waydroid | |
aarch64-linux clingo | |
x86_64-linux php83Extensions.datadog_trace | |
aarch64-darwin hyx | |
aarch64-linux haskellPackages.hasql-transaction | |
aarch64-darwin haskellPackages.generic-lens-core | |
x86_64-darwin perl538Packages.IPCSignal | |
x86_64-darwin python311Packages.pubnub | |
aarch64-darwin python312Packages.strictyaml | |
x86_64-darwin emacsPackages.which-key | |
x86_64-linux home-assistant-component-tests.brunt | |
x86_64-linux python312Packages.editdistpy | |
aarch64-darwin ants | |
aarch64-linux libutp_3_4 | |
aarch64-darwin postgresql15JitPackages.periods | |
x86_64-darwin rubyPackages_3_3.keystone-engine | |
aarch64-darwin python311Packages.types-aiobotocore-dlm | |
aarch64-linux emacsPackages.killer | |
aarch64-darwin emacsPackages.sixcolors-mode | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.nix-expr | |
aarch64-linux haskellPackages.dynamic-state | |
x86_64-darwin restic-integrity | |
aarch64-darwin fltk | |
aarch64-linux linuxKernel.packages.linux_libre.gasket | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.dpdk | |
x86_64-linux rocmPackages_6.llvm.mlir | |
x86_64-darwin rubyPackages_3_1.terminal-table | |
x86_64-darwin aptdec | |
aarch64-darwin pango | |
x86_64-darwin perl538Packages.AppPackager | |
aarch64-darwin python311Packages.flit | |
x86_64-linux python311Packages.meshcat | |
x86_64-linux python311Packages.mypy-boto3-networkmanager | |
x86_64-linux qt6Packages.fcitx5-chinese-addons | |
aarch64-darwin haskellPackages.reqcatcher | |
aarch64-darwin lanraragi | |
aarch64-linux python312Packages.filelock | |
x86_64-linux kuro | |
aarch64-darwin python312Packages.mdformat-mkdocs | |
aarch64-darwin tauon | |
aarch64-darwin python311Packages.termcolor | |
x86_64-darwin vimPlugins.package-info-nvim | |
x86_64-linux lua53Packages.funnyfiles-nvim | |
aarch64-darwin cbc | |
x86_64-darwin rubyPackages_3_2.rest-client | |
aarch64-linux cvsq | |
x86_64-darwin emacsPackages.org2web | |
x86_64-linux haskellPackages.frquotes | |
aarch64-darwin python312Packages.pystardict | |
x86_64-darwin haskellPackages.xhtml-combinators | |
x86_64-darwin python312Packages.django-two-factor-auth | |
aarch64-linux python312Packages.nh3 | |
x86_64-linux python312Packages.tess | |
x86_64-darwin python311Packages.pyre-extensions | |
x86_64-linux home-assistant-component-tests.mullvad | |
x86_64-darwin python312Packages.noise | |
aarch64-linux postgresql13JitPackages.temporal_tables | |
aarch64-linux haskellPackages.discokitty | |
aarch64-darwin rubyPackages_3_3.mime-types | |
x86_64-darwin python312Packages.types-aiobotocore-securitylake | |
x86_64-linux belr | |
x86_64-linux bppsuite | |
aarch64-linux emacsPackages.disk-usage | |
x86_64-darwin python311Packages.vidstab | |
aarch64-linux emacsPackages.socyl | |
x86_64-linux haskellPackages.basen-bytestring | |
x86_64-linux python312Packages.django-rest-registration | |
aarch64-linux python312Packages.txtorcon | |
x86_64-linux haskellPackages.RFC1751 | |
x86_64-linux emacsPackages.cfn-mode | |
x86_64-darwin python312Packages.basemap-data | |
x86_64-linux haskellPackages.haskell-coffee | |
aarch64-linux lua54Packages.luaunbound | |
x86_64-darwin rubyPackages_3_3.jekyll-github-metadata | |
x86_64-darwin haskellPackages.stm-queue-extras | |
aarch64-linux log4shell-detector | |
aarch64-darwin babashka | |
x86_64-darwin python311Packages.num2words | |
x86_64-darwin haskellPackages.flat-tex | |
aarch64-darwin cpio | |
x86_64-darwin plasma5Packages.qt5.qtquickcontrols2 | |
aarch64-linux haskellPackages.parsek | |
x86_64-linux python311Packages.setuptools-scm | |
x86_64-linux python312Packages.pytest-timeout | |
aarch64-linux python312Packages.regional | |
x86_64-darwin perl538Packages.FFIPlatypusTypePtrObject | |
aarch64-darwin aws-rotate-key | |
aarch64-darwin haskellPackages.vivid-osc | |
aarch64-darwin haskellPackages.elm2nix | |
x86_64-darwin python311Packages.tlsh | |
x86_64-darwin emacsPackages.rtm | |
aarch64-darwin chickenPackages_5.chickenEggs.pathname-expand | |
aarch64-linux python311Packages.web | |
x86_64-linux libre-graph-api-cpp-qt-client | |
x86_64-linux optifinePackages.optifine_1_7_10 | |
aarch64-darwin python312Packages.pytest-flask | |
x86_64-darwin python312Packages.jsonconversion | |
aarch64-linux python312Packages.pyyaml | |
x86_64-linux linuxPackages.new-lg4ff | |
x86_64-darwin xorg.xf86videosis | |
aarch64-darwin lan-mouse | |
aarch64-linux haskellPackages.validity | |
aarch64-linux home-assistant-component-tests.met_eireann | |
x86_64-linux python312Packages.safetensors | |
x86_64-darwin haskellPackages.yesod-static | |
x86_64-darwin python311Packages.monotonic | |
aarch64-linux emacsPackages.sql-trino | |
x86_64-linux nix-eval-jobs | |
x86_64-darwin python311Packages.mypy-boto3-accessanalyzer | |
aarch64-darwin mmlgui | |
aarch64-linux luajitPackages.lpeg | |
x86_64-linux notmuch-mailmover | |
aarch64-darwin python312Packages.aprslib | |
x86_64-darwin grandperspective | |
aarch64-darwin postgresql15Packages.pgjwt | |
x86_64-linux linuxKernel.packages.linux_5_10.nvidia_x11_beta | |
x86_64-linux carapace | |
aarch64-darwin pkcrack | |
aarch64-linux haskellPackages.bindings-mmap | |
x86_64-darwin terraform-providers.opennebula | |
x86_64-linux isoimagewriter | |
aarch64-darwin boolector | |
aarch64-darwin coq | |
x86_64-linux lua52Packages.lpeglabel | |
x86_64-darwin vimPlugins.vim-zettel | |
x86_64-darwin python312Packages.gpuctypes | |
aarch64-linux aria2 | |
aarch64-linux python311Packages.mypy-boto3-qldb-session | |
aarch64-linux lcdproc | |
aarch64-darwin perl536Packages.TestRoo | |
x86_64-darwin python312Packages.pylzma | |
x86_64-darwin python312Packages.python-miio | |
x86_64-linux i3lock-fancy | |
aarch64-linux liferea | |
aarch64-linux namespace-cli | |
x86_64-darwin perl538Packages.CryptOpenSSLRandom | |
x86_64-linux python311Packages.universal-silabs-flasher | |
aarch64-darwin python312Packages.gevent | |
x86_64-darwin emacsPackages.sniem | |
aarch64-darwin ucs-fonts | |
aarch64-darwin python312Packages.mkdocs-swagger-ui-tag | |
x86_64-linux gqlgenc | |
x86_64-darwin haskellPackages.caching-vault | |
x86_64-linux linuxKernel.packages.linux_5_4.r8168 | |
aarch64-linux emacsPackages.js-auto-beautify | |
aarch64-darwin toxvpn | |
aarch64-darwin durden | |
aarch64-linux pgcenter | |
x86_64-darwin perl538Packages.DevelStackTraceAsHTML | |
x86_64-linux libretro.easyrpg | |
aarch64-linux python312Packages.demesdraw | |
aarch64-linux gcr_4 | |
x86_64-linux emacsPackages.flow-minor-mode | |
x86_64-linux python312Packages.pygltflib | |
aarch64-darwin python311Packages.ansicolor | |
x86_64-darwin wily | |
aarch64-darwin idb-companion | |
aarch64-darwin crystal | |
aarch64-linux haskellPackages.unique | |
x86_64-darwin openocd | |
x86_64-linux python311Packages.flickrapi | |
aarch64-linux dcs | |
aarch64-linux haskellPackages.slotmap | |
x86_64-linux python311Packages.mypy-boto3-simspaceweaver | |
aarch64-linux one-click-backup | |
aarch64-darwin python312Packages.kotsu | |
aarch64-darwin haskellPackages.hspec-expectations-lifted | |
x86_64-linux libkrun-sev | |
x86_64-darwin emacsPackages.hmac | |
aarch64-linux haskellPackages.oidc-client | |
x86_64-darwin rubyPackages_3_2.scrypt | |
x86_64-darwin haskellPackages.mpolynomials | |
aarch64-darwin csvlens | |
aarch64-linux python311Packages.inotify-simple | |
x86_64-linux python311Packages.pipBuildHook | |
aarch64-linux python311Packages.types-aiobotocore-identitystore | |
aarch64-darwin emacsPackages.iter2 | |
x86_64-darwin vimPlugins.vim-dirvish-git | |
x86_64-linux rep | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.pktgen | |
aarch64-linux python311Packages.pycep-parser | |
x86_64-darwin rage | |
x86_64-darwin prism | |
x86_64-darwin perl536Packages.DateTimeFormatStrptime | |
aarch64-linux haskellPackages.typst | |
aarch64-linux emacsPackages.tern-context-coloring | |
x86_64-darwin emacsPackages.ac-c-headers | |
aarch64-darwin python312Packages.pymanopt | |
x86_64-linux emacsPackages.numbex | |
aarch64-linux python311Packages.pythonegardia | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.new-lg4ff | |
x86_64-linux rocmPackages_5.llvm.pstl | |
aarch64-linux emacsPackages.atomic-chrome | |
aarch64-darwin python311Packages.skytemple-files | |
x86_64-linux electron-cash | |
aarch64-linux openresty | |
x86_64-linux linuxPackages_xanmod_latest.pktgen | |
aarch64-linux gtk3-x11 | |
aarch64-linux postgresql16Packages.pg_similarity | |
aarch64-darwin azure-cli-extensions.connectedmachine | |
x86_64-darwin python311Packages.tenacity | |
x86_64-linux python312Packages.pydrawise | |
aarch64-darwin spark | |
aarch64-darwin imapnotify | |
aarch64-linux haskellPackages.hs-opentelemetry-otlp | |
aarch64-darwin lua54Packages.lush-nvim | |
aarch64-darwin python311Packages.schedule | |
x86_64-linux vimPlugins.nvim-fzf | |
x86_64-darwin haskellPackages.lzma | |
x86_64-darwin dnf-plugins-core | |
x86_64-linux emacsPackages.simplezen | |
aarch64-darwin python311Packages.ecpy | |
x86_64-linux linuxPackages_zen.ndiswrapper | |
x86_64-linux lua51Packages.binaryheap | |
x86_64-linux brainstem | |
x86_64-linux linuxKernel.packages.linux_6_6.mxu11x0 | |
aarch64-darwin perl538Packages.DataICal | |
aarch64-linux python311Packages.py-partiql-parser | |
x86_64-linux cuelsp | |
x86_64-darwin perl536Packages.MailMboxMessageParser | |
x86_64-linux emacsPackages.kagi | |
aarch64-darwin emacsPackages.indicators | |
x86_64-linux emacsPackages.popup-kill-ring | |
x86_64-darwin perl538Packages.DevelCheckCompiler | |
x86_64-linux home-assistant-component-tests.hydrawise | |
x86_64-darwin postgresql12JitPackages.temporal_tables | |
x86_64-linux nixVersions.nix_2_18 | |
aarch64-linux vimPlugins.adwaita-nvim | |
aarch64-linux python312Packages.meep | |
x86_64-linux haskellPackages.interchangeable | |
x86_64-linux vimPlugins.range-highlight-nvim | |
x86_64-linux OVMF | |
x86_64-darwin python311Packages.bitsandbytes | |
x86_64-darwin exiftags | |
x86_64-darwin perl538Packages.PerlCriticCommunity | |
aarch64-linux python311Packages.duden | |
aarch64-darwin python311Packages.uvicorn | |
x86_64-darwin haskellPackages.t3-game | |
x86_64-linux deepgit | |
x86_64-linux python311Packages.pyphotonfile | |
aarch64-darwin haskellPackages.shake-bench | |
x86_64-linux matterbridge | |
aarch64-linux python312Packages.click-odoo-contrib | |
aarch64-linux ptunnel | |
aarch64-linux python311Packages.dissect-fat | |
aarch64-darwin perl536Packages.InlineC | |
x86_64-darwin python312Packages.betamax | |
aarch64-linux haskellPackages.gll | |
x86_64-linux dtcmp | |
aarch64-linux emacsPackages.anki-editor | |
aarch64-darwin antsimulator | |
aarch64-darwin haskellPackages.authenticate-oauth | |
aarch64-linux gitweb | |
x86_64-darwin python311Packages.license-expression | |
aarch64-linux jprofiler | |
x86_64-linux python312Packages.luftdaten | |
aarch64-linux eudev | |
x86_64-darwin invoice | |
x86_64-darwin emacsPackages.director | |
x86_64-linux libspatialite | |
aarch64-darwin quintom-cursor-theme | |
aarch64-darwin terraform-providers.sops | |
x86_64-darwin python311Packages.mypy-boto3-apigatewayv2 | |
x86_64-darwin kubedog | |
x86_64-linux python311Packages.dill | |
aarch64-linux emacsPackages.sly-repl-ansi-color | |
aarch64-darwin python311Packages.pyparsing | |
x86_64-darwin tml | |
x86_64-darwin python312Packages.oasatelematics | |
x86_64-linux python312Packages.types-aiobotocore-frauddetector | |
aarch64-linux xorg.fonttosfnt | |
x86_64-linux linuxKernel.packages.linux_xanmod.rtl8189fs | |
aarch64-darwin emacsPackages.vampyricdark-theme | |
aarch64-linux python311Packages.cryptolyzer | |
aarch64-darwin python311Packages.pytest-harvest | |
aarch64-linux gnome.caribou | |
aarch64-darwin python311Packages.python-bsblan | |
aarch64-linux python311Packages.ecpy | |
aarch64-linux mate.mate-applets | |
aarch64-darwin ttdl | |
x86_64-darwin xorg.xf86inputmouse | |
x86_64-linux killport | |
aarch64-linux arduino-ci | |
x86_64-darwin python311Packages.georss-client | |
aarch64-linux emacsPackages.cfn-mode | |
x86_64-darwin fantasque-sans-mono | |
x86_64-darwin python311Packages.thinc | |
aarch64-darwin chickenPackages_5.chickenEggs.mini-kanren | |
x86_64-linux litemdview | |
x86_64-linux broadlink-cli | |
x86_64-linux v8 | |
aarch64-linux seaview | |
aarch64-darwin haskellPackages.text-printer | |
aarch64-linux python311Packages.skytemple-rust | |
x86_64-darwin vimPlugins.denite-extra | |
aarch64-darwin azure-cli-extensions.datafactory | |
aarch64-darwin emacsPackages.kconfig-ref | |
aarch64-darwin wayfirePlugins.wcm | |
aarch64-darwin python312Packages.breathe | |
aarch64-linux rpcbind | |
aarch64-darwin haskellPackages.debug-trace-var | |
aarch64-darwin haskellPackages.ghost-buster | |
x86_64-darwin hurl | |
aarch64-darwin coqPackages.metacoq-template-coq | |
x86_64-darwin xorg.appres | |
aarch64-linux idrisPackages.tlhydra | |
x86_64-linux python311Packages.periodictable | |
aarch64-darwin subsonic | |
aarch64-linux emacsPackages.css-comb | |
aarch64-darwin lua54Packages.lua-yajl | |
aarch64-linux glasgow | |
x86_64-linux haskellPackages.amazonka-route53-recovery-cluster | |
x86_64-darwin perl538Packages.TypesSerialiser | |
aarch64-darwin perl536Packages.TaskCatalystTutorial | |
x86_64-darwin haskellPackages.repa | |
aarch64-darwin git-codeowners | |
x86_64-darwin emacsPackages.message-view-patch | |
aarch64-linux lua53Packages.lua-ffi-zlib | |
aarch64-linux python311Packages.apache-beam | |
x86_64-linux jellycli | |
x86_64-linux linuxKernel.packages.linux_hardened.can-isotp | |
x86_64-linux python311Packages.greatfet | |
x86_64-darwin vimPlugins.zenbones-nvim | |
aarch64-darwin c-blosc | |
aarch64-linux vault-ssh-plus | |
x86_64-darwin haskellPackages.data-default-instances-case-insensitive | |
aarch64-darwin python312Packages.pysmartthings | |
aarch64-linux emacsPackages.consult-eglot-embark | |
aarch64-linux python312Packages.aiopg | |
x86_64-darwin gnat13Packages.gnatcoll-zlib | |
x86_64-linux gnome-usage | |
x86_64-darwin python311Packages.crytic-compile | |
aarch64-darwin actdiag | |
x86_64-darwin emacsPackages.vcard | |
x86_64-linux min | |
x86_64-darwin emacsPackages.yasnippet-snippets | |
x86_64-linux kdePackages.kmahjongg | |
x86_64-darwin python312Packages.google-cloud-dataproc | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.r8168 | |
aarch64-darwin vimPlugins.telescope-z-nvim | |
x86_64-darwin vimPlugins.vim-lion | |
x86_64-linux dotnetCorePackages.sdk_7_0_4xx | |
x86_64-linux emacsPackages.helm-evil-markers | |
x86_64-linux haskell.compiler.native-bignum.ghc928 | |
x86_64-linux lua52Packages.lua-messagepack | |
aarch64-linux linuxPackages-libre.rtl8821cu | |
aarch64-linux emacsPackages.android-env | |
aarch64-linux python311Packages.python-wink | |
aarch64-darwin perl538Packages.HTMLTagCloud | |
aarch64-linux azure-cli-extensions.dynatrace | |
aarch64-darwin python312Packages.sqlite-migrate | |
x86_64-darwin python312Packages.azure-mgmt-servicebus | |
aarch64-darwin conjure | |
aarch64-linux python312Packages.bidict | |
aarch64-darwin python312Packages.traitlets | |
aarch64-linux python312Packages.zope-interface | |
x86_64-linux python311Packages.jsonschema-specifications | |
x86_64-darwin emacsPackages.northcode-theme | |
aarch64-darwin python311Packages.pyscaffoldext-cookiecutter | |
x86_64-darwin python311Packages.capstone_4 | |
aarch64-darwin python311Packages.rich-argparse-plus | |
aarch64-darwin python311Packages.pyfuse3 | |
x86_64-darwin python311Packages.vowpalwabbit | |
x86_64-linux libretro.o2em | |
x86_64-linux python312Packages.ebooklib | |
aarch64-darwin bibtool | |
x86_64-linux sbclPackages.more-conditions | |
x86_64-darwin perl536Packages.TestHarnessStraps | |
aarch64-linux emacsPackages.jmespath | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.Qt5Qml | |
x86_64-darwin haskellPackages.elenco-albero | |
aarch64-darwin shards_0_17 | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.rtl8821au | |
x86_64-linux vdrPlugins.epgsearch | |
aarch64-linux aptdec | |
x86_64-linux gnatPackages.gnatcoll-python3 | |
aarch64-linux nanoflann | |
x86_64-linux plasma5Packages.oxygen-sounds | |
aarch64-linux optifinePackages.optifine_1_16_2 | |
aarch64-linux python312Packages.loopy | |
aarch64-linux rubyPackages_3_2.byebug | |
aarch64-linux nzbget | |
x86_64-linux python311Packages.xmlschema | |
aarch64-linux jira-cli-go | |
x86_64-linux haskellPackages.dobutokO-effects | |
aarch64-linux python312Packages.python-bsblan | |
aarch64-darwin sqlite_orm | |
x86_64-darwin perl536Packages.HTMLFormFuMultiForm | |
aarch64-linux haskellPackages.bk-tree | |
aarch64-darwin python311Packages.pyexcel-xls | |
x86_64-linux gprbuild | |
x86_64-linux python312Packages.edward | |
x86_64-linux python312Packages.sharp-aquos-rc | |
x86_64-darwin python311Packages.notus-scanner | |
aarch64-linux xorg.libXt | |
aarch64-linux python312Packages.ramlfications | |
aarch64-linux emacsPackages.elisp-autofmt | |
aarch64-darwin python311Packages.stemming | |
aarch64-linux slippy | |
aarch64-linux unicode-paracode | |
x86_64-linux python311Packages.georss-ign-sismologia-client | |
aarch64-darwin emacsPackages.sourcepawn-mode | |
aarch64-darwin stack | |
aarch64-darwin emacsPackages.lusty-explorer | |
x86_64-darwin libfyaml | |
aarch64-darwin vimPlugins.vim-smartbw | |
x86_64-linux flexoptix-app | |
aarch64-linux emacsPackages.wotd | |
aarch64-linux haskellPackages.th-utilities | |
x86_64-darwin papermcServers.papermc-1_18 | |
x86_64-linux linuxKernel.packages.linux_5_4.amdgpu-pro | |
aarch64-darwin python311Packages.mypy-boto3-timestream-query | |
x86_64-darwin waybar-mpris | |
x86_64-darwin python312Packages.black-macchiato | |
aarch64-darwin haskellPackages.streamly | |
aarch64-linux xml-security-c | |
aarch64-linux lxqt.lxqt-panel | |
x86_64-linux python312Packages.azure-mgmt-cognitiveservices | |
aarch64-darwin python312Packages.jedi | |
x86_64-linux gnomeExtensions.lan-ip-address | |
aarch64-darwin perl538Packages.CatalystAuthenticationStoreDBIxClass | |
x86_64-linux haskellPackages.pipes-mongodb | |
x86_64-darwin ttf-tw-moe | |
aarch64-darwin perl538Packages.DistZillaPluginPodWeaver | |
aarch64-linux python311Packages.mypy-boto3-kinesis-video-media | |
x86_64-linux linuxPackages_latest-libre.rtl8821ce | |
aarch64-darwin perl536Packages.Modulecpmfile | |
aarch64-linux python312Packages.temescal | |
aarch64-linux mpvpaper | |
aarch64-linux haskellPackages.ebird-client | |
x86_64-darwin emacsPackages.helm-file-preview | |
aarch64-linux python311Packages.temperusb | |
x86_64-darwin perl536Packages.TimePiece | |
x86_64-darwin haskellPackages.type-level-tf | |
x86_64-linux python311Packages.deepmerge | |
x86_64-linux haskellPackages.forward-chan | |
aarch64-linux python311Packages.fvcore | |
x86_64-darwin python312Packages.scikit-learn-extra | |
aarch64-darwin space-orbit | |
aarch64-darwin python312Packages.liblzfse | |
x86_64-linux python311Packages.ha-mqtt-discoverable | |
aarch64-linux elmPackages.elm-upgrade | |
x86_64-darwin gst_all_1.gst-plugins-good | |
aarch64-linux luaPackages.lua-term | |
aarch64-darwin python312Packages.cssselect2 | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.squirrel | |
x86_64-linux linuxPackages_hardened.nvidiabl | |
x86_64-linux haskellPackages.concurrent-machines | |
x86_64-linux avogadro2 | |
aarch64-darwin python311Packages.w1thermsensor | |
aarch64-darwin haskellPackages.regex-wrapper | |
aarch64-darwin haskellPackages.docusign-client | |
x86_64-darwin emacsPackages.org-rtm | |
aarch64-darwin kopia | |
aarch64-linux python311Packages.types-aiobotocore-inspector2 | |
x86_64-linux haskellPackages.webex-teams-pipes | |
aarch64-darwin haskellPackages.minimorph | |
aarch64-darwin nfd | |
aarch64-linux qlog | |
x86_64-linux python312Packages.airium | |
aarch64-darwin python312Packages.related | |
aarch64-linux cargo-ndk | |
x86_64-darwin emacsPackages.navi-mode | |
x86_64-darwin haskellPackages.tasty-html | |
x86_64-darwin gh2md | |
aarch64-linux haskellPackages.syb | |
x86_64-linux terraform-providers.openstack | |
aarch64-linux linuxPackages_5_15_hardened.nct6687d | |
x86_64-linux giada | |
x86_64-linux emacsPackages.flyspell-correct-avy-menu | |
x86_64-linux ffsend | |
x86_64-linux python312Packages.canals | |
x86_64-linux rocmPackages.llvm.flang | |
x86_64-darwin dstep | |
x86_64-linux passExtensions.pass-audit | |
x86_64-linux rubyPackages_3_1.gobject-introspection | |
x86_64-linux z3_4_8 | |
x86_64-linux vimPlugins.neodev-nvim | |
aarch64-darwin rocmPackages.llvm.rocmClangStdenv | |
x86_64-linux sbclPackages.lisp-namespace | |
aarch64-linux luajitPackages.luafilesystem | |
x86_64-linux go-tools | |
aarch64-darwin mupdf | |
aarch64-darwin haskellPackages.amazonka-health | |
x86_64-darwin emacsPackages.dired-git-info | |
x86_64-darwin perl536Packages.TextSoundex | |
x86_64-linux bunnyfetch | |
x86_64-linux python312Packages.od | |
x86_64-linux python312Packages.pytest-twisted | |
aarch64-linux haskellPackages.patrol | |
x86_64-darwin python312Packages.axis | |
x86_64-linux python312Packages.localzone | |
x86_64-linux python312Packages.mypy-boto3-elasticbeanstalk | |
aarch64-darwin buildbotPackages.buildbot-plugins.console-view | |
aarch64-darwin python312Packages.python-json-logger | |
aarch64-darwin haskellPackages.oneOfN | |
x86_64-darwin python311Packages.crownstone-cloud | |
x86_64-linux python311Packages.sampledata | |
aarch64-darwin emacsPackages.flycheck-flawfinder | |
x86_64-darwin python312Packages.types-aiobotocore-codestar-connections | |
x86_64-linux python311Packages.txgithub | |
x86_64-linux emacsPackages.pfuture | |
aarch64-darwin emacsPackages.helm-mt | |
x86_64-linux perl538Packages.libapreq2 | |
x86_64-linux python312Packages.types-aiobotocore-rds-data | |
aarch64-darwin emacsPackages.windata | |
x86_64-linux python312Packages.ocrmypdf | |
aarch64-darwin expect | |
aarch64-linux djgpp_i686 | |
aarch64-darwin krew | |
x86_64-darwin python311Packages.pycurl | |
aarch64-darwin vimPlugins.vim-pager | |
x86_64-linux pleroma-bot | |
x86_64-linux linuxPackages_5_15_hardened.rtl88x2bu | |
x86_64-darwin vcard | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.gosum | |
x86_64-darwin emacsPackages.flymake-clippy | |
aarch64-darwin emacsPackages.go-imenu | |
aarch64-darwin polipo | |
x86_64-darwin cm_unicode | |
aarch64-linux http-getter | |
x86_64-linux jobber | |
aarch64-linux python311Packages.highdicom | |
aarch64-darwin nethack-qt | |
x86_64-linux python312Packages.types-aiobotocore-securitylake | |
aarch64-linux home-assistant-component-tests.openexchangerates | |
x86_64-linux python312Packages.pydeps | |
aarch64-linux xpaste | |
aarch64-darwin haskellPackages.drifter-sqlite | |
aarch64-darwin python312Packages.flatdict | |
aarch64-darwin python311Packages.dissect-volume | |
x86_64-darwin python312Packages.pyvicare | |
aarch64-linux emacsPackages.aes | |
x86_64-darwin fetchmail_7 | |
aarch64-linux gts | |
aarch64-linux kdeltachat | |
x86_64-linux plasma5Packages.kpurpose | |
aarch64-linux python312Packages.tellduslive | |
aarch64-linux minecraftServers.vanilla-1-17 | |
aarch64-darwin genimage | |
aarch64-darwin gst_all_1.gst-plugins-rs | |
x86_64-darwin postgresql12JitPackages.pgvecto-rs | |
aarch64-linux vimPlugins.vim-matchup | |
aarch64-darwin emacsPackages.fsm | |
x86_64-linux python311Packages.clarifai-grpc | |
x86_64-linux emacsPackages.units-mode | |
aarch64-darwin clifm | |
x86_64-darwin juicity | |
aarch64-linux docker-compose_1 | |
aarch64-darwin emacsPackages.test-simple | |
x86_64-darwin libsass | |
aarch64-linux python311Packages.mypy-boto3-autoscaling-plans | |
aarch64-linux tessen | |
x86_64-linux python312Packages.trectools | |
x86_64-linux python312Packages.types-aiobotocore-acm-pca | |
aarch64-darwin perl536Packages.CodeTidyAllPluginPerlAlignMooseAttributes | |
aarch64-darwin python312Packages.decorator | |
aarch64-linux python311Packages.oauth | |
x86_64-darwin emacsPackages.lively | |
aarch64-darwin rubyPackages_3_1.jekyll-seo-tag | |
aarch64-darwin gato | |
x86_64-darwin emacsPackages.electric-spacing | |
aarch64-darwin emacsPackages.tikz | |
x86_64-linux xorg.imake | |
x86_64-linux python312Packages.remotezip | |
x86_64-darwin python311Packages.pyflexit | |
x86_64-linux emacsPackages.biblio-gbooks | |
aarch64-darwin haskellPackages.sounddelay | |
x86_64-darwin python311Packages.mypy-boto3-voice-id | |
aarch64-darwin emacsPackages.ssh-tunnels | |
x86_64-darwin emacsPackages.ob-axiom | |
aarch64-linux python312Packages.eth-utils | |
aarch64-linux vimPlugins.gitlinker-nvim | |
x86_64-darwin python311Packages.allpairspy | |
aarch64-darwin lua54Packages.loadkit | |
aarch64-darwin python312Packages.pyngrok | |
x86_64-linux bazel_6 | |
aarch64-darwin haskellPackages.ghc-vis | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.hyperv-daemons | |
aarch64-darwin mongocxx | |
aarch64-darwin xorg.fontbhtype1 | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.kernel | |
aarch64-darwin python312Packages.lifelines | |
aarch64-linux funzzy | |
x86_64-linux php83Extensions.xml | |
aarch64-darwin emacsPackages.minibuffer-complete-cycle | |
aarch64-linux emacsPackages.baidu-translate | |
aarch64-linux haskellPackages.horizontal-rule | |
x86_64-linux python312Packages.strenum | |
x86_64-darwin perl538Packages.TestClassMost | |
x86_64-darwin python312Packages.xdg-base-dirs | |
aarch64-darwin python311Packages.mypy-boto3-worklink | |
x86_64-darwin rmfakecloud | |
x86_64-linux corerad | |
aarch64-linux plasma5Packages.kmines | |
x86_64-linux linuxPackages.sysdig | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.taglib | |
aarch64-darwin emacsPackages.tsort | |
aarch64-darwin readline82 | |
aarch64-darwin homepage-dashboard | |
x86_64-darwin haskellPackages.html5-entity | |
aarch64-linux libsForQt5.qtvirtualkeyboard | |
aarch64-linux dxx-rebirth | |
x86_64-darwin perl536Packages.LocaleMaketextLexicon | |
x86_64-linux haskellPackages.rematch-text | |
x86_64-linux linuxPackages_5_10_hardened.lttng-modules | |
x86_64-darwin chickenPackages_5.chickenEggs.pandoc | |
aarch64-darwin coqPackages.mathcomp-word | |
x86_64-linux emacsPackages.cd-compile | |
x86_64-linux python311Packages.loca | |
x86_64-darwin python312Packages.ilua | |
x86_64-linux rubyPackages_3_1.pcaprub | |
aarch64-darwin python311Packages.types-aiobotocore-batch | |
x86_64-darwin validphys2 | |
aarch64-linux emacsPackages.uxntal-mode | |
aarch64-darwin python312Packages.intake-parquet | |
x86_64-darwin python312Packages.simplejson | |
x86_64-linux python311Packages.castepxbin | |
aarch64-darwin haskellPackages.geojson | |
aarch64-linux python312Packages.oracledb | |
aarch64-darwin haskellPackages.netlib-ffi | |
aarch64-linux python311Packages.dissect-ntfs | |
x86_64-linux python312Packages.wasmerPackages.wasmer-compiler-singlepass | |
x86_64-linux zombietrackergps | |
aarch64-darwin et | |
x86_64-darwin gh-actions-cache | |
x86_64-darwin i3lock | |
aarch64-darwin libcxx | |
aarch64-darwin plasma5Packages.qtcharts | |
aarch64-darwin matchbox-server | |
x86_64-darwin airspyhf | |
aarch64-darwin python311Packages.lockfile | |
aarch64-darwin haskellPackages.pgm | |
aarch64-linux python312Packages.mypy-boto3-timestream-write | |
x86_64-darwin rubyPackages_3_3.tzinfo | |
aarch64-linux comma | |
aarch64-darwin python311Packages.soapysdr | |
x86_64-darwin postgresqlPackages.promscale_extension | |
x86_64-linux pass2csv | |
aarch64-linux emacsPackages.minor-mode-hack | |
x86_64-linux haskellPackages.grafdhall | |
aarch64-darwin python311Packages.qbittorrent-api | |
aarch64-linux s5cmd | |
aarch64-linux gostatic | |
aarch64-darwin vimPlugins.texpresso-vim | |
x86_64-linux python311Packages.compressed-rtf | |
aarch64-darwin perl536Packages.MooseXGetopt | |
aarch64-linux sx-go | |
aarch64-linux vimPlugins.tcomment_vim | |
aarch64-darwin haskellPackages.amazonka-budgets | |
aarch64-linux linuxKernel.packages.linux_4_19.zfs | |
x86_64-darwin vimPlugins.statix | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.vendor-reset | |
aarch64-darwin idrisPackages.graphviz | |
x86_64-darwin kode-mono | |
x86_64-linux clickhouse-backup | |
x86_64-linux dxvk_2 | |
aarch64-linux lua51Packages.tl | |
aarch64-darwin beluga | |
aarch64-linux python311Packages.aioxmpp | |
x86_64-darwin python311Packages.takethetime | |
x86_64-linux base16-shell-preview | |
x86_64-linux github-runner | |
x86_64-darwin libressl_3_7 | |
aarch64-linux python312Packages.asn1 | |
x86_64-darwin barlow | |
x86_64-linux plasma5Packages.qtmpris | |
x86_64-darwin emacsPackages.ipp | |
x86_64-darwin azure-cli-extensions.dynatrace | |
aarch64-linux postgresql12Packages.pgaudit | |
aarch64-darwin idris2Packages.idris2 | |
x86_64-darwin python311Packages.types-aiobotocore-inspector | |
x86_64-linux python312Packages.pyro-ppl | |
aarch64-linux sdlpop | |
x86_64-darwin emacsPackages.leaf-convert | |
aarch64-darwin SDL_classic | |
aarch64-darwin python311Packages.azure-storage-nspkg | |
x86_64-darwin rubyPackages_3_1.http-cookie | |
x86_64-darwin python312Packages.types-aiobotocore-snow-device-management | |
x86_64-darwin python311Packages.rstr | |
x86_64-linux hunt | |
x86_64-linux python311Packages.types-aiobotocore-lexv2-runtime | |
aarch64-darwin haskellPackages.zip-cmd | |
aarch64-darwin python311Packages.types-aiobotocore-iotevents | |
x86_64-linux linuxKernel.packages.linux_5_15.v86d | |
aarch64-darwin haskellPackages.hw-rankselect | |
x86_64-linux python312Packages.jaraco-logging | |
aarch64-linux haskellPackages.euler-tour-tree | |
x86_64-darwin emacsPackages.ox-rfc | |
aarch64-darwin luajitPackages.lyaml | |
x86_64-linux python311Packages.beancount-parser | |
x86_64-darwin haskellPackages.nagios-check | |
x86_64-darwin rubyPackages_3_2.jekyll-favicon | |
x86_64-linux postgresql13Packages.timescaledb | |
aarch64-linux emacsPackages.auto-pause | |
x86_64-linux haskellPackages.lambda-calculus-interpreter | |
x86_64-linux stumpwm-unwrapped | |
aarch64-linux coercer | |
aarch64-darwin chickenPackages_5.chickenEggs.apropos | |
x86_64-darwin python311Packages.tempest | |
aarch64-linux mate.libmatekbd | |
x86_64-darwin emacsPackages.org-calibre-notes | |
x86_64-linux python312Packages.nodepy-runtime | |
x86_64-darwin python311Packages.pymongo-inmemory | |
x86_64-darwin haskellPackages.tracer | |
aarch64-linux postgresqlJitPackages.pg_hint_plan | |
x86_64-darwin rubyPackages_3_1.cocoapods-try-release-fix | |
aarch64-darwin chickenPackages_5.chickenEggs.matrico | |
aarch64-linux vimPlugins.vim-ultest | |
aarch64-linux python311Packages.pycsdr | |
aarch64-darwin haskellPackages.Kleislify | |
aarch64-linux python312Packages.scipy | |
x86_64-linux python311Packages.bson | |
x86_64-darwin python311Packages.grpclib | |
x86_64-darwin python312Packages.whois-api | |
x86_64-linux gnomeExtensions.no-overview | |
aarch64-linux linuxPackages.qc71_laptop | |
aarch64-linux portmod | |
aarch64-darwin python311Packages.python-pptx | |
x86_64-linux ton | |
aarch64-linux haskellPackages.cabal-install-parsers | |
x86_64-darwin postgresql13JitPackages.pgsql-http | |
x86_64-linux timezonemap | |
aarch64-linux vkdt | |
aarch64-darwin python312Packages.pyhcl | |
aarch64-linux haskellPackages.event-handlers | |
aarch64-linux python311Packages.outcome | |
aarch64-linux python311Packages.ruamel-base | |
x86_64-linux emacsPackages.bpe | |
x86_64-darwin python311Packages.fontfeatures | |
aarch64-darwin haskellPackages.svg-builder | |
x86_64-darwin v2ray-domain-list-community | |
aarch64-darwin chickenPackages_5.chickenEggs.pyffi | |
aarch64-darwin duo-unix | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.zfs_2_1 | |
x86_64-darwin python312Packages.jupyter-server-fileid | |
x86_64-linux python311Packages.azure-mgmt-machinelearningcompute | |
x86_64-linux rtl_433 | |
x86_64-darwin babashka-unwrapped | |
x86_64-darwin perl538Packages.XMLRSS | |
x86_64-darwin perl536Packages.StringInterpolate | |
x86_64-darwin bee | |
aarch64-linux python312Packages.ruamel-yaml-clib | |
aarch64-darwin nix-zsh-completions | |
aarch64-darwin haskellPackages.cabal-macosx | |
x86_64-linux meshcentral | |
x86_64-darwin terraform-providers.vpsadmin | |
x86_64-darwin python311Packages.types-aiobotocore-service-quotas | |
aarch64-linux python311Packages.flask-session-captcha | |
x86_64-linux python311Packages.mypy-boto3-servicediscovery | |
x86_64-darwin emacsPackages.tmmofl | |
aarch64-darwin perl538Packages.POE | |
x86_64-linux linuxKernel.packages.linux_lqx.nvidia_x11_stable_open | |
x86_64-darwin emacsPackages.snazzy-theme | |
aarch64-darwin python311Packages.panasonic-viera | |
aarch64-linux python311Packages.vulcan-api | |
aarch64-darwin emacsPackages.alert | |
x86_64-darwin haskellPackages.safe-coloured-text-terminfo | |
aarch64-darwin buildbot-plugins.console-view | |
aarch64-linux haskellPackages.configurator | |
x86_64-linux python311Packages.pytest-aio | |
aarch64-darwin lxi-tools-gui | |
x86_64-linux python312Packages.mypy-boto3-iotevents | |
aarch64-linux vimPlugins.nabla-nvim | |
x86_64-linux python311Packages.confuse | |
x86_64-darwin emacsPackages.imenu-list | |
x86_64-darwin eva | |
aarch64-linux haskellPackages.debug-diff | |
x86_64-darwin hdfview | |
aarch64-linux haskellPackages.parsec-utils | |
aarch64-darwin python311Packages.lyricwikia | |
aarch64-darwin python312Packages.libiio | |
x86_64-linux emacs-nox | |
aarch64-linux emacsPackages.erc-twitch | |
x86_64-linux ffmpeg_5-headless | |
aarch64-linux seafile-shared | |
x86_64-linux python312Packages.antlr4-python3-runtime | |
aarch64-darwin lngen | |
aarch64-linux haskellPackages.pagure-cli | |
x86_64-linux emacsPackages.hamburger-menu | |
aarch64-darwin haskellPackages.rt | |
x86_64-darwin open-interpreter | |
aarch64-darwin python312Packages.pyaxmlparser | |
aarch64-linux nimdow | |
x86_64-linux python311Packages.pyxbe | |
x86_64-linux python311Packages.pytest-lazy-fixture | |
aarch64-linux go-license-detector | |
aarch64-linux python311Packages.types-docopt | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.poppler-glib | |
aarch64-linux uefi-firmware-parser | |
x86_64-linux goldendict-ng | |
x86_64-darwin haskellPackages.cornea | |
aarch64-darwin emacsPackages.org2web | |
x86_64-linux github-release | |
aarch64-darwin emacsPackages.org-autolist | |
aarch64-linux python311Packages.wiffi | |
x86_64-darwin python312Packages.sure | |
x86_64-linux zed | |
x86_64-darwin cmake-format | |
x86_64-linux opendht | |
x86_64-linux terraform-providers.akamai | |
aarch64-linux haskellPackages.bugsnag-hs | |
aarch64-darwin perseus-cli | |
aarch64-linux fcitx5-table-extra | |
aarch64-darwin python312Packages.django-taggit | |
x86_64-linux haskellPackages.amazonka-s3 | |
aarch64-darwin perl538Packages.ImagePNGLibpng | |
aarch64-darwin emacsPackages.company-pollen | |
x86_64-darwin rubyPackages_3_2.mime-types | |
x86_64-darwin vimPlugins.dracula-vim | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.dart | |
x86_64-linux linuxKernel.packages.linux_libre.fwts-efi-runtime | |
aarch64-linux qt5.qtserialport | |
x86_64-darwin perl538Packages.GeographyCountries | |
x86_64-linux python311Packages.types-aiobotocore-s3outposts | |
aarch64-linux emacsPackages.mimetypes | |
aarch64-linux python311Packages.scikit-fmm | |
x86_64-darwin vimPlugins.vim-terraform | |
aarch64-darwin emacsPackages.ioccur | |
aarch64-linux emacsPackages.go-impl | |
x86_64-darwin mpvScripts.quality-menu | |
aarch64-linux python312Packages.red-black-tree-mod | |
aarch64-linux i3status | |
aarch64-darwin emacsPackages.coterm | |
x86_64-linux python312Packages.trimesh | |
aarch64-darwin perl538Packages.OpenAPIClient | |
aarch64-linux emacsPackages.shrink-path | |
x86_64-darwin opn2bankeditor | |
x86_64-darwin haskellPackages.ogma-language-copilot | |
x86_64-darwin emacsPackages.cabledolphin | |
x86_64-linux gnome.gnome-user-share | |
x86_64-linux python311Packages.pyrogram | |
x86_64-darwin perl536Packages.LWPAuthenOAuth | |
x86_64-darwin perl538Packages.MouseXNativeTraits | |
x86_64-darwin haskellPackages.amazonka-inspector | |
aarch64-darwin solicurses | |
x86_64-darwin breitbandmessung | |
aarch64-linux python312Packages.cfgv | |
x86_64-darwin python312Packages.svg-py | |
x86_64-linux python311Packages.codecov | |
aarch64-linux python312Packages.veryprettytable | |
aarch64-linux haskellPackages.atomic-modify-general | |
x86_64-linux python312Packages.plaid-python | |
x86_64-darwin nheko | |
x86_64-linux haskellPackages.data-sword | |
x86_64-darwin emacsPackages.syslog-mode | |
x86_64-linux dduper | |
aarch64-linux haskellPackages.runghc | |
aarch64-linux theLoungePlugins.themes.dracula-official | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.purescript | |
aarch64-darwin haskellPackages.servant-swagger-ui-redoc | |
x86_64-darwin haskellPackages.api-opentheory-unicode | |
aarch64-darwin rapidfuzz-cpp | |
aarch64-darwin libevent | |
x86_64-darwin luddite | |
x86_64-linux python311Packages.mysql-connector | |
x86_64-linux python312Packages.datauri | |
x86_64-darwin hunspellDicts.en_GB-ize | |
x86_64-linux kdePackages.kdbusaddons | |
x86_64-linux clp | |
x86_64-linux emacsPackages.countdown | |
aarch64-darwin haskellPackages.row-types-barbies | |
x86_64-linux emacsPackages.php-quickhelp | |
aarch64-linux reno | |
aarch64-darwin emacsPackages.org-clock-today | |
aarch64-darwin python312Packages.miniful | |
x86_64-darwin chickenPackages_5.chickenEggs.loop | |
x86_64-linux php82Extensions.rrd | |
aarch64-darwin python311Packages.google-cloud-iam-logging | |
x86_64-linux python312Packages.bravado-core | |
aarch64-linux emacsPackages.dired-rifle | |
x86_64-darwin haskellPackages.random-tree | |
aarch64-linux python311Packages.libfdt | |
x86_64-darwin python311Packages.ruyaml | |
aarch64-linux emacsPackages.helm-gitignore | |
aarch64-linux python311Packages.xnatpy | |
aarch64-linux otto-matic | |
aarch64-darwin emacsPackages.eyuml | |
x86_64-darwin haskellPackages.monad-schedule | |
x86_64-darwin gita | |
x86_64-darwin hunspellDicts.eu_ES | |
x86_64-linux n3 | |
x86_64-darwin postgresql_13_jit | |
aarch64-linux python311Packages.hydra-check | |
aarch64-darwin python311Packages.pytest-repeat | |
x86_64-linux python312Packages.lmtpd | |
x86_64-linux sbclPackages.clump | |
aarch64-darwin haskellPackages.timeconsole | |
aarch64-linux linuxKernel.packages.linux_6_6.zfs_2_2 | |
aarch64-linux rubyPackages_3_2.colored2 | |
x86_64-darwin python311Packages.vsts | |
x86_64-darwin python311Packages.xmod | |
x86_64-darwin unbound | |
aarch64-darwin desync | |
aarch64-darwin ilmbase | |
x86_64-linux rocmPackages_5.rccl | |
x86_64-linux python311Packages.azure-mgmt-common | |
x86_64-darwin ltris | |
x86_64-linux linuxKernel.packages.linux_6_6.lenovo-legion-module | |
x86_64-darwin haskellPackages.bordacount | |
aarch64-linux pokefinder | |
aarch64-darwin postgresql16JitPackages.plpgsql_check | |
aarch64-darwin python311Packages.prometheus-pandas | |
aarch64-linux python312Packages.clr-loader | |
aarch64-linux vimPlugins.editorconfig-nvim | |
aarch64-linux rubyPackages.uglifier | |
x86_64-darwin dcmtk | |
aarch64-darwin libotr | |
aarch64-linux driftctl | |
aarch64-linux heh | |
aarch64-darwin python311Packages.parsimonious | |
aarch64-linux python311Packages.moat-ble | |
x86_64-darwin perl538Packages.ConfigMVPReaderINI | |
x86_64-darwin ov | |
aarch64-darwin python312Packages.daemonocle | |
aarch64-linux tilda | |
aarch64-linux bemenu | |
x86_64-linux vimPlugins.deol-nvim | |
x86_64-linux python311Packages.localzone | |
aarch64-linux simh | |
aarch64-linux emacsPackages.flycheck-clang-tidy | |
aarch64-linux dbus-broker | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.fwts-efi-runtime | |
x86_64-darwin perl536Packages.MLDBM | |
x86_64-darwin haskellPackages.fpipe | |
aarch64-darwin npush | |
aarch64-darwin hstr | |
x86_64-linux yoda-with-root | |
x86_64-linux haskellPackages.emanote | |
aarch64-darwin vimPlugins.vim-hardtime | |
x86_64-darwin postgresqlJitPackages.wal2json | |
x86_64-linux buildkite-agent | |
x86_64-darwin yaralyzer | |
aarch64-linux python312Packages.types-aiobotocore-iotfleethub | |
aarch64-darwin haskellPackages.hOpenPGP | |
x86_64-linux python311Packages.robotsuite | |
aarch64-linux home-assistant-component-tests.trafikverket_ferry | |
aarch64-darwin python311Packages.types-aiobotocore-amplifybackend | |
x86_64-darwin emacsPackages.keyset | |
x86_64-linux home-assistant-component-tests.media_source | |
x86_64-linux haskellPackages.network-simple | |
aarch64-darwin localproxy | |
x86_64-linux haskellPackages.ghcjs-ajax | |
x86_64-linux python311Packages.jupyterlab | |
aarch64-darwin tlsclient | |
x86_64-linux haskellPackages.http-io-streams | |
x86_64-darwin python312Packages.types-aiobotocore-accessanalyzer | |
aarch64-linux libretro.meteor | |
aarch64-darwin idrisPackages.vdom | |
x86_64-linux python311Packages.pyunpack | |
aarch64-linux python311Packages.lexid | |
x86_64-linux emacsPackages.fastdef | |
x86_64-darwin python311Packages.python-ndn | |
x86_64-darwin python312Packages.pyfireservicerota | |
aarch64-linux python311Packages.pyqtgraph | |
aarch64-linux libsForQt5.pix | |
aarch64-linux python312Packages.django-haystack | |
aarch64-linux python312Packages.flask-caching | |
aarch64-linux iconnamingutils | |
aarch64-darwin perl538Packages.TestWWWMechanizeCGI | |
x86_64-darwin rerun | |
x86_64-darwin python311Packages.langid | |
aarch64-linux nerd-font-patcher | |
x86_64-linux linuxPackages-libre.nvidia_x11_vulkan_beta | |
aarch64-darwin license-cli | |
x86_64-darwin python312Packages.scrapy-fake-useragent | |
x86_64-linux rubyPackages_3_2.cocoapods | |
aarch64-linux otf2bdf | |
x86_64-linux haskellPackages.threepenny-gui | |
x86_64-linux megaglest | |
aarch64-linux python312Packages.socksio | |
aarch64-linux python312Packages.volvooncall | |
x86_64-darwin python312Packages.pydoe | |
x86_64-linux qcard | |
x86_64-linux davix | |
x86_64-darwin python311Packages.genpy | |
aarch64-linux python311Packages.requests-gssapi | |
aarch64-darwin python311Packages.pygatt | |
aarch64-darwin python311Packages.zict | |
x86_64-linux erlfmt | |
aarch64-linux python312Packages.nixpkgs-pytools | |
aarch64-darwin hostsblock | |
aarch64-linux mariadb | |
aarch64-darwin libsForQt5.polonium | |
x86_64-darwin python311Packages.youless-api | |
aarch64-linux haskellPackages.unit-constraint | |
aarch64-linux python311Packages.vxi11 | |
x86_64-linux python311Packages.zarr | |
aarch64-linux haskellPackages.matrices | |
aarch64-darwin perl538Packages.TestFilename | |
aarch64-darwin vimPlugins.vim-easy-align | |
aarch64-linux emacsPackages.leaf | |
x86_64-linux python312Packages.yalexs | |
aarch64-linux python311Packages.decopatch | |
x86_64-linux rubyPackages_3_3.git | |
aarch64-darwin plasma5Packages.oxygen-icons5 | |
x86_64-linux perl538Packages.X11IdleTime | |
aarch64-linux musly | |
x86_64-linux plasma5Packages.polkit-kde-agent | |
aarch64-darwin python311Packages.pyre-extensions | |
x86_64-linux emacsPackages.dante | |
aarch64-linux emacsPackages.docker-cli | |
aarch64-darwin python312Packages.autofaiss | |
x86_64-darwin haskellPackages.sharc-timbre | |
x86_64-darwin haskellPackages.miniterion | |
x86_64-darwin c3c | |
x86_64-darwin python312Packages.mwparserfromhell | |
aarch64-linux haskellPackages.treeseq | |
aarch64-darwin libtins | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.icu-i18n | |
aarch64-darwin emacsPackages.arview | |
x86_64-darwin spectra | |
aarch64-darwin perl538Packages.LWPProtocolhttp10 | |
aarch64-darwin sollya | |
x86_64-linux bossa-arduino | |
aarch64-darwin log4cplus | |
aarch64-darwin libhandy | |
x86_64-darwin python312Packages.pywerview | |
x86_64-linux python312Packages.pytibber | |
aarch64-darwin python311Packages.rmrl | |
aarch64-linux emacsPackages.micromamba | |
x86_64-darwin kdePackages.qtlottie | |
aarch64-linux emacsPackages.dsvn | |
aarch64-linux irqbalance | |
aarch64-darwin python311Packages.container-inspector | |
x86_64-darwin cm256cc | |
aarch64-darwin function-runner | |
aarch64-darwin emacsPackages.ebib-sidecar | |
aarch64-linux plasma5Packages.ghostwriter | |
aarch64-darwin emacsPackages.on-parens | |
aarch64-linux ell | |
aarch64-linux python311Packages.pybotvac | |
x86_64-linux rubyPackages_3_3.http-accept | |
x86_64-darwin emacsPackages.ido-flex-with-migemo | |
aarch64-linux quesoglc | |
aarch64-darwin emacsPackages.ebuku | |
aarch64-linux emacsPackages.github-search | |
x86_64-darwin haskellPackages.flush-queue | |
aarch64-linux haskellPackages.units-parser | |
x86_64-linux emacsPackages.find-file-in-repository | |
aarch64-linux python312Packages.google-cloud-core | |
x86_64-linux rubyPackages_3_2.gobject-introspection | |
aarch64-darwin python311Packages.macfsevents | |
x86_64-linux emacsPackages.c-eldoc | |
x86_64-linux babashka | |
x86_64-linux haskellPackages.vector-rotcev | |
aarch64-darwin haskellPackages.cabal-sign | |
x86_64-darwin python311Packages.send2trash | |
x86_64-darwin luaPackages.cldr | |
x86_64-linux emacsPackages.terminal-toggle | |
x86_64-linux python311Packages.types-aiobotocore-ecs | |
aarch64-darwin python311Packages.zope-copy | |
aarch64-linux python311Packages.pymata-express | |
x86_64-linux emacsPackages.osx-browse | |
x86_64-darwin gping | |
aarch64-darwin emacsPackages.flycheck-jest | |
x86_64-linux linuxKernel.packages.linux_6_6.rr-zen_workaround | |
x86_64-linux sbclPackages.cl-csv | |
aarch64-linux lubelogger | |
aarch64-linux libcifpp | |
x86_64-linux vimPlugins.virt-column-nvim | |
aarch64-linux vimPlugins.deoplete-rust | |
x86_64-linux haskellPackages.blaze | |
x86_64-linux emacsPackages.haskell-tab-indent | |
aarch64-darwin emacsPackages.org-mime | |
aarch64-linux libzra | |
aarch64-darwin golines | |
x86_64-linux unrar-wrapper | |
aarch64-linux python312Packages.nipy | |
aarch64-darwin python312Packages.python-lzf | |
aarch64-darwin simplehttp2server | |
aarch64-linux appdaemon | |
x86_64-darwin perl536Packages.CatalystTraitForRequestProxyBase | |
x86_64-linux mlir_17 | |
x86_64-linux haskellPackages.mustache | |
x86_64-linux python311Packages.rdkit | |
x86_64-linux clipper2 | |
x86_64-linux didyoumean | |
aarch64-darwin emacsPackages.keydef | |
aarch64-darwin haskellPackages.kind-apply | |
aarch64-darwin libsidplayfp | |
x86_64-darwin lighthouse-steamvr | |
x86_64-darwin python311Packages.utils | |
x86_64-darwin python312Packages.google-cloud-bigquery-storage | |
x86_64-darwin python312Packages.qrcode | |
x86_64-linux gnome.dconf-editor | |
x86_64-darwin perl538Packages.StringFormatter | |
x86_64-linux sublime-merge-dev | |
aarch64-linux emacsPackages.sequential-yank | |
aarch64-linux python312Packages.aws-lambda-builders | |
x86_64-linux libsForQt5.qtdbusextended | |
x86_64-linux python311Packages.pontos | |
aarch64-linux python312Packages.requests-mock | |
x86_64-linux vgmstream | |
aarch64-linux gnome.gnome-power-manager | |
x86_64-linux home-assistant-component-tests.media_extractor | |
x86_64-linux emacsPackages.forecast | |
aarch64-linux emacsPackages.gvariant | |
aarch64-darwin emacsPackages.zweilight-theme | |
x86_64-darwin llvmPackages_14.libunwind | |
x86_64-darwin msitools | |
x86_64-darwin python311Packages.diff-cover | |
x86_64-linux libspecbleach | |
aarch64-linux python311Packages.rich-argparse | |
x86_64-linux gimx | |
x86_64-darwin prometheus-dnssec-exporter | |
aarch64-linux python311Packages.types-aiobotocore-acm-pca | |
aarch64-darwin libsForQt5.qtpositioning | |
aarch64-darwin qt5.qtscript | |
x86_64-darwin lua52Packages.rocks-dev-nvim | |
aarch64-darwin kubectl-view-allocations | |
aarch64-darwin flowgger | |
x86_64-linux python312Packages.pysimplesoap | |
aarch64-darwin haskellPackages.xml-basic | |
aarch64-linux python312Packages.jupyter-server | |
x86_64-darwin streamlit | |
x86_64-linux python312Packages.pyeconet | |
x86_64-linux libqmi | |
x86_64-linux libsForQt5.bluez-qt | |
x86_64-darwin python311Packages.lhapdf | |
aarch64-linux python311Packages.pyxnat | |
x86_64-linux python311Packages.slob | |
x86_64-darwin python312Packages.dataclass-factory | |
aarch64-darwin emacsPackages.soothe-theme | |
x86_64-linux emacsPackages.runtests | |
aarch64-linux gnome.ghex | |
aarch64-darwin python312Packages.azure-mgmt-billing | |
aarch64-darwin inshellisense | |
x86_64-linux php81Extensions.openssl | |
aarch64-darwin eclib | |
aarch64-darwin vimix-cursor-theme | |
x86_64-darwin python311Packages.python-toolbox | |
aarch64-linux python311Packages.oslo-log | |
x86_64-linux notesnook | |
x86_64-linux emacsPackages.poporg | |
aarch64-linux python311Packages.serverlessrepo | |
aarch64-darwin python312Packages.pyssim | |
x86_64-darwin flyway | |
aarch64-darwin qt5.qtlocation | |
x86_64-darwin source-han-code-jp | |
x86_64-darwin htop-vim | |
aarch64-linux monero-gui | |
aarch64-darwin gnome-online-accounts | |
aarch64-darwin python311Packages.quandl | |
aarch64-linux haskellPackages.retry-effectful | |
x86_64-darwin python312Packages.mypy-boto3-resiliencehub | |
x86_64-darwin chickenPackages_5.chickenEggs.mdh | |
aarch64-darwin perl536Packages.ListSomeUtils | |
aarch64-darwin python311Packages.liccheck | |
aarch64-darwin python311Packages.patrowl4py | |
x86_64-darwin python311Packages.asyncssh | |
x86_64-darwin python311Packages.nimfa | |
x86_64-linux weave-gitops | |
x86_64-darwin python311Packages.mypy-boto3-s3 | |
x86_64-linux hammer | |
x86_64-linux linuxPackages_latest.r8168 | |
aarch64-linux helm-docs | |
aarch64-linux python312Packages.dicttoxml | |
x86_64-darwin python312Packages.osqp | |
x86_64-darwin python311Packages.pyscard | |
x86_64-darwin python312Packages.xnatpy | |
x86_64-linux python311Packages.circuit-webhook | |
x86_64-linux haskellPackages.path-text-utf8 | |
aarch64-darwin emacsPackages.decide | |
aarch64-darwin haskellPackages.hidapi | |
aarch64-linux circom | |
aarch64-linux python312Packages.spectral-cube | |
aarch64-darwin haskellPackages.composable-associations | |
x86_64-darwin postgresql14Packages.pgroonga | |
aarch64-linux postgresql12JitPackages.pg_hll | |
x86_64-linux routersploit | |
x86_64-darwin emacsPackages.right-click-context | |
aarch64-linux python312Packages.bite-parser | |
x86_64-darwin haskellPackages.xml-basic | |
x86_64-darwin python311Packages.assertpy | |
x86_64-linux haskellPackages.keera-hails-mvc-view | |
x86_64-linux linuxPackages_xanmod.prl-tools | |
x86_64-linux python312Packages.systemd | |
aarch64-linux s3backer | |
aarch64-linux emacsPackages.treemacs-all-the-icons | |
x86_64-linux haskellPackages.aws-xray-client-persistent | |
aarch64-darwin emacsPackages.mqr | |
aarch64-darwin emacsPackages.litanize | |
x86_64-linux linuxKernel.packages.linux_4_19.kernel | |
x86_64-linux nomad_1_7 | |
x86_64-darwin goodhosts | |
aarch64-darwin emacsPackages.lxc | |
aarch64-darwin python312Packages.deepdiff | |
aarch64-darwin haskellPackages.pa-prelude | |
x86_64-linux pantheon.elementary-iconbrowser | |
x86_64-darwin python312Packages.clikit | |
x86_64-linux minetest-mapserver | |
x86_64-darwin perl536Packages.MooseXAliases | |
aarch64-linux jack-example-tools | |
aarch64-linux slingshot | |
x86_64-darwin emacsPackages.figlet | |
x86_64-darwin haskellPackages.uid | |
aarch64-linux python312Packages.pefile | |
aarch64-linux vimPlugins.vim-javacomplete2 | |
x86_64-darwin gnat12Packages.gprbuild-boot | |
x86_64-linux linuxPackages_lqx.ipu6-drivers | |
aarch64-linux python312Packages.pynvml | |
x86_64-darwin python311Packages.w1thermsensor | |
aarch64-linux python311Packages.palace | |
aarch64-darwin emacsPackages.iodine-theme | |
x86_64-darwin haskellPackages.hackage-plot | |
x86_64-darwin lbreakouthd | |
aarch64-darwin perl538Packages.SubStrictDecl | |
aarch64-linux dduper | |
aarch64-linux emacsPackages.twilight-theme | |
aarch64-darwin python311Packages.aiokafka | |
aarch64-darwin python311Packages.notion-client | |
x86_64-linux python311Packages.types-aiobotocore-mediastore-data | |
aarch64-darwin emacsPackages.ox-nikola | |
x86_64-linux emacsPackages.project-persist-drawer | |
aarch64-darwin perl538Packages.HTTPTinyish | |
aarch64-linux python311Packages.ansible-kernel | |
x86_64-darwin zapzap | |
x86_64-linux python311Packages.huggingface-hub | |
aarch64-darwin python311Packages.vulcan-api | |
x86_64-darwin emacsPackages.jvm-mode | |
x86_64-linux prisma-engines | |
aarch64-linux surge-cli | |
aarch64-linux trackma-gtk | |
x86_64-darwin rubyPackages_3_3.mime-types | |
aarch64-linux autocorrect | |
aarch64-linux emacsPackages.supergenpass | |
x86_64-linux python312Packages.keyrings-cryptfile | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.Qt5QuickTest | |
aarch64-linux rep-grep | |
aarch64-linux pipreqs | |
aarch64-linux python312Packages.llama-index-readers-twitter | |
aarch64-linux vimPlugins.coc-html | |
aarch64-darwin hmat-oss | |
x86_64-linux vimPlugins.limelight-vim | |
aarch64-darwin emacsPackages.extend-dnd | |
aarch64-darwin python311Packages.grpcio-gcp | |
x86_64-darwin emacsPackages.sayid | |
aarch64-darwin python311Packages.keepkey | |
aarch64-linux ibus-engines.anthy | |
aarch64-linux python311Packages.flake8-blind-except | |
aarch64-darwin passh | |
aarch64-darwin python311Packages.pyld | |
aarch64-linux llvmPackages_12.clang-manpages | |
x86_64-darwin electrum | |
aarch64-darwin perl538Packages.NumberFraction | |
x86_64-linux idrisPackages.transducers | |
aarch64-linux python312Packages.oscpy | |
aarch64-darwin hostess | |
x86_64-linux cudaPackages_11.cudnn_7_4 | |
aarch64-darwin python311Packages.unicrypto | |
aarch64-darwin sha1collisiondetection | |
aarch64-darwin python311Packages.hachoir | |
x86_64-darwin haskellPackages.incipit-core | |
aarch64-linux home-assistant-component-tests.konnected | |
aarch64-darwin haskellPackages.wai-middleware-hmac | |
x86_64-linux lemmeknow | |
aarch64-darwin darwin.locale | |
x86_64-linux drone-runner-docker | |
x86_64-darwin haskellPackages.strict-list | |
aarch64-linux python312Packages.datasette-template-sql | |
aarch64-darwin python312Packages.thriftpy2 | |
x86_64-darwin fblog | |
x86_64-linux python312Packages.accupy | |
aarch64-darwin python311Packages.wcwidth | |
aarch64-linux tests.testers.runNixOSTest-example | |
x86_64-darwin python311Packages.commonmark | |
x86_64-darwin python312Packages.types-aiobotocore-arc-zonal-shift | |
x86_64-linux python312Packages.http-message-signatures | |
aarch64-darwin python311Packages.apispec | |
aarch64-darwin python311Packages.datatable | |
x86_64-linux libsForQt5.plasma-vault | |
x86_64-darwin python311Packages.bravado-core | |
aarch64-darwin mackerel-agent | |
aarch64-darwin afuse | |
aarch64-linux dotbot | |
aarch64-darwin haskellPackages.opentheory-bits | |
x86_64-linux pass-nodmenu | |
aarch64-darwin sofia_sip | |
x86_64-darwin vimPlugins.vim-slash | |
x86_64-darwin untex | |
x86_64-darwin perl536Packages.CatalystViewCSV | |
aarch64-darwin python312Packages.rkm-codes | |
x86_64-linux php81Extensions.filter | |
aarch64-linux haskellPackages.torsor | |
x86_64-darwin python312Packages.types-aiobotocore-codeguru-security | |
aarch64-linux python312Packages.python-technove | |
x86_64-linux python312Packages.mdformat-toc | |
x86_64-linux kicli | |
x86_64-darwin perl536Packages.TextCSVEncoded | |
x86_64-darwin firebird-emu | |
aarch64-linux python311Packages.repocheck | |
x86_64-linux kuma | |
x86_64-darwin python312Packages.medpy | |
x86_64-darwin glew | |
aarch64-linux postgresql16Packages.pg_topn | |
x86_64-darwin emacsPackages.speed-type | |
aarch64-darwin emacsPackages.sudo-ext | |
aarch64-darwin perl538Packages.DevelCheckOS | |
aarch64-darwin vimPlugins.nvim-yarp | |
aarch64-linux python311Packages.types-aiobotocore-payment-cryptography | |
aarch64-linux sudo-rs | |
x86_64-linux emacsPackages.smart-mode-line | |
aarch64-linux python311Packages.ratarmountcore | |
x86_64-linux python311Packages.pysnmp-pysmi | |
x86_64-darwin haskellPackages.copilot-interpreter | |
aarch64-linux emacsPackages.rbtagger | |
x86_64-linux emacsPackages.unipoint | |
aarch64-darwin quartoMinimal | |
x86_64-linux postgresql16JitPackages.pg_roaringbitmap | |
x86_64-linux python311Packages.aiormq | |
x86_64-darwin dependency-track-exporter | |
aarch64-linux python311Packages.svgelements | |
aarch64-linux emacsPackages.helm-smex | |
aarch64-linux haskellPackages.filemanip | |
aarch64-darwin emacsPackages.nyan-mode | |
aarch64-darwin python312Packages.exif | |
aarch64-linux python312Packages.criticality-score | |
aarch64-linux python312Packages.debts | |
aarch64-linux open-music-kontrollers.eteroj | |
aarch64-linux python311Packages.annexremote | |
x86_64-linux gnat13Packages.gnatcoll-postgres | |
x86_64-linux emacsPackages.awk-yasnippets | |
aarch64-darwin python311Packages.nose2 | |
aarch64-darwin python312Packages.micloud | |
aarch64-linux python312Packages.openpyxl | |
x86_64-darwin gtop | |
x86_64-darwin mullvad-closest | |
x86_64-linux postgresql16JitPackages.pg_cron | |
aarch64-linux kdePackages.kstatusnotifieritem | |
x86_64-darwin zynaddsubfx-fltk | |
aarch64-linux linuxPackages_5_4_hardened.openafs | |
x86_64-linux python311Packages.deepdiff | |
x86_64-linux python312Packages.mypy-boto3-cloudsearchdomain | |
x86_64-darwin python312Packages.django-i18nfield | |
aarch64-linux neocmakelsp | |
x86_64-linux gnomeExtensions.mypubip | |
aarch64-darwin dabet | |
aarch64-darwin haskellPackages.haskell-to-elm | |
x86_64-linux pipenv | |
aarch64-darwin python311Packages.google-cloud-org-policy | |
aarch64-linux xorg.libXfixes | |
x86_64-darwin chickenPackages_5.chickenEggs.ftl | |
x86_64-linux python312Packages.zipfile2 | |
aarch64-linux haskellPackages.lifted-stm | |
x86_64-darwin emacsPackages.omn-mode | |
aarch64-darwin doulos-sil | |
x86_64-linux gostatic | |
x86_64-darwin azure-cli-extensions.k8s-extension | |
x86_64-darwin rubyPackages_3_2.regexp_parser | |
aarch64-darwin emacsPackages.project-explorer | |
x86_64-darwin tikzit | |
aarch64-darwin prettier-d-slim | |
x86_64-darwin perl538Packages.RegexpCommon | |
x86_64-darwin emacsPackages.org-movies | |
x86_64-linux python312Packages.stringcase | |
x86_64-darwin perl538Packages.LinguaPTStemmer | |
x86_64-darwin perl536Packages.ConvertBencode | |
x86_64-linux python311Packages.libclang | |
x86_64-darwin python312Packages.ipwhl | |
x86_64-linux python312Packages.mypy-boto3-timestream-query | |
aarch64-linux rubyPackages_3_3.cocoapods-acknowledgements | |
aarch64-darwin mate.mate-session-manager | |
x86_64-linux rocmPackages_6.miopen | |
x86_64-darwin mpd-small | |
aarch64-darwin python311Packages.casbin | |
x86_64-linux vmpk | |
x86_64-darwin aspellDicts.hy | |
aarch64-linux python312Packages.pytorch-msssim | |
aarch64-linux haskellPackages.ihaskell-basic | |
x86_64-linux kdePackages.kcolorchooser | |
aarch64-linux uair | |
x86_64-darwin perl536Packages.CatalystActionRenderView | |
aarch64-linux haskellPackages.base64-bytestring | |
x86_64-linux emacsPackages.pianobar | |
x86_64-darwin mnemonicode | |
x86_64-darwin haskellPackages.free | |
x86_64-darwin minizign | |
x86_64-linux pactorio | |
x86_64-linux linuxPackages_6_1_hardened.tbs | |
x86_64-linux haskellPackages.chr-core | |
x86_64-linux concurrently | |
x86_64-linux nix-info-tested | |
x86_64-linux mlx42 | |
aarch64-linux CuboCore.corepdf | |
x86_64-darwin python312Packages.yosys | |
x86_64-linux emacsPackages.helm-open-github | |
x86_64-darwin perl538Packages.PerlCritic | |
aarch64-linux python312Packages.hdf5plugin | |
x86_64-darwin haskellPackages.bugzilla-redhat | |
x86_64-darwin litebrowser | |
x86_64-darwin rubyPackages_3_1.msgpack | |
x86_64-linux python312Packages.azure-mgmt-security | |
x86_64-darwin slipstream | |
aarch64-darwin vimPlugins.whitespace-nvim | |
aarch64-darwin acgtk | |
x86_64-linux wl-mirror | |
x86_64-darwin haskellPackages.DBFunctor | |
aarch64-darwin drive | |
x86_64-linux domine | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-207 | |
x86_64-darwin terraform-providers.google-beta | |
aarch64-darwin haskellPackages.double-extra | |
aarch64-darwin pbzip2 | |
x86_64-linux sink-rotate | |
x86_64-darwin emacsPackages.omni-kill | |
aarch64-darwin haskellPackages.kparams | |
x86_64-linux haskellPackages.hsyslog | |
aarch64-linux dotnetPackages.Nuget | |
aarch64-linux python311Packages.python-tado | |
aarch64-darwin python312Packages.bsuite | |
x86_64-linux dhall-nix | |
x86_64-darwin python312Packages.llama-index-question-gen-openai | |
x86_64-linux geopard | |
x86_64-linux haskellPackages.limp | |
x86_64-linux haskellPackages.fuzzyset | |
aarch64-darwin kitsas | |
aarch64-darwin python311Packages.mypy-boto3-lakeformation | |
aarch64-linux python312Packages.imageio-ffmpeg | |
aarch64-linux BeatSaberModManager | |
x86_64-darwin perl536Packages.CatalystPluginSessionStoreFastMmap | |
x86_64-darwin emacsPackages.linum-relative | |
aarch64-darwin xorg.libSM | |
x86_64-linux python311Packages.bcf | |
x86_64-darwin emacsPackages.centered-window | |
x86_64-darwin perl536Packages.InlineC | |
x86_64-darwin python312Packages.aiohttp-swagger | |
x86_64-linux haskellPackages.QuasiText | |
aarch64-linux emacsPackages.wordsmith-mode | |
x86_64-linux vimPlugins.Colour-Sampler-Pack | |
x86_64-linux buildbotPackages.buildbot-full | |
x86_64-darwin emacsPackages.ruby-extra-highlight | |
x86_64-darwin python312Packages.versiontools | |
x86_64-darwin haskellPackages.repr-tree-syb | |
x86_64-linux vimPlugins.coc-tailwindcss | |
aarch64-darwin python312Packages.textx | |
aarch64-linux haskellPackages.hlibsass | |
x86_64-darwin emacsPackages.npm-mode | |
x86_64-linux linuxPackages_latest-libre.odp-dpdk | |
x86_64-darwin python312Packages.vertica-python | |
aarch64-linux python311Packages.bech32 | |
aarch64-linux vimPlugins.bufdelete-nvim | |
aarch64-darwin perl536Packages.XMLParser | |
x86_64-linux linuxPackages_5_4_hardened.mxu11x0 | |
x86_64-linux haskellPackages.bits | |
x86_64-linux hxcpp | |
x86_64-darwin python312Packages.mypy-boto3-codecatalyst | |
x86_64-linux python311Packages.push-receiver | |
x86_64-darwin elmPackages.elm-xref | |
x86_64-linux python311Packages.trezor-agent | |
aarch64-linux haskellPackages.text-icu | |
aarch64-linux haskellPackages.HUnit-approx | |
x86_64-linux python311Packages.pwndbg | |
x86_64-linux elvis-erlang | |
x86_64-darwin python312Packages.sacremoses | |
aarch64-darwin haskellPackages.vinyl | |
aarch64-darwin httplz | |
aarch64-darwin haskellPackages.snap-blaze | |
aarch64-linux theLoungePlugins.themes.amoled | |
x86_64-darwin haskellPackages.cabal-test-bin | |
aarch64-linux haskellPackages.snappy-lazy | |
x86_64-linux libsForQt5.phonon-backend-gstreamer | |
x86_64-darwin python3 | |
x86_64-linux idrisPackages.permutations | |
x86_64-darwin gnome-desktop | |
aarch64-darwin haskellPackages.genvalidity | |
x86_64-linux xorg.libXcursor | |
aarch64-darwin python312Packages.trailrunner | |
x86_64-linux root | |
x86_64-linux python312Packages.typesentry | |
x86_64-linux php82Extensions.memcached | |
aarch64-linux python311Packages.noiseprotocol | |
aarch64-darwin emacsPackages.ivy-todo | |
x86_64-linux imageworsener | |
x86_64-linux haskellPackages.lift-read-show | |
aarch64-linux python311Packages.pytest-arraydiff | |
aarch64-linux python312Packages.g2pkk | |
x86_64-darwin goffice | |
aarch64-darwin perl536Packages.CatalystPluginAuthorizationACL | |
x86_64-darwin pysqlrecon | |
aarch64-linux python311Packages.astral | |
aarch64-linux python311Packages.libcomps | |
x86_64-linux linuxKernel.packages.linux_latest_libre.r8168 | |
x86_64-linux plasma5Packages.tokodon | |
x86_64-darwin lua53Packages.fifo | |
x86_64-darwin libyubikey | |
aarch64-darwin emacsPackages.cowsay | |
x86_64-linux ircdog | |
x86_64-darwin haskellPackages.dns | |
x86_64-darwin python311Packages.nipype | |
x86_64-darwin holo-build | |
x86_64-linux python312Packages.azure-mgmt-authorization | |
x86_64-linux emacsPackages.cape | |
aarch64-linux python312Packages.django-rest-polymorphic | |
aarch64-linux python312Packages.ua-parser | |
aarch64-linux scriv | |
aarch64-linux python311Packages.twine | |
aarch64-linux emacsPackages.pyvenv-auto | |
x86_64-linux mpvScripts.mpris | |
x86_64-darwin dolphin-emu | |
aarch64-linux python312Packages.renault-api | |
aarch64-darwin haskellPackages.stm-delay | |
aarch64-darwin ueberzug | |
x86_64-darwin perl538Packages.FileDesktopEntry | |
aarch64-linux emacsPackages.persistent-overlays | |
x86_64-linux haskellPackages.network-wait | |
aarch64-linux python311Packages.pep8 | |
aarch64-linux python312Packages.mdformat-toc | |
aarch64-darwin emacsPackages.nushell-ts-mode | |
x86_64-darwin emacsPackages.bbyac | |
x86_64-linux haskellPackages.io-region | |
aarch64-linux python311Packages.google-cloud-iot | |
x86_64-linux linuxPackages_5_10_hardened.nvidia_x11_beta | |
aarch64-darwin python312Packages.hatch-requirements-txt | |
aarch64-linux emacsPackages.flymake-vala | |
x86_64-darwin python312Packages.dlx | |
aarch64-linux vimPlugins.intero-neovim | |
x86_64-linux vimPlugins.neotest-haskell | |
aarch64-linux haskellPackages.json-directory | |
aarch64-linux linuxKernel.packages.linux_6_8.lkrg | |
x86_64-linux emacsPackages.egg-timer | |
x86_64-linux python311Packages.tree-sitter | |
x86_64-linux luaPackages.libluv | |
x86_64-darwin haskellPackages.mailbox-count | |
aarch64-darwin bmake | |
x86_64-linux gollum | |
x86_64-linux geocode-glib | |
x86_64-darwin python311Packages.types-aiobotocore-gamelift | |
x86_64-darwin telepathy-gabble | |
x86_64-linux home-assistant-component-tests.caldav | |
aarch64-darwin dydisnix | |
x86_64-darwin haskellPackages.ProxN | |
x86_64-darwin emacsPackages.org-clock-convenience | |
x86_64-darwin emacsPackages.rufo | |
x86_64-darwin perl536Packages.RoleHasMessage | |
aarch64-linux emacsPackages.lsp-pascal | |
x86_64-linux haskellPackages.gemini-exports | |
aarch64-linux emacsPackages.netease-cloud-music | |
x86_64-darwin haskellPackages.ghc-typelits-knownnat | |
x86_64-darwin python311Packages.mypy-boto3-secretsmanager | |
x86_64-linux citrix_workspace_23_11_0 | |
aarch64-linux jd-gui | |
x86_64-darwin python311Packages.zxing-cpp | |
x86_64-linux python312Packages.iminuit | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.mwprocapture | |
x86_64-linux python312Packages.mypy-boto3-transcribe | |
aarch64-linux python312Packages.types-aiobotocore-gamesparks | |
x86_64-linux haskellPackages.net-mqtt-rpc | |
x86_64-linux python311Packages.colander | |
aarch64-linux ledfx | |
x86_64-darwin i2pd | |
x86_64-linux prometheus-keylight-exporter | |
x86_64-darwin python312Packages.lnkparse3 | |
aarch64-darwin python312Packages.normality | |
x86_64-linux gluesql | |
x86_64-darwin python311Packages.sabyenc | |
x86_64-darwin rubyPackages_3_2.dep-selector-libgecode | |
aarch64-linux plasma5Packages.kactivities-stats | |
x86_64-darwin python311Packages.dissect-ntfs | |
aarch64-linux haskellPackages.amqp | |
aarch64-darwin python311Packages.django-configurations | |
x86_64-linux cargo-generate | |
x86_64-linux haskellPackages.keylayouts | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.surface | |
aarch64-linux fxload | |
x86_64-linux home-assistant-component-tests.climate | |
x86_64-darwin fortls | |
aarch64-linux linuxPackages_xanmod_stable.rtl8821cu | |
x86_64-darwin php83Packages.phpmd | |
x86_64-linux postgresql12Packages.pg_bigm | |
x86_64-darwin blocksat-cli | |
aarch64-darwin dodgy | |
x86_64-linux haskellPackages.koji | |
x86_64-linux linuxPackages_5_10_hardened.rtl88x2bu | |
aarch64-linux tlsx | |
x86_64-darwin emacsPackages.dark-mint-theme | |
aarch64-linux haskellPackages.histogram-simple | |
x86_64-linux emacsPackages.kapacitor | |
x86_64-darwin python311Packages.libkeepass | |
x86_64-darwin python312Packages.opentypespec | |
aarch64-linux timeshift-minimal | |
aarch64-darwin luajitPackages.ldbus | |
x86_64-darwin perl538Packages.DigestCRC | |
x86_64-linux python311Packages.pyclimacell | |
x86_64-darwin pgcenter | |
aarch64-linux emacsPackages.morgentau-theme | |
x86_64-linux vimPlugins.tagbar | |
aarch64-linux python311Packages.skorch | |
aarch64-darwin mark | |
aarch64-darwin python312Packages.playsound | |
aarch64-linux python312Packages.pyexploitdb | |
x86_64-darwin emacsPackages.yoshi-theme | |
aarch64-linux python311Packages.types-aiobotocore-signer | |
aarch64-darwin gmrun | |
aarch64-darwin emacsPackages.ob-coffee | |
x86_64-darwin python311Packages.libxml2 | |
x86_64-darwin perl536Packages.ModuleManifest | |
x86_64-darwin diffuse | |
aarch64-linux python312Packages.pkce | |
x86_64-darwin emacsPackages.flymake-php | |
x86_64-linux haskellPackages.diagrams-input | |
aarch64-darwin lua53Packages.luarocks-build-rust-mlua | |
x86_64-linux tuir | |
aarch64-darwin emacsPackages.jack | |
x86_64-linux python312Packages.bnnumerizer | |
aarch64-darwin python311Packages.pyyaml-include | |
x86_64-darwin postgresqlJitPackages.pg_safeupdate | |
x86_64-linux haskell.compiler.ghc982 | |
aarch64-linux python311Packages.jaraco-collections | |
aarch64-linux vkeybd | |
aarch64-linux haskellPackages.string-similarity | |
x86_64-darwin emacsPackages.quarto-mode | |
x86_64-linux marker | |
aarch64-darwin perl538Packages.HeapFibonacci | |
x86_64-darwin rita | |
aarch64-darwin python311Packages.pypass | |
x86_64-darwin vimPlugins.vimagit | |
x86_64-darwin emacsPackages.emojify-logos | |
x86_64-darwin perl538Packages.ConvertASN1 | |
aarch64-linux python311Packages.pytest-notebook | |
aarch64-linux haskellPackages.snowflake | |
x86_64-linux python312Packages.frigidaire | |
x86_64-darwin python311Packages.hyperion-py | |
x86_64-linux theLoungePlugins.themes.flat-blue | |
x86_64-darwin emacsPackages.nasm-mode | |
x86_64-darwin thonny | |
x86_64-darwin python312Packages.prometheus-client | |
aarch64-darwin wasmedge | |
x86_64-linux emacsPackages.ws-butler | |
x86_64-darwin python312Packages.ec2instanceconnectcli | |
aarch64-linux azure-cli-extensions.bastion | |
x86_64-linux cargo-udeps | |
x86_64-darwin python311Packages.pulsectl | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.ryzen-smu | |
aarch64-darwin haskellPackages.http-query | |
x86_64-darwin haskellPackages.uniform-time | |
x86_64-linux python311Packages.sqlparse | |
aarch64-linux vimPlugins.limelight-vim | |
x86_64-linux veryfasttree | |
x86_64-linux pmd | |
x86_64-darwin python311Packages.milksnake | |
aarch64-linux inkscape-extensions.textext | |
x86_64-linux python311Packages.opencensus-ext-azure | |
aarch64-linux python312Packages.python-nmap | |
aarch64-darwin haskellPackages.project-template | |
x86_64-darwin csdp | |
x86_64-linux python311Packages.urlpy | |
aarch64-linux vimPlugins.vim-lsp-settings | |
aarch64-linux haskellPackages.hasql-optparse-applicative | |
x86_64-darwin postgresql13JitPackages.promscale_extension | |
x86_64-darwin perl536Packages.MP3Info | |
x86_64-darwin abi-dumper | |
x86_64-darwin emacsPackages.julia-ts-mode | |
x86_64-linux linuxPackages_lqx.virtualboxGuestAdditions | |
aarch64-linux python312Packages.pymavlink | |
x86_64-linux vimPlugins.omni-vim | |
x86_64-linux cudaPackages.tensorrt_8_2 | |
aarch64-darwin git-repo-updater | |
x86_64-darwin hxcpp | |
aarch64-darwin vimPlugins.coq_nvim | |
x86_64-darwin qsyncthingtray | |
x86_64-linux dvdbackup | |
aarch64-linux python312Packages.google-cloud-automl | |
aarch64-darwin k8sgpt | |
aarch64-linux vassal | |
x86_64-linux vimPlugins.vim-easyescape | |
aarch64-linux linuxKernel.packages.linux_libre.rtl8189es | |
aarch64-linux emacsPackages.flymake-ruff | |
aarch64-darwin perl536Packages.TclpTk | |
aarch64-linux shotgun | |
aarch64-linux haskellPackages.bibtex | |
x86_64-darwin emacsPackages.dizzee | |
aarch64-linux python312Packages.geojson-client | |
x86_64-linux chickenPackages_5.chickenEggs.glls | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.requirements | |
x86_64-darwin python311Packages.dotwiz | |
aarch64-linux python311Packages.tlv8 | |
aarch64-linux postfix | |
aarch64-linux saxonb_8_8 | |
aarch64-linux python311Packages.click-option-group | |
x86_64-darwin python311Packages.hcs-utils | |
x86_64-linux optifinePackages.optifine-latest | |
aarch64-darwin python311Packages.whodap | |
aarch64-linux garble | |
x86_64-linux rubyPackages_3_2.mini_mime | |
x86_64-darwin python312Packages.rarfile | |
aarch64-linux home-assistant-component-tests.ruuvi_gateway | |
aarch64-darwin python312Packages.atomiclong | |
aarch64-linux linuxKernel.packages.linux_libre.pktgen | |
aarch64-linux isabelle | |
x86_64-linux tango-icon-theme | |
x86_64-darwin haskellPackages.wai-accept-language | |
aarch64-linux libressl_3_9 | |
x86_64-darwin inql | |
aarch64-darwin haskellPackages.zlib-bindings | |
aarch64-darwin emacsPackages.citeproc | |
x86_64-linux http-prompt | |
aarch64-linux python311Packages.flask-wtf | |
aarch64-linux emacsPackages.keystore-mode | |
aarch64-linux prometheus-dovecot-exporter | |
x86_64-linux linuxKernel.packages.linux_xanmod.v4l2loopback | |
aarch64-linux haskellPackages.devanagari-transliterations | |
aarch64-linux bumpver | |
aarch64-darwin guile-lib | |
x86_64-linux python312Packages.python-lsp-jsonrpc | |
aarch64-darwin haskellPackages.amazonka-workdocs | |
aarch64-linux python312Packages.graphql-subscription-manager | |
x86_64-darwin hadolint | |
aarch64-linux run-scaled | |
aarch64-darwin haskellPackages.graphite | |
aarch64-linux zoneminder | |
aarch64-darwin libsForQt5.qtwebengine | |
aarch64-darwin u2ps | |
x86_64-linux python312Packages.exchangelib | |
x86_64-darwin python312Packages.cftime | |
x86_64-darwin osmtogeojson | |
aarch64-darwin vde2 | |
x86_64-darwin python311Packages.pysabnzbd | |
aarch64-darwin gloox | |
x86_64-darwin python312Packages.types-aiobotocore-secretsmanager | |
x86_64-darwin python312Packages.pybtex | |
x86_64-darwin haskellPackages.sydtest-process | |
aarch64-darwin cf-vault | |
x86_64-darwin emacsPackages.dired-avfs | |
x86_64-linux linuxPackages_5_4_hardened.hyperv-daemons | |
x86_64-linux linuxKernel.packages.linux_6_1.vendor-reset | |
x86_64-darwin haskellPackages.genvalidity-hspec-optics | |
x86_64-linux gnome.gnome-chess | |
aarch64-linux vimPlugins.pretty-fold-nvim | |
aarch64-darwin isabelle | |
aarch64-linux python311Packages.google-cloud-bigquery-logging | |
x86_64-linux ex_doc | |
aarch64-darwin lua54Packages.rocks-dev-nvim | |
aarch64-linux python312Packages.pyvex | |
aarch64-linux johnny-reborn | |
aarch64-darwin python311Packages.scapy | |
x86_64-darwin python312Packages.bencoder | |
x86_64-darwin python311Packages.parso | |
aarch64-linux python312Packages.ply | |
aarch64-darwin qbittorrent-nox | |
x86_64-linux gopatch | |
x86_64-darwin python311Packages.pyscss | |
aarch64-linux sagittarius-scheme | |
aarch64-darwin haskellPackages.idris | |
x86_64-linux haskellPackages.hasktorch-types-th | |
aarch64-linux linuxKernel.packages.linux_6_1.ddcci-driver | |
x86_64-darwin python311Packages.ansible-builder | |
x86_64-linux php83Packages.php-codesniffer | |
aarch64-linux emacsPackages.org-doing | |
x86_64-darwin vimPlugins.highlight-undo-nvim | |
aarch64-darwin python311Packages.deform | |
x86_64-linux cudaPackages_11.cudnn_8_1 | |
x86_64-darwin fltk | |
x86_64-linux mapserver | |
aarch64-darwin tests.cuda.cudaPackages_11_0.saxpy | |
x86_64-darwin libsForQt5.kpackage | |
x86_64-darwin emacsPackages.tea-time | |
aarch64-darwin perl536Packages.EncodeIMAPUTF7 | |
aarch64-darwin emacsPackages.session-async | |
aarch64-linux vimPlugins.timestamp-vim | |
x86_64-linux openjpeg | |
aarch64-darwin perl538Packages.NTLM | |
aarch64-darwin python311Packages.timelib | |
aarch64-darwin luajitPackages.luabitop | |
x86_64-linux firefox-esr-unwrapped | |
aarch64-linux haskellPackages.refined1 | |
aarch64-linux haskellPackages.sydtest-servant | |
aarch64-linux emacsPackages.helm-pass | |
aarch64-darwin kubexit | |
x86_64-linux python311Packages.headerparser | |
aarch64-linux idrisPackages.test | |
x86_64-darwin vimPlugins.nvim_context_vt | |
x86_64-darwin ecos | |
aarch64-darwin python311Packages.llama-index-vector-stores-google | |
x86_64-darwin emacsPackages.kmb | |
aarch64-linux python311Packages.nose-warnings-filters | |
x86_64-darwin python312Packages.types-aiobotocore-payment-cryptography-data | |
x86_64-linux postgresqlPackages.pgtap | |
aarch64-linux emacsPackages.thingopt | |
x86_64-linux vimPlugins.verilog_systemverilog-vim | |
aarch64-darwin python311Packages.arpy | |
aarch64-linux dssp | |
x86_64-linux emacsPackages.google-translate | |
x86_64-linux cudaPackagesGoogle.cutensor_1_4 | |
aarch64-linux python311Packages.argos-translate-files | |
aarch64-linux sourcehut.hgsrht | |
x86_64-darwin emacsPackages.lux-mode | |
x86_64-darwin emacsPackages.restclient-helm | |
x86_64-darwin python311Packages.dissect-etl | |
aarch64-linux emacsPackages.package-build | |
x86_64-linux haskellPackages.ChannelT | |
aarch64-linux llvmPackages_17.mlir | |
x86_64-darwin perl538Packages.ExceptionClass | |
aarch64-linux python312Packages.hcs-utils | |
aarch64-darwin emacsPackages.trr | |
aarch64-darwin vendir | |
x86_64-linux aviator | |
aarch64-darwin perl538Packages.ParseSyslog | |
aarch64-linux emacsPackages.find-things-fast | |
x86_64-darwin emacsPackages.session | |
x86_64-darwin stanc | |
x86_64-linux haskellPackages.safe-money-serialise | |
x86_64-darwin cargo-vibe | |
x86_64-darwin neovim-remote | |
x86_64-linux qt5.qtdeclarative | |
aarch64-darwin curl-impersonate-ff | |
aarch64-darwin python312Packages.pydeconz | |
x86_64-darwin nbstripout | |
aarch64-linux grmon | |
aarch64-linux clipgrab | |
x86_64-linux python311Packages.bytewax | |
aarch64-darwin telepathy-glib | |
aarch64-darwin rcm | |
x86_64-linux haskellPackages.socks | |
x86_64-darwin azure-cli-extensions.ip-group | |
x86_64-linux plasma5Packages.kio-extras | |
aarch64-linux haskellPackages.functor-apply | |
x86_64-darwin comic-neue | |
x86_64-darwin python311Packages.sonarr | |
x86_64-linux python311Packages.openllm | |
aarch64-linux playwright-test | |
aarch64-linux libbpf | |
x86_64-linux python311Packages.types-aiobotocore-es | |
x86_64-linux terraform-providers.pagerduty | |
aarch64-darwin python312Packages.pyspcwebgw | |
x86_64-linux obitools3 | |
x86_64-darwin lua54Packages.lua-term | |
aarch64-darwin perl538Packages.ParserMGC | |
aarch64-darwin kakounePlugins.kakoune-state-save | |
x86_64-darwin tubekit-unwrapped | |
aarch64-linux haskellPackages.gtk-toggle-button-list | |
x86_64-linux python311Packages.fontfeatures | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.jool | |
x86_64-linux haskellPackages.una | |
aarch64-darwin haskellPackages.leanpub-wreq | |
aarch64-darwin neil | |
x86_64-darwin python311Packages.pyisy | |
aarch64-darwin python311Packages.types-aiobotocore-proton | |
x86_64-linux chickenPackages_5.chickenEggs.taglib | |
x86_64-darwin vimPlugins.telescope-z-nvim | |
aarch64-darwin kdiff3 | |
aarch64-darwin python312Packages.ics | |
x86_64-linux terraform-providers.azuread | |
aarch64-linux pypy39 | |
x86_64-darwin gh-poi | |
aarch64-darwin otpauth | |
x86_64-linux python312Packages.pytest-examples | |
x86_64-linux emacsPackages.sort-words | |
aarch64-linux tests.hardeningFlags.stackProtectorStdenvUnsupp | |
aarch64-linux august | |
aarch64-darwin emacsPackages.iterator | |
aarch64-linux python312Packages.proton-vpn-session | |
x86_64-linux dinghy | |
aarch64-darwin audacity | |
aarch64-darwin emacsPackages.csv-mode | |
aarch64-darwin haskellPackages.groupoids | |
x86_64-linux emacsPackages.test-cockpit | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.new-lg4ff | |
x86_64-darwin emacsPackages.helm-esa | |
x86_64-darwin incrtcl | |
x86_64-darwin emacsPackages.fullframe | |
x86_64-linux azure-cli-extensions.quota | |
x86_64-linux python311Packages.cached-property | |
aarch64-linux coqPackages.Cheerios | |
aarch64-darwin python311Packages.pdfx | |
aarch64-linux python312Packages.pysigma-pipeline-sysmon | |
aarch64-linux vimPlugins.litee-symboltree-nvim | |
aarch64-linux libsForQt5.plasma-browser-integration | |
x86_64-linux python311Packages.detectron2 | |
x86_64-linux emacsPackages.org-gtd | |
x86_64-darwin python312Packages.hawkauthlib | |
x86_64-linux opencl-clhpp | |
x86_64-linux vimPlugins.cmp-cmdline | |
aarch64-darwin chickenPackages_5.chickenEggs.henrietta | |
aarch64-darwin emacsPackages.ox-trac | |
x86_64-linux haskellPackages.open-symbology | |
aarch64-darwin wapm-cli | |
x86_64-linux qt5.qtquickcontrols2 | |
aarch64-darwin python312Packages.pybox2d | |
aarch64-darwin materia-theme | |
x86_64-linux python311Packages.jstyleson | |
x86_64-linux python311Packages.billiard | |
aarch64-darwin python311Packages.pymongo | |
x86_64-linux lua52Packages.readline | |
aarch64-darwin python312Packages.aiooncue | |
x86_64-linux python311Packages.pysearpc | |
aarch64-linux cinnamon.mint-x-icons | |
x86_64-linux kdePackages.qgpgme | |
x86_64-darwin a52dec | |
aarch64-linux emacsPackages.mini-frame | |
x86_64-linux vimPlugins.telescope-ui-select-nvim | |
x86_64-darwin python312Packages.torchvision | |
x86_64-darwin lilypond-with-fonts | |
aarch64-linux lld_16 | |
aarch64-linux python311Packages.types-aiobotocore-pinpoint-sms-voice-v2 | |
aarch64-linux postgresql13Packages.pg_topn | |
x86_64-linux python311Packages.python-rapidjson | |
x86_64-linux emacsPackages.merlin | |
aarch64-linux php82 | |
x86_64-linux tp-auto-kbbl | |
x86_64-darwin perl536Packages.LocaleUtilsPlaceholderNamed | |
x86_64-linux haskellPackages.hledger-interest | |
aarch64-darwin python311Packages.plyplus | |
x86_64-linux haskellPackages.pos | |
aarch64-darwin haskellPackages.byteset | |
aarch64-darwin rubyPackages.activemodel | |
x86_64-darwin perl536Packages.CryptPerl | |
x86_64-linux xorg.fontmiscmisc | |
x86_64-darwin vimPlugins.edgy-nvim | |
aarch64-linux haskellPackages.amazonka-mgn | |
aarch64-darwin castor | |
x86_64-linux emacsPackages.json-par | |
x86_64-linux linuxPackages_xanmod_stable.openrazer | |
aarch64-darwin emacsPackages.magit-popup | |
aarch64-linux plujain-ramp | |
aarch64-darwin python311Packages.ipywidgets | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.teal | |
x86_64-darwin libnixxml | |
x86_64-linux emacsPackages.multi-line | |
aarch64-darwin perl538Packages.TemplateTimer | |
x86_64-linux rubyPackages_3_2.tty-color | |
aarch64-darwin python312Packages.python-ctags3 | |
aarch64-linux wastebin | |
aarch64-linux julia_110 | |
x86_64-linux python311Packages.datafusion | |
aarch64-linux cro-mag-rally | |
aarch64-darwin binsort | |
aarch64-darwin fsautocomplete | |
aarch64-linux haskellPackages.data-partition | |
aarch64-darwin ripdrag | |
aarch64-linux vimPlugins.asyncomplete-file-vim | |
x86_64-darwin haskellPackages.acl2 | |
x86_64-darwin passage | |
x86_64-darwin haskellPackages.idris | |
x86_64-linux python311Packages.pysftp | |
aarch64-darwin lua53Packages.neotest | |
aarch64-linux vimPlugins.floating-input-nvim | |
x86_64-linux libsForQt5.rlottie-qml | |
x86_64-darwin emacsPackages.xmind-org | |
aarch64-linux emacsPackages.tabby-mode | |
aarch64-darwin perl536Packages.X11ProtocolOther | |
x86_64-darwin smpq | |
x86_64-linux emacsPackages.exwm-mff | |
aarch64-darwin sftpgo | |
x86_64-linux gnomeExtensions.ddnet-friends-panel | |
aarch64-linux rocmPackages_5.llvm.libunwind | |
x86_64-darwin emacsPackages.doxy-graph-mode | |
aarch64-linux python312Packages.eth-abi | |
x86_64-linux pantheon.elementary-tasks | |
aarch64-darwin vimPlugins.vim-dirvish-git | |
aarch64-linux emacsPackages.shen-elisp | |
x86_64-darwin python311Packages.pygccxml | |
aarch64-linux python311Packages.rpmfluff | |
x86_64-linux vimPlugins.vim-mustache-handlebars | |
aarch64-darwin lxgw-wenkai | |
aarch64-darwin mpg123 | |
x86_64-darwin python312Packages.wand | |
aarch64-linux linuxPackages_zen.rtl8189es | |
aarch64-linux rubyPackages_3_2.cocoapods-update-if-you-dare | |
aarch64-darwin haskellPackages.partial-semigroup | |
x86_64-linux python312Packages.django-multiselectfield | |
aarch64-linux ocs-url | |
aarch64-darwin python311Packages.pynanoleaf | |
aarch64-linux linuxKernel.packages.linux_5_10.cpupower | |
x86_64-darwin eigen2 | |
aarch64-darwin tests.cc-wrapper.llvmTests.llvmPackages_12.clang | |
aarch64-darwin funzzy | |
x86_64-darwin llvmPackages_18.compiler-rt-no-libc | |
x86_64-darwin python311Packages.svg-path | |
x86_64-darwin python312Packages.jdatetime | |
aarch64-darwin python311Packages.mypy-boto3-appfabric | |
aarch64-linux linuxPackages_5_15_hardened.system76-scheduler | |
aarch64-darwin qoi | |
aarch64-linux linuxKernel.packages.linux_5_10.rtl8812au | |
aarch64-darwin haskellPackages.mastermind | |
aarch64-darwin python312Packages.pykira | |
x86_64-linux antlr | |
x86_64-linux emacsPackages.lsp-haskell | |
x86_64-linux haskellPackages.line-size | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.system76-acpi | |
x86_64-darwin glow-lang | |
x86_64-darwin lnav | |
aarch64-linux open-watcom-v2-unwrapped | |
aarch64-darwin haskellPackages.dconf2nix | |
aarch64-darwin haskellPackages.adhoc-fixtures | |
aarch64-darwin rubyPackages.bigdecimal | |
x86_64-darwin pleroma-bot | |
x86_64-darwin emacsPackages.flymake-sass | |
x86_64-darwin haskellPackages.aivika-lattice | |
x86_64-darwin haskellPackages.async-refresh | |
aarch64-linux magma_2_7_2 | |
x86_64-linux python311Packages.ansible-vault-rw | |
aarch64-darwin emacsPackages.csharp-mode | |
aarch64-linux haskellPackages.amazonka-cognito-sync | |
aarch64-linux linuxPackages_5_15_hardened.shufflecake | |
aarch64-darwin vimPlugins.telescope-fzf-native-nvim | |
x86_64-linux lua52Packages.rest-nvim | |
x86_64-darwin hspell | |
aarch64-linux python312Packages.ufo2ft | |
aarch64-linux linuxPackages_xanmod_latest.gasket | |
x86_64-linux python311Packages.ush | |
aarch64-linux emacsPackages.indent-control | |
x86_64-linux tests.cuda.cudaPackages_12.saxpy | |
x86_64-darwin python312Packages.exrex | |
x86_64-linux python312Packages.google-ai-generativelanguage | |
aarch64-darwin zluda | |
x86_64-linux python311Packages.types-aiobotocore-payment-cryptography-data | |
x86_64-darwin chickenPackages_5.chickenEggs.genann | |
aarch64-linux python312Packages.itypes | |
aarch64-darwin haskellPackages.bindings-sqlite3 | |
x86_64-linux python312Packages.amaranth-soc | |
aarch64-linux python312Packages.mypy-boto3-comprehendmedical | |
x86_64-linux zotero_7 | |
aarch64-darwin python311Packages.bandcamp-api | |
aarch64-linux luaPackages.lualogging | |
x86_64-darwin python311Packages.xkbcommon | |
aarch64-linux mozillavpn | |
aarch64-darwin nim_lk | |
x86_64-linux linuxPackages_4_19_hardened.nvidia_x11_stable_open | |
aarch64-linux unrar-wrapper | |
x86_64-linux libfprint-2-tod1-goodix | |
aarch64-linux linuxKernel.packages.linux_6_6.mwprocapture | |
x86_64-linux hyprland-protocols | |
aarch64-linux postgresqlJitPackages.pgsodium | |
x86_64-darwin perl536Packages.EnvPath | |
x86_64-darwin emacsPackages.projectile-speedbar | |
aarch64-darwin rubyPackages_3_1.pkg-config | |
x86_64-linux linuxKernel.packages.linux_6_8.openafs_1_8 | |
aarch64-linux emacsPackages.c-eval | |
x86_64-darwin python312Packages.pyzbar | |
x86_64-linux emacsPackages.scanner | |
x86_64-linux linuxPackages_xanmod.tbs | |
aarch64-linux haskellPackages.unique-logic | |
x86_64-linux home-assistant-component-tests.renault | |
aarch64-linux emacsPackages.el2org | |
aarch64-darwin kanji-stroke-order-font | |
aarch64-linux cargo-mommy | |
aarch64-linux emacsPackages.magit | |
x86_64-linux linuxKernel.packages.linux_4_19.rtl88x2bu | |
x86_64-darwin vimPlugins.vim-nerdtree-syntax-highlight | |
x86_64-linux linuxKernel.packages.linux_6_8.lkrg | |
aarch64-darwin pinniped | |
aarch64-linux emacsPackages.firestarter | |
x86_64-linux haskellPackages.genvalidity-criterion | |
aarch64-darwin python311Packages.zstd | |
aarch64-darwin emacsPackages.hmac | |
x86_64-linux haskellPackages.midi-alsa | |
x86_64-darwin python312Packages.meteofrance-api | |
x86_64-darwin apkid | |
aarch64-linux linuxKernel.packages.linux_6_8.oci-seccomp-bpf-hook | |
x86_64-linux roslyn-ls | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.rtw88 | |
x86_64-darwin python312Packages.jello | |
x86_64-darwin python312Packages.orvibo | |
x86_64-linux python312Packages.rstr | |
x86_64-darwin libvterm-neovim | |
aarch64-linux deluged | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.capnp | |
x86_64-linux tests.cuda.cudaPackages_11_2.cuda-samples | |
aarch64-darwin rusty-man | |
aarch64-darwin perl536Packages.LocaleMOFile | |
aarch64-darwin emacsPackages.org-inline-anim | |
aarch64-linux haskellPackages.pure-fft | |
x86_64-linux python312Packages.open-interpreter | |
aarch64-linux python312Packages.django-cacheops | |
x86_64-darwin python312Packages.aiomysql | |
aarch64-linux python312Packages.txzmq | |
aarch64-darwin rubyPackages_3_2.websocket-driver | |
x86_64-linux freepv | |
aarch64-darwin merge-fmt | |
x86_64-linux python312Packages.fastparquet | |
x86_64-darwin python312Packages.meshlabxml | |
x86_64-linux emacsPackages.flymake-racket | |
x86_64-linux linuxPackages_4_19_hardened.openrazer | |
x86_64-linux python312Packages.foxdot | |
x86_64-linux python312Packages.requests-aws4auth | |
x86_64-linux qt-box-editor | |
aarch64-linux statik | |
aarch64-darwin corundum | |
aarch64-darwin python311Packages.gitpython | |
aarch64-linux haskellPackages.brick-tabular-list | |
aarch64-darwin dysk | |
x86_64-darwin python311Packages.asyncio-throttle | |
aarch64-linux emacsPackages.key-leap | |
aarch64-linux theLoungePlugins.themes.new-morning-compact | |
aarch64-linux python312Packages.pyipp | |
x86_64-darwin llvmPackages_14.clangNoLibcxx | |
aarch64-darwin emacsPackages.latexdiff | |
aarch64-linux python311Packages.types-aiobotocore-opensearch | |
x86_64-linux haskellPackages.amazonka-mobile | |
x86_64-darwin python311Packages.tpm2-pytss | |
x86_64-darwin webhook | |
x86_64-linux python312Packages.mypy-boto3-sso-oidc | |
aarch64-darwin emacsPackages.fold-dwim-org | |
x86_64-darwin hfst-ospell | |
x86_64-darwin xfce.xfce4-weather-plugin | |
aarch64-linux libgnt | |
x86_64-darwin python311Packages.python-tado | |
x86_64-linux slimserver | |
x86_64-linux vimPlugins.deoplete-jedi | |
x86_64-linux micropad | |
aarch64-darwin haskellPackages.ascii | |
aarch64-linux rubyPackages_3_3.activerecord | |
aarch64-linux emacsPackages.org-notebook | |
aarch64-darwin python312Packages.anitopy | |
x86_64-linux python312Packages.venusian | |
x86_64-darwin python311Packages.inotify-simple | |
x86_64-linux libubox | |
x86_64-linux python312Packages.mypy-boto3-arc-zonal-shift | |
aarch64-linux python311Packages.azure-mgmt-applicationinsights | |
x86_64-darwin testdisk-qt | |
aarch64-linux vimPlugins.coc-sh | |
x86_64-darwin python311Packages.orvibo | |
x86_64-darwin emacsPackages.flatland-black-theme | |
aarch64-linux emacsPackages.mu4e-views | |
aarch64-linux rubyPackages_3_2.net-smtp | |
x86_64-linux emacsPackages.lxd-tramp | |
x86_64-darwin emacsPackages.realgud-trepan-ni | |
aarch64-darwin perl538Packages.CompressUnLZMA | |
aarch64-linux inkscape-with-extensions | |
aarch64-linux python311Packages.sphinxcontrib-excel-table | |
x86_64-darwin python312Packages.python-socketio | |
aarch64-darwin perl538Packages.PodPerldoc | |
aarch64-darwin emacsPackages.wgsl-mode | |
x86_64-linux python312Packages.keyrings-alt | |
x86_64-linux neomutt | |
aarch64-linux perl536Packages.NetSMTPTLS | |
x86_64-darwin python311Packages.pyhamcrest | |
x86_64-darwin lua52Packages.argparse | |
x86_64-darwin teller | |
x86_64-linux pcp | |
aarch64-linux home-assistant-component-tests.heos | |
x86_64-linux imaginer | |
aarch64-darwin python312Packages.slither-analyzer | |
aarch64-linux xorg.xeyes | |
aarch64-darwin python312Packages.pyimpfuzzy | |
x86_64-darwin enscript | |
aarch64-darwin tmsu | |
aarch64-darwin python312Packages.mypy-boto3-simspaceweaver | |
x86_64-linux linuxPackages_xanmod.apfs | |
aarch64-linux python311Packages.openant | |
aarch64-darwin r128gain | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.xtst | |
x86_64-darwin emacsPackages.ox-textile | |
x86_64-linux cw | |
x86_64-darwin python311Packages.unrpa | |
aarch64-darwin python311Packages.huawei-lte-api | |
x86_64-darwin emacsPackages.virtual-auto-fill | |
aarch64-darwin pass-secret-service | |
x86_64-linux win-spice | |
x86_64-darwin postgresqlPackages.cstore_fdw | |
x86_64-darwin python312Packages.pymediaroom | |
x86_64-darwin rubyPackages_3_2.language_server-protocol | |
x86_64-linux haskellPackages.c-storable-deriving | |
x86_64-linux ecdsautils | |
x86_64-linux emacsPackages.vertigo | |
aarch64-linux python312Packages.xmod | |
x86_64-linux vimPlugins.compe-tabnine | |
x86_64-linux fire | |
aarch64-darwin python312Packages.ircstates | |
aarch64-linux vimPlugins.coc-smartf | |
x86_64-linux xtrace | |
aarch64-linux python312Packages.kubernetes | |
x86_64-linux home-assistant-component-tests.rdw | |
aarch64-darwin lua51Packages.lua-cjson | |
aarch64-darwin python312Packages.hatasmota | |
aarch64-linux emacsPackages.emacsql-mysql | |
aarch64-linux plasma5Packages.kaddressbook | |
x86_64-darwin python311Packages.iteration-utilities | |
aarch64-darwin python311Packages.tagoio-sdk | |
x86_64-linux emacsPackages.avk-emacs-themes | |
aarch64-linux emacsPackages.eping | |
aarch64-darwin luajitPackages.sqlite | |
x86_64-linux milu | |
x86_64-linux tests.hardeningFlags-gcc.fortify1ExplicitEnabledCmdlineDisabled | |
x86_64-darwin perl536Packages.TclpTk | |
aarch64-linux gnome-extensions-cli | |
x86_64-linux python311Packages.pywinrm | |
x86_64-linux linuxKernel.packages.linux_zen.rtl8188eus-aircrack | |
x86_64-darwin emacsPackages.rudel | |
aarch64-linux llvmPackages_14.llvm | |
x86_64-linux python312Packages.django-haystack | |
aarch64-darwin perl538Packages.CatalystPluginCaptcha | |
x86_64-linux emacsPackages.monky | |
aarch64-linux emacsPackages.go-imenu | |
aarch64-darwin python312Packages.zopfli | |
x86_64-darwin python311Packages.types-aiobotocore-amplify | |
aarch64-darwin miniplayer | |
x86_64-darwin yadm | |
x86_64-darwin emacsPackages.define-it | |
aarch64-darwin coreth | |
x86_64-linux python311Packages.snakemake-interface-common | |
x86_64-darwin haskellPackages.xml-to-json-fast | |
aarch64-darwin haskellPackages.ghcjs-dom | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.linux-gpib | |
aarch64-darwin tailor | |
x86_64-linux linuxKernel.packages.linux_libre.cpupower | |
x86_64-linux lingot | |
aarch64-linux gitnuro | |
x86_64-linux idrisPackages.eternal | |
aarch64-darwin perl536Packages.AsyncPing | |
x86_64-linux python312Packages.pyworld | |
x86_64-linux evolution | |
x86_64-linux gsctl | |
x86_64-darwin perl538Packages.AstroFITSHeader | |
x86_64-linux linuxKernel.packages.linux_6_1.cpupower | |
aarch64-linux emacsPackages.helm-shell-history | |
x86_64-linux factorio | |
x86_64-linux python311Packages.simple-websocket-server | |
aarch64-darwin haskellPackages.glasso | |
aarch64-darwin python312Packages.mortgage | |
x86_64-darwin haskellPackages.lambda-placeholders | |
x86_64-linux python312Packages.pyvesync | |
x86_64-linux python312Packages.pyment | |
aarch64-linux dleyna-server | |
aarch64-linux i-pi | |
aarch64-linux lomiri.hfd-service | |
aarch64-darwin python311Packages.pyprecice | |
x86_64-darwin python312Packages.pytest-instafail | |
aarch64-darwin bencode | |
aarch64-linux python312Packages.anonip | |
x86_64-darwin emacsPackages.chaos-mode | |
x86_64-linux emacsPackages.discover-my-major | |
aarch64-linux kdePackages.ffmpegthumbs | |
x86_64-darwin python312Packages.loqedapi | |
x86_64-darwin perl538Packages.TestRunPluginAlternateInterpreters | |
x86_64-linux linuxPackages_hardened.nvidia_x11_beta | |
aarch64-darwin perl536Packages.PerlCriticCommunity | |
aarch64-linux vimPlugins.xterm-color-table-vim | |
x86_64-linux pass | |
x86_64-darwin terraform-providers.external | |
aarch64-darwin ci-edit | |
aarch64-darwin python311Packages.django-celery-beat | |
x86_64-linux home-assistant-component-tests.hyperion | |
aarch64-linux emacsPackages.emacsql-sqlite-builtin | |
x86_64-darwin emacsPackages.khoj | |
x86_64-linux emacsPackages.auto-complete-exuberant-ctags | |
x86_64-linux python312Packages.grapheme | |
aarch64-darwin python311Packages.trezor-agent | |
aarch64-darwin onlykey-agent | |
x86_64-darwin haskellPackages.type-equality-check | |
x86_64-darwin sambaFull | |
aarch64-darwin eget | |
x86_64-linux python311Packages.time-machine | |
x86_64-linux sherlock | |
x86_64-linux linuxKernel.packages.linux_6_8.virtio_vmmci | |
x86_64-linux emacsPackages.ace-popup-menu | |
aarch64-linux emacsPackages.ctable | |
aarch64-linux linuxPackages_5_4_hardened.mbp2018-bridge-drv | |
x86_64-darwin dbxml | |
x86_64-linux python311Packages.numba-scipy | |
aarch64-linux python311Packages.bases | |
aarch64-linux python311Packages.opentelemetry-test-utils | |
x86_64-linux python311Packages.pyyaml-env-tag | |
x86_64-darwin bsnes-hd | |
aarch64-darwin perl538Packages.TestPodCoverage | |
x86_64-linux python312Packages.time-machine | |
x86_64-linux python312Packages.pygount | |
x86_64-darwin python311Packages.opsdroid-get-image-size | |
x86_64-linux python312Packages.azure-mgmt-maps | |
x86_64-linux vimPlugins.tmuxline-vim | |
x86_64-linux python311Packages.netdata | |
aarch64-darwin haskellPackages.simple-session | |
x86_64-linux python312Packages.types-aiobotocore-cognito-idp | |
aarch64-linux plasma5Packages.ktorrent | |
x86_64-linux emacsPackages.lexbind-mode | |
x86_64-linux emacsPackages.dayone | |
aarch64-darwin rubyPackages_3_3.mustermann | |
x86_64-linux python312Packages.types-aiobotocore-connectcampaigns | |
aarch64-darwin rubyPackages_3_3.jekyll-theme-tactile | |
aarch64-linux emacsPackages.org-roam-ql-ql | |
x86_64-linux fastqc | |
aarch64-darwin python311Packages.tencentcloud-sdk-python | |
aarch64-darwin jpegoptim | |
x86_64-linux emacsPackages.outrespace | |
aarch64-linux python311Packages.aiotractive | |
x86_64-darwin python311Packages.lupupy | |
aarch64-linux inspectrum | |
aarch64-darwin virter | |
x86_64-linux haskellPackages.ping-parser-attoparsec | |
aarch64-linux haskellPackages.data-has | |
x86_64-darwin python311Packages.libsass | |
x86_64-darwin emacsPackages.p4 | |
x86_64-darwin python312Packages.astropy-extension-helpers | |
aarch64-linux haskellPackages.QuickCheck-safe | |
x86_64-linux python311Packages.mdutils | |
aarch64-darwin luajitPackages.luaossl | |
aarch64-linux haskellPackages.ghc-debug-convention | |
aarch64-linux haskellPackages.persistent-pagination | |
x86_64-linux mpvScripts.inhibit-gnome | |
aarch64-linux rewritefs | |
aarch64-linux emacsPackages.tox | |
aarch64-linux gnome.libgnome-keyring | |
x86_64-darwin posteid-seed-extractor | |
x86_64-darwin haskellPackages.time-interval | |
x86_64-linux haskellPackages.drama | |
x86_64-darwin python312Packages.grafanalib | |
aarch64-darwin haskellPackages.sleep | |
x86_64-linux vimPlugins.deoplete-vim-lsp | |
x86_64-darwin python311Packages.airtouch4pyapi | |
aarch64-linux wiiuse | |
x86_64-linux cudaPackages_11.tensorrt_8_0 | |
x86_64-darwin rubyPackages.jekyll-optional-front-matter | |
x86_64-darwin haskellPackages.hakyll-contrib-hyphenation | |
aarch64-darwin python311Packages.pysigma-pipeline-windows | |
x86_64-darwin daktilo | |
x86_64-linux coqPackages.extructures | |
aarch64-darwin rubyPackages.patron | |
aarch64-linux python311Packages.pyjks | |
aarch64-linux python311Packages.datasette | |
x86_64-darwin python311Packages.google-cloud-workflows | |
aarch64-darwin python311Packages.influxdb | |
aarch64-linux python311Packages.grpclib | |
x86_64-linux python312Packages.zeep | |
x86_64-darwin tests.cuda.cudaPackages_11_6.saxpy | |
aarch64-darwin haskellPackages.type-fun | |
aarch64-darwin pachyderm | |
aarch64-darwin stderred | |
aarch64-darwin python312Packages.sigrok | |
aarch64-darwin haskellPackages.generic-env | |
aarch64-linux python311Packages.types-aiobotocore-connectcampaigns | |
aarch64-darwin vultr | |
aarch64-linux avro-c | |
aarch64-darwin pydeps | |
x86_64-linux vimPlugins.barbecue-nvim | |
x86_64-darwin wayback_machine_downloader | |
x86_64-linux luajitPackages.fennel | |
x86_64-darwin rtl-sdr-librtlsdr | |
x86_64-darwin sca2d | |
x86_64-darwin python312Packages.pycketcasts | |
aarch64-darwin python312Packages.advocate | |
aarch64-linux emacsPackages.consult-yasnippet | |
x86_64-darwin haskellPackages.LetsBeRational | |
aarch64-darwin python312Packages.hatch-jupyter-builder | |
x86_64-linux emacsPackages.openwith | |
x86_64-darwin emacsPackages.kotlin-mode | |
x86_64-linux python312Packages.easyenergy | |
x86_64-linux python312Packages.ppscore | |
x86_64-linux python311Packages.pyfluidsynth | |
aarch64-linux haskellPackages.tagstream-conduit | |
x86_64-darwin python312Packages.screeninfo | |
x86_64-darwin emacsPackages.pomidor | |
x86_64-darwin rure | |
x86_64-darwin optifinePackages.optifine_1_17_1 | |
x86_64-linux tlaplusToolbox | |
aarch64-linux python312Packages.waitress | |
x86_64-darwin dnsmon-go | |
x86_64-darwin llvmPackages_14.libcxx | |
x86_64-linux everspace | |
x86_64-linux php82Extensions.snmp | |
aarch64-darwin pstree | |
x86_64-darwin chickenPackages_5.chickenEggs.expat | |
x86_64-darwin python311Packages.ambee | |
x86_64-darwin spidermonkey_115 | |
x86_64-linux xedit | |
aarch64-darwin rubyPackages_3_2.jekyll-redirect-from | |
aarch64-linux supercronic | |
aarch64-linux emacsPackages.zettelkasten | |
x86_64-darwin emacsPackages.helm-org | |
x86_64-linux emacsPackages.janet-mode | |
aarch64-linux ma1sd | |
x86_64-darwin rare-regex | |
x86_64-linux python311Packages.frozendict | |
x86_64-darwin python312Packages.acme-tiny | |
aarch64-darwin kdePackages.qtspeech | |
x86_64-linux emacsPackages.ix | |
aarch64-linux mihomo | |
x86_64-linux llvmPackages_15.libunwind | |
x86_64-linux python311Packages.cython_0 | |
x86_64-darwin haskellPackages.keera-hails-mvc-controller | |
x86_64-linux emacsPackages.melpa-upstream-visit | |
x86_64-linux emacsPackages.tangonov-theme | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.systemtap | |
x86_64-linux haskellPackages.gd | |
x86_64-darwin haskellPackages.hakyll-alectryon | |
aarch64-darwin emacsPackages.pig-mode | |
x86_64-darwin rubyPackages_3_2.jekyll-feed | |
aarch64-linux emacsPackages.consult-company | |
aarch64-linux onagre | |
aarch64-darwin emacsPackages.minibuf-isearch | |
aarch64-darwin mate.mate-polkit | |
aarch64-darwin perl536Packages.IOSocketINET6 | |
aarch64-linux vault-unseal | |
x86_64-linux python311Packages.google-cloud-tasks | |
aarch64-linux home-assistant-component-tests.forecast_solar | |
aarch64-linux haskellPackages.adjunctions | |
aarch64-darwin haskellPackages.csound-catalog | |
x86_64-darwin python311Packages.osc-sdk-python | |
aarch64-linux emacsPackages.evil-owl | |
x86_64-linux emacsPackages.npm | |
x86_64-linux haskellPackages.slynx | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.gasket | |
x86_64-darwin python311Packages.hpccm | |
x86_64-linux python312Packages.types-aiobotocore-mwaa | |
x86_64-linux haskellPackages.lambdabot-social-plugins | |
aarch64-linux python312Packages.drms | |
x86_64-darwin tinyprog | |
aarch64-darwin python311Packages.snakebite | |
x86_64-darwin perl538Packages.NetAmazonS3Policy | |
x86_64-linux emacsPackages.erc-scrolltoplace | |
x86_64-darwin llvmPackages_14.libclang | |
x86_64-linux haskellPackages.cooklang-hs | |
x86_64-darwin python311Packages.pyzipper | |
x86_64-darwin perl536Packages.TextTemplate | |
x86_64-linux octavePackages.communications | |
aarch64-darwin python311Packages.sphinxext-opengraph | |
aarch64-linux python311Packages.pytest-cases | |
x86_64-darwin speex | |
x86_64-linux rubyPackages_3_2.ffi-compiler | |
aarch64-linux kdePackages.cervisia | |
x86_64-linux emacsPackages.hi2 | |
aarch64-linux emacsPackages.cmm-mode | |
aarch64-darwin python312Packages.fst-pso | |
aarch64-darwin haskellPackages.Modulo | |
x86_64-darwin lua52Packages.funnyfiles-nvim | |
x86_64-linux python311Packages.types-aiobotocore-kinesis-video-media | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.nvidia_x11_stable_open | |
x86_64-darwin emacsPackages.flycheck-dialyzer | |
aarch64-darwin prometheus-consul-exporter | |
x86_64-linux libproxy | |
x86_64-darwin emacsPackages.cmake-font-lock | |
x86_64-linux fuc | |
aarch64-darwin hunspellDicts.en_AU | |
x86_64-linux python312Packages.azure-mgmt-extendedlocation | |
aarch64-linux python312Packages.scrapy-fake-useragent | |
x86_64-darwin eventstore | |
x86_64-linux emacsPackages.vhdl-tools | |
aarch64-linux linuxKernel.packages.linux_5_15.lttng-modules | |
x86_64-linux python312Packages.pymorphy3 | |
x86_64-darwin emacsPackages.wedge-ws | |
x86_64-darwin python312Packages.six | |
aarch64-linux haskellPackages.astar | |
x86_64-linux emacsPackages.qt-pro-mode | |
aarch64-linux libprom | |
aarch64-linux python311Packages.sigtools | |
aarch64-darwin emacsPackages.brazilian-holidays | |
x86_64-darwin rubyPackages_3_2.syntax_tree-haml | |
aarch64-darwin python312Packages.deemix | |
x86_64-darwin graylogPlugins.metrics | |
x86_64-linux python311Packages.shellingham | |
aarch64-linux kchmviewer | |
aarch64-linux emacsPackages.director | |
x86_64-linux pandoc-drawio-filter | |
x86_64-darwin freetds | |
aarch64-darwin haskellPackages.gitit | |
x86_64-linux gnss-sdr | |
x86_64-linux haskellPackages.coya | |
x86_64-linux emacsPackages.flymake-puppet | |
x86_64-linux lua53Packages.digestif | |
x86_64-darwin openfx | |
x86_64-darwin php83Packages.phan | |
x86_64-darwin python311Packages.types-aiobotocore-quicksight | |
aarch64-darwin python312Packages.fonttools | |
aarch64-linux python312Packages.pydyf | |
aarch64-linux python312Packages.binary2strings | |
aarch64-linux python311Packages.bleak-esphome | |
aarch64-linux agdaPackages.agda | |
x86_64-darwin rubyPackages.jekyll | |
x86_64-linux plasma5Packages.kgpg | |
x86_64-darwin kakoune | |
x86_64-linux python311Packages.aiohttp-zlib-ng | |
aarch64-darwin vimPlugins.project-nvim | |
x86_64-linux python311Packages.openstacksdk | |
aarch64-darwin perl536Packages.HTTPServerSimplePSGI | |
x86_64-darwin python311Packages.slugid | |
aarch64-darwin yapf | |
aarch64-linux python312Packages.docopt-ng | |
aarch64-darwin python312Packages.click-odoo-contrib | |
aarch64-darwin rex | |
aarch64-linux actiona | |
x86_64-linux python311Packages.pyoppleio | |
aarch64-darwin arion | |
x86_64-darwin emacsPackages.smarty-mode | |
x86_64-linux trezord | |
aarch64-darwin python312Packages.a2wsgi | |
x86_64-darwin python311Packages.weconnect-mqtt | |
x86_64-darwin python311Packages.pymicrobot | |
x86_64-linux linuxKernel.packages.linux_zen.zenpower | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.mxu11x0 | |
x86_64-linux vimPlugins.nerdtree | |
x86_64-linux emacsPackages.awk-ts-mode | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.gasket | |
x86_64-darwin emacsPackages.org-agenda-files-track-ql | |
aarch64-linux mullvad-vpn | |
x86_64-linux keepass-keepasshttp | |
x86_64-darwin haskellPackages.amazonka-connect-contact-lens | |
x86_64-linux emacsPackages.ibuffer-sidebar | |
aarch64-linux jsonrpc-glib | |
aarch64-darwin python312Packages.pywilight | |
x86_64-linux xcbuild | |
aarch64-darwin rubyPackages_3_2.patron | |
aarch64-darwin python312Packages.simanneal | |
aarch64-darwin rubyPackages_3_3.jsonpath | |
aarch64-linux haskellPackages.embeddock-example | |
aarch64-linux maestral | |
x86_64-darwin python312Packages.playwright-stealth | |
x86_64-darwin python311Packages.flask-sslify | |
aarch64-linux haskellPackages.word8 | |
aarch64-darwin emacsPackages.helm-tree-sitter | |
aarch64-darwin integresql | |
aarch64-linux llvmPackages_17.clang | |
aarch64-linux linuxKernel.packages.linux_libre.fanout | |
aarch64-linux python312Packages.types-aiobotocore-application-insights | |
x86_64-linux gerbolyze | |
x86_64-darwin perl536Packages.CryptRandPasswd | |
x86_64-darwin postgresql12JitPackages.citus | |
aarch64-darwin git-cinnabar | |
x86_64-linux python312Packages.python-uinput | |
aarch64-linux pspg | |
x86_64-darwin python312Packages.opentsne | |
x86_64-darwin python312Packages.roku | |
aarch64-linux python312Packages.cppe | |
x86_64-linux python312Packages.dissect-cobaltstrike | |
aarch64-linux game-music-emu | |
x86_64-darwin python311Packages.cmsis-pack-manager | |
x86_64-darwin rubyPackages_3_3.mini_portile2 | |
aarch64-linux haskellPackages.amazonka-drs | |
aarch64-linux python311Packages.azure-core | |
aarch64-darwin haskellPackages.criterion | |
x86_64-darwin emacsPackages.memolist | |
x86_64-linux python311Packages.pysptk | |
aarch64-linux vimPlugins.wtf-nvim | |
aarch64-darwin python312Packages.types-aiobotocore-resource-explorer-2 | |
aarch64-darwin haskellPackages.type-level-kv-list-esqueleto | |
aarch64-linux emacsPackages.nikola | |
x86_64-linux simulide_0_4_15 | |
aarch64-linux emacsPackages.imake | |
x86_64-darwin python312Packages.winsspi | |
aarch64-linux python311Packages.requests-hawk | |
aarch64-darwin python311Packages.langchain | |
x86_64-darwin whitesur-kde | |
aarch64-darwin llvmPackages_15.openmp | |
x86_64-darwin erlfmt | |
aarch64-darwin python311Packages.esphome-dashboard-api | |
x86_64-darwin pnpm-lock-export | |
x86_64-linux python312Packages.types-aiobotocore-mgn | |
x86_64-linux linuxKernel.packages.linux_5_15.ixgbevf | |
x86_64-linux linuxKernel.packages.linux_5_10.netatop | |
aarch64-linux home-assistant-component-tests.nuheat | |
x86_64-darwin emacsPackages.blog-minimal | |
x86_64-darwin python311Packages.setuptools-generate | |
aarch64-darwin ytalk | |
aarch64-darwin zsh-git-prompt | |
aarch64-darwin qt6.qtnetworkauth | |
aarch64-darwin python311Packages.obspy | |
aarch64-linux linuxKernel.packages.linux_6_8.odp-dpdk | |
x86_64-darwin python311Packages.types-aiobotocore-ecr | |
x86_64-linux linuxPackages-libre.v86d | |
aarch64-darwin chickenPackages_5.chickenEggs.list-utils | |
aarch64-linux afterstep | |
x86_64-darwin vimPlugins.coc-snippets | |
aarch64-darwin commonsDaemon | |
x86_64-linux haskellPackages.sydtest-servant | |
aarch64-linux python312Packages.pymediaroom | |
aarch64-darwin emacsPackages.backup-walker | |
x86_64-linux brise | |
x86_64-darwin python311Packages.tendo | |
x86_64-darwin haskellPackages.opentheory-stream | |
x86_64-darwin haskellPackages.reducers | |
x86_64-linux graalvmCEPackages.graaljs | |
aarch64-darwin precice | |
x86_64-darwin haskellPackages.dir-traverse | |
aarch64-darwin haskellPackages.sha-validation | |
aarch64-darwin python312Packages.python-trovo | |
aarch64-linux python312Packages.yamlfix | |
x86_64-linux python312Packages.compressai | |
x86_64-darwin haskellPackages.hs-opentelemetry-instrumentation-conduit | |
aarch64-linux llvmPackages_18.libclang | |
aarch64-linux espeakedit | |
aarch64-linux qucs-s | |
x86_64-linux plasma5Packages.kplotting | |
aarch64-linux python312Packages.west | |
aarch64-darwin haskellPackages.rio | |
aarch64-darwin aspellDicts.csb | |
aarch64-darwin haskellPackages.rocksdb-query | |
x86_64-darwin ghostunnel | |
x86_64-linux e2tools | |
aarch64-linux git-recent | |
aarch64-linux nc4nix | |
x86_64-linux python312Packages.picosvg | |
aarch64-darwin speedtest-rs | |
x86_64-linux dotnetCorePackages.dotnet_9.sdk | |
aarch64-linux emacsPackages.monotropic-theme | |
x86_64-linux ollama | |
aarch64-linux haskellPackages.phladiprelio-general-datatype | |
aarch64-darwin python311Packages.azure-datalake-store | |
x86_64-darwin perl538Packages.IOSocketINET6 | |
aarch64-linux azure-cli-extensions.redisenterprise | |
x86_64-linux python311Packages.types-aiobotocore-elbv2 | |
x86_64-darwin ueberzug | |
x86_64-darwin emacsPackages.espotify | |
aarch64-darwin libiodbc | |
aarch64-darwin haskellPackages.flip-cmd | |
x86_64-linux python312Packages.policyuniverse | |
x86_64-darwin python311Packages.batchspawner | |
x86_64-linux python311Packages.navec | |
x86_64-linux python312Packages.mdformat-admon | |
x86_64-darwin haskellPackages.sydtest-wai | |
x86_64-linux python311Packages.geometric | |
aarch64-darwin python311Packages.chat-downloader | |
x86_64-darwin python312Packages.django-versatileimagefield | |
aarch64-darwin python312Packages.xstatic | |
x86_64-linux quota | |
x86_64-linux alsa-tools | |
x86_64-darwin plasma5Packages.qt5.qtscxml | |
x86_64-darwin yosys | |
aarch64-darwin emacsPackages.pdb-mode | |
x86_64-linux nomad | |
x86_64-darwin rubyPackages_3_2.cocoapods-clean_build_phases_scripts | |
aarch64-linux itchiodl | |
aarch64-darwin libproxy | |
aarch64-darwin perl536Packages.CompressUnLZMA | |
aarch64-linux python311Packages.bentoml | |
x86_64-linux python312Packages.eufylife-ble-client | |
x86_64-linux sbclPackages.duckdb | |
aarch64-darwin python311Packages.numcodecs | |
x86_64-darwin offensive-azure | |
x86_64-darwin haskellPackages.download-curl | |
aarch64-linux terraform-providers.ciscoasa | |
x86_64-darwin python312Packages.ptyprocess | |
aarch64-darwin perl536Packages.SubExporterGlobExporter | |
aarch64-darwin apkid | |
x86_64-darwin curlFull | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.opensnitch-ebpf | |
x86_64-darwin process-viewer | |
x86_64-darwin doq | |
aarch64-linux emacsPackages.forecast | |
aarch64-linux emacsPackages.yalinum | |
x86_64-linux python312Packages.mypy-boto3-codeartifact | |
x86_64-darwin emacsPackages.digistar-mode | |
aarch64-darwin tk | |
aarch64-linux gnome.gnome-screenshot | |
aarch64-linux emacsPackages.better-jumper | |
aarch64-linux python311Packages.dependency-injector | |
x86_64-linux python312Packages.ecoaliface | |
x86_64-linux haskellPackages.validity-vector | |
aarch64-linux haskellPackages.data-extra | |
x86_64-darwin luau | |
x86_64-darwin python312Packages.mypy-boto3-kafka | |
x86_64-darwin perl538Packages.TextLorem | |
aarch64-darwin python311Packages.unix-ar | |
x86_64-darwin haskellPackages.snowglobe | |
aarch64-linux glances | |
aarch64-darwin perl538Packages.BoostGeometryUtils | |
x86_64-linux libsigrok | |
aarch64-darwin python312Packages.pydicom-seg | |
x86_64-linux cudaPackages.tensorrt_8_4 | |
aarch64-darwin haskellPackages.opml-conduit | |
aarch64-linux haskellPackages.JuicyPixels-stbir | |
aarch64-linux python312Packages.drf-spectacular-sidecar | |
x86_64-linux python312Packages.tblib | |
x86_64-darwin haskellPackages.hashids | |
x86_64-darwin protoc-gen-go | |
aarch64-linux python311Packages.rmscene | |
aarch64-darwin libusbp | |
aarch64-linux python312Packages.karton-asciimagic | |
x86_64-darwin python311Packages.oscpy | |
x86_64-darwin emacsPackages.yagist | |
aarch64-darwin rubyPackages_3_2.http-cookie | |
aarch64-darwin perl536Packages.CLDRNumber | |
x86_64-darwin python312Packages.cock | |
aarch64-darwin maia-icon-theme | |
x86_64-linux squawk | |
aarch64-linux emacsPackages.ligo-mode | |
x86_64-darwin python311Packages.thefuzz | |
x86_64-linux emacsPackages.elog | |
x86_64-darwin haskellPackages.http-pony-transformer-startline | |
x86_64-linux haskellPackages.miniball | |
x86_64-linux php83Extensions.yaml | |
aarch64-darwin perl538Packages.FileModified | |
x86_64-darwin lua53Packages.fennel | |
aarch64-linux multirun | |
x86_64-darwin python312Packages.django-compression-middleware | |
aarch64-darwin python312Packages.httpx-socks | |
aarch64-linux linuxPackages_5_15_hardened.veikk-linux-driver | |
x86_64-darwin torrenttools | |
x86_64-linux haskellPackages.tslogger | |
x86_64-darwin emacsPackages.load-bash-alias | |
aarch64-darwin calendar-cli | |
aarch64-linux deepin.qt5platform-plugins | |
x86_64-darwin emacsPackages.ivy-posframe | |
x86_64-linux python311Packages.google-i18n-address | |
x86_64-darwin python311Packages.stix2-patterns | |
aarch64-darwin haskellPackages.row-types | |
aarch64-linux linuxKernel.packages.linux_hardened.ena | |
x86_64-darwin perl536Packages.FileSlurpTiny | |
x86_64-linux python312Packages.mypy-boto3-config | |
x86_64-linux icesl | |
aarch64-darwin emacsPackages.consult-lsp | |
aarch64-linux opensoundmeter | |
aarch64-linux emacsPackages.evil-tabs | |
x86_64-darwin haskellPackages.c2hsc | |
aarch64-darwin darwin.libclosure | |
x86_64-darwin llvmPackages_13.lldb | |
aarch64-linux pidginPackages.pidgin-mra | |
aarch64-linux spidermonkey_91 | |
x86_64-darwin perl536Packages.ProcDaemon | |
aarch64-darwin python311Packages.py3langid | |
x86_64-linux python312Packages.yattag | |
aarch64-linux luajitPackages.toml | |
aarch64-darwin rubyPackages.date | |
x86_64-darwin libmilter | |
x86_64-linux vimPlugins.neotest-minitest | |
x86_64-linux emacsPackages.company-try-hard | |
x86_64-darwin haskellPackages.hslua-core | |
x86_64-darwin emacsPackages.chatgpt-shell | |
x86_64-darwin haskellPackages.hs-server-starter | |
x86_64-darwin python311Packages.stringcase | |
x86_64-darwin haskellPackages.sarif | |
aarch64-linux nyxt | |
aarch64-darwin python311Packages.yara-python | |
x86_64-linux llvmPackages_13.stdenv | |
x86_64-linux vimPlugins.vim-hoogle | |
aarch64-darwin haskellPackages.hslua | |
aarch64-linux zopfli | |
x86_64-darwin python312Packages.pyramid | |
aarch64-darwin python311Packages.trampoline | |
aarch64-darwin global | |
aarch64-linux python312Packages.pytado | |
aarch64-linux vimPlugins.vim-pathogen | |
aarch64-darwin blocksat-cli | |
x86_64-darwin emacsPackages.vc-auto-commit | |
x86_64-linux emacsPackages.acme-theme | |
aarch64-linux python311Packages.pytest-tornado | |
aarch64-darwin haskellPackages.bounded-tchan | |
aarch64-darwin flyway | |
x86_64-linux rubyPackages_3_3.cld3 | |
aarch64-darwin python311Packages.testresources | |
aarch64-darwin haskellPackages.SDL | |
x86_64-linux haskellPackages.composition-extra | |
x86_64-linux linuxwave | |
x86_64-darwin python311Packages.mohawk | |
x86_64-darwin python311Packages.sanic-testing | |
aarch64-linux pigeon | |
aarch64-linux linuxPackages_6_6_hardened.usbip | |
aarch64-darwin home-manager | |
x86_64-darwin free42 | |
aarch64-linux linuxPackages_latest.can-isotp | |
aarch64-linux python312Packages.georss-client | |
aarch64-darwin python312Packages.stubserver | |
aarch64-linux libsForQt5.ksmtp | |
aarch64-linux python311Packages.flask-mongoengine | |
aarch64-linux python311Packages.luxor | |
aarch64-linux python312Packages.construct-classes | |
x86_64-linux linuxPackages_lqx.fanout | |
aarch64-darwin python312Packages.cycler | |
x86_64-darwin emacsPackages.use-proxy | |
aarch64-linux nym | |
x86_64-darwin genesys | |
aarch64-darwin python311Packages.devolo-plc-api | |
aarch64-linux python311Packages.icnsutil | |
aarch64-darwin maxima | |
x86_64-linux ipfs-upload-client | |
x86_64-darwin python312Packages.rpmfile | |
aarch64-linux linuxKernel.packages.linux_hardened.system76-scheduler | |
x86_64-darwin xplorer | |
aarch64-darwin vimPlugins.vim-addon-errorformats | |
aarch64-darwin lemmy-ui | |
aarch64-linux bitcoind-abc | |
aarch64-darwin lua54Packages.lyaml | |
aarch64-linux rocmPackages_6.rocm-cmake | |
x86_64-linux emacsPackages.ipp | |
x86_64-linux python312Packages.zodbpickle | |
aarch64-linux engelsystem | |
aarch64-linux rubyPackages_3_2.sexp_processor | |
aarch64-linux tests.hardeningFlags.fortifyEnabledEnvDoesntEnableFortify3 | |
aarch64-linux sway-launcher-desktop | |
x86_64-darwin rubyPackages_3_3.temple | |
x86_64-linux postgresql12Packages.pg_cron | |
aarch64-darwin nix-melt | |
aarch64-darwin xorg.setxkbmap | |
x86_64-linux htmltest | |
x86_64-linux alure2 | |
x86_64-linux python311Packages.durus | |
x86_64-darwin rubyPackages_3_3.rainbow | |
x86_64-darwin python311Packages.oscscreen | |
x86_64-darwin python311Packages.pysml | |
x86_64-darwin python311Packages.bbox | |
aarch64-darwin time-decode | |
x86_64-linux livekit | |
x86_64-darwin qcal | |
x86_64-linux rocmPackages.hipcub | |
x86_64-darwin perl538Packages.DateTimeFormatPg | |
aarch64-linux emacsPackages.el-mock | |
x86_64-darwin python312Packages.geoalchemy2 | |
x86_64-linux haskellPackages.postgresql-binary | |
aarch64-darwin rustic-rs | |
aarch64-linux emacsPackages.ac-dcd | |
aarch64-darwin python312Packages.twilio | |
x86_64-linux emacsPackages.tomelr | |
aarch64-linux emacsPackages.mini-echo | |
x86_64-linux haskellPackages.conferer-warp | |
x86_64-darwin python312Packages.jupyterlab-pygments | |
x86_64-linux microsoft-gsl | |
aarch64-darwin python311Packages.wazeroutecalculator | |
aarch64-linux python312Packages.qnapstats | |
aarch64-darwin python312Packages.thespian | |
aarch64-linux haskellPackages.hpp | |
aarch64-linux rocmPackages.rocm-docs-core | |
aarch64-linux python311Packages.craft-archives | |
x86_64-linux linuxPackages_hardened.vmm_clock | |
x86_64-linux dwarf-fortress-packages.dwarf-fortress_0_47_01 | |
aarch64-darwin comic-relief | |
aarch64-linux bossa-arduino | |
aarch64-linux linuxPackages_5_4_hardened.lenovo-legion-module | |
aarch64-darwin luaPackages.neotest | |
aarch64-linux python312Packages.nest-asyncio | |
x86_64-darwin qt6.qttools | |
aarch64-linux haskellPackages.postgresql-simple | |
aarch64-darwin python312Packages.flexmock | |
aarch64-darwin emacsPackages.objed | |
aarch64-darwin postgresql13JitPackages.pgroonga | |
x86_64-darwin python311Packages.axis | |
x86_64-darwin python312Packages.broadlink | |
aarch64-darwin chickenPackages_5.chickenEggs.manual-labor | |
x86_64-linux python312Packages.types-aiobotocore-outposts | |
aarch64-linux emacsPackages.guake | |
aarch64-linux opencascade-occt | |
x86_64-darwin rubyPackages.cocoapods-try | |
aarch64-darwin python312Packages.xvfbwrapper | |
aarch64-linux python312Packages.turnt | |
aarch64-darwin rubyPackages_3_2.jsonpath | |
aarch64-darwin python312Packages.azure-appconfiguration | |
x86_64-darwin python312Packages.google-cloud-datacatalog | |
aarch64-linux gupnp-igd | |
x86_64-darwin emacsPackages.flycheck-dialyxir | |
x86_64-linux linuxPackages_xanmod.ixgbevf | |
aarch64-darwin python311Packages.python-osc | |
x86_64-darwin perl536Packages.DBIxClassHTMLWidget | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.ddcci-driver | |
x86_64-linux haskellPackages.shift | |
x86_64-linux postgresql16JitPackages.repmgr | |
aarch64-darwin tnef | |
aarch64-linux julia_19 | |
aarch64-linux vimPlugins.denite-nvim | |
x86_64-darwin femtolisp | |
aarch64-darwin python311Packages.manimpango | |
aarch64-linux haskellPackages.env-locale | |
aarch64-darwin python312Packages.siuba | |
x86_64-linux gnomeExtensions.hide-power-button-icon | |
aarch64-linux python311Packages.pyisbn | |
x86_64-darwin python311Packages.pytest | |
x86_64-darwin miniaudicle | |
aarch64-linux go-errorlint | |
x86_64-linux muparserx | |
aarch64-darwin adapta-kde-theme | |
aarch64-darwin age-plugin-yubikey | |
x86_64-darwin gecode_3 | |
aarch64-darwin perl536Packages.GamesSolitaireVerify | |
x86_64-darwin python311Packages.pytest-annotate | |
x86_64-linux speedtest-rs | |
x86_64-linux python312Packages.toggl-cli | |
aarch64-linux python312Packages.brotli | |
aarch64-darwin python312Packages.jsonnet | |
x86_64-darwin python311Packages.base36 | |
aarch64-darwin python311Packages.darkdetect | |
aarch64-darwin python312Packages.empty-files | |
aarch64-linux python312Packages.tabview | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.promql | |
x86_64-linux python312Packages.pylibjpeg-libjpeg | |
aarch64-darwin idrisPackages.hezarfen | |
aarch64-darwin python312Packages.yamale | |
x86_64-linux python311Packages.snakemake-interface-executor-plugins | |
x86_64-linux universal-android-debloater | |
aarch64-darwin python311Packages.qiling | |
x86_64-linux cudaPackages_11.cudnn_8_4 | |
aarch64-darwin sqlcheck | |
x86_64-linux linuxKernel.packages.linux_xanmod.lttng-modules | |
x86_64-linux python311Packages.yt-dlp | |
x86_64-darwin tunnelgraf | |
aarch64-linux haskellPackages.type-fun | |
x86_64-linux circus | |
aarch64-linux popeye | |
aarch64-darwin gjo | |
aarch64-linux linuxPackages_zen.xone | |
x86_64-darwin haskellPackages.auto-update | |
aarch64-linux nwg-look | |
x86_64-darwin python311Packages.woob | |
aarch64-linux haskell.compiler.native-bignum.ghc945 | |
aarch64-linux cairomm | |
aarch64-linux emacsPackages.eglot-java | |
x86_64-linux python311Packages.types-aiobotocore-groundstation | |
aarch64-darwin perl538Packages.CatalystPluginAuthentication | |
x86_64-linux linuxPackages_zen.lkrg | |
aarch64-linux python312Packages.youtubeaio | |
x86_64-darwin haskellPackages.check-email | |
x86_64-darwin emacsPackages.python-test | |
aarch64-darwin haskellPackages.network-ip | |
aarch64-linux python312Packages.types-aiobotocore-dataexchange | |
x86_64-linux open-stage-control | |
aarch64-darwin pagmo2 | |
aarch64-darwin emacsPackages.look-mode | |
x86_64-linux python312Packages.exceptiongroup | |
aarch64-linux python311Packages.dugong | |
x86_64-darwin gedit | |
aarch64-darwin haskellPackages.cabal-pkg-config-version-hook | |
aarch64-darwin luajitPackages.lua-resty-jwt | |
x86_64-darwin python311Packages.devpi-common | |
aarch64-darwin easypdkprog | |
x86_64-darwin wastebin | |
x86_64-linux haskellPackages.zim-parser | |
aarch64-darwin python312Packages.pcbnewtransition | |
aarch64-linux xorg.xf86videos3virge | |
x86_64-darwin libxmlxx | |
x86_64-linux haskellPackages.reverse-apply | |
aarch64-darwin openspades | |
aarch64-darwin python311Packages.vmprof | |
x86_64-darwin rubyPackages_3_1.ruby2_keywords | |
x86_64-linux mate.mate-utils | |
aarch64-linux emacsPackages.rjsx-mode | |
x86_64-linux python312Packages.bugzilla | |
x86_64-linux vimPlugins.neotest-zig | |
aarch64-linux openjfx | |
x86_64-linux haskellPackages.boundingboxes | |
x86_64-darwin perl536Packages.TieSub | |
aarch64-darwin python311Packages.myhome | |
aarch64-linux haskellPackages.amazonka-quicksight | |
x86_64-linux pacu | |
aarch64-linux cfitsio | |
aarch64-darwin python311Packages.mypy-boto3-timestream-write | |
x86_64-darwin emacsPackages.dpaste | |
x86_64-linux python311Packages.bagit | |
x86_64-linux python311Packages.simple-websocket | |
x86_64-linux python312Packages.callee | |
x86_64-linux bundix | |
aarch64-darwin vimPlugins.wiki-vim | |
aarch64-linux scala_2_11 | |
aarch64-darwin emacsPackages.udev-mode | |
aarch64-darwin python312Packages.minio | |
x86_64-linux emacsPackages.hl-prog-extra | |
x86_64-darwin python312Packages.compiledb | |
x86_64-linux postgresql16JitPackages.temporal_tables | |
x86_64-darwin python311Packages.types-aiobotocore-kinesis-video-webrtc-storage | |
x86_64-linux qdl | |
aarch64-darwin krelay | |
x86_64-darwin scheme48 | |
aarch64-darwin lua54Packages.luasystem | |
x86_64-darwin perl538Packages.WWWYoutubeViewer | |
aarch64-darwin python311Packages.flask-session-captcha | |
x86_64-linux python312Packages.azure-mgmt-common | |
x86_64-linux haskellPackages.xml-basic | |
x86_64-darwin python312Packages.fe25519 | |
x86_64-darwin tarsum | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.cpupower | |
x86_64-darwin python312Packages.nipy | |
x86_64-darwin scid | |
aarch64-linux libopenmpt-modplug | |
aarch64-darwin guile-opengl | |
aarch64-linux solarus-quest-editor | |
x86_64-darwin python312Packages.bleak-esphome | |
x86_64-darwin haskellPackages.membership | |
aarch64-darwin python311Packages.utils | |
x86_64-darwin gamja | |
x86_64-linux foot | |
aarch64-linux broot | |
aarch64-linux python312Packages.tadasets | |
aarch64-darwin python311Packages.naturalsort | |
aarch64-linux medfile | |
x86_64-linux emacsPackages.bifocal | |
x86_64-linux sbclPackages.lev | |
aarch64-linux babl | |
x86_64-darwin python311Packages.clickclick | |
x86_64-linux emacsPackages.flim | |
aarch64-darwin emacsPackages.xelb | |
aarch64-linux todiff | |
aarch64-darwin haskellPackages.familiar-reflection | |
aarch64-linux emacsPackages.one-time-pad-encrypt | |
x86_64-darwin python312Packages.cryptodatahub | |
x86_64-darwin haskellPackages.hocd | |
x86_64-linux iam-policy-json-to-terraform | |
aarch64-darwin libnghttp2 | |
aarch64-darwin python312Packages.sphinxcontrib-wavedrom | |
x86_64-darwin broadlink-cli | |
x86_64-darwin python312Packages.rangeparser | |
aarch64-linux mtr-gui | |
aarch64-linux parmetis | |
aarch64-darwin perl538Packages.DevelCheckBin | |
aarch64-darwin python312Packages.rarfile | |
x86_64-darwin refinery-cli | |
aarch64-darwin rubyPackages.coderay | |
x86_64-linux qpdf | |
x86_64-linux python311Packages.dash | |
x86_64-linux linuxKernel.packages.linux_6_8.mbp2018-bridge-drv | |
aarch64-linux emacsPackages.tongbu | |
x86_64-darwin emacsPackages.org-real | |
aarch64-darwin python311Packages.mypy-boto3-appconfig | |
x86_64-linux python312Packages.genpy | |
aarch64-linux llvmPackages_15.compiler-rt-libc | |
x86_64-darwin libstaden-read | |
x86_64-linux openarena | |
x86_64-linux python312Packages.textwrap3 | |
x86_64-linux haskellPackages.isbn | |
x86_64-darwin eask | |
x86_64-linux lua52Packages.jsregexp | |
x86_64-darwin route159 | |
x86_64-darwin python311Packages.cloudsmith-api | |
aarch64-linux python311Packages.edward | |
x86_64-darwin emacsPackages.id-manager | |
x86_64-linux yajl | |
aarch64-linux haskellPackages.jsonl | |
aarch64-linux emacsPackages.keytar | |
x86_64-darwin python312Packages.hyperopt | |
aarch64-darwin python312Packages.rangehttpserver | |
x86_64-linux python311Packages.pygtrie | |
x86_64-linux emacsPackages.ido-gnus | |
x86_64-linux haskellPackages.aeson-diff | |
aarch64-linux fcitx5-bamboo | |
aarch64-darwin python312Packages.jupyter-contrib-core | |
x86_64-darwin libreddit | |
aarch64-darwin pg_tileserv | |
x86_64-linux vimPlugins.open-browser-github-vim | |
x86_64-linux python311Packages.openllm-core | |
x86_64-linux dwz | |
x86_64-darwin libmd | |
x86_64-linux varnish60 | |
x86_64-linux haskellPackages.vector-th-unbox | |
aarch64-linux python311Packages.azure-mgmt-apimanagement | |
x86_64-darwin emacsPackages.rgb | |
aarch64-darwin python312Packages.iowait | |
x86_64-linux emacsPackages.brec-mode | |
x86_64-linux pixelnuke | |
aarch64-linux emacsPackages.chess | |
x86_64-linux emacsPackages.selectric-mode | |
x86_64-darwin vimPlugins.nvim-osc52 | |
x86_64-darwin python311Packages.prompthub-py | |
x86_64-linux linuxPackages_lqx.tp_smapi | |
x86_64-darwin vimPlugins.oceanic-next | |
aarch64-darwin vimPlugins.vim-ragtag | |
aarch64-linux leo3-bin | |
x86_64-darwin goocanvasmm2 | |
aarch64-linux gcolor3 | |
aarch64-darwin fltk14 | |
x86_64-darwin rubyPackages.camping | |
aarch64-linux perl536Packages.CPAN | |
x86_64-darwin xkcd-font | |
aarch64-darwin perl536Packages.SubHandlesVia | |
x86_64-linux emacsPackages.password-store-otp | |
x86_64-linux luaPackages.luadbi-mysql | |
x86_64-linux xmousepasteblock | |
x86_64-linux gnome.gnome-settings-daemon43 | |
x86_64-linux python311Packages.recline | |
x86_64-linux gitaly | |
aarch64-linux haproxy | |
x86_64-darwin python311Packages.myjwt | |
x86_64-darwin xorg.xkbcomp | |
x86_64-darwin haskellPackages.version-natural | |
x86_64-darwin emacsPackages.help-find-org-mode | |
aarch64-linux dale | |
aarch64-darwin emacsPackages.dumb-jump | |
x86_64-linux gnomeExtensions.window-list | |
aarch64-darwin d2coding | |
x86_64-linux iw | |
aarch64-darwin mpvScripts.thumbfast | |
x86_64-darwin haskellPackages.typenums | |
aarch64-darwin python311Packages.types-aiobotocore-osis | |
x86_64-darwin xorg.xf86videoxgi | |
aarch64-linux teeworlds-server | |
aarch64-linux go2rtc | |
x86_64-darwin haskellPackages.clay | |
aarch64-linux rubyPackages_3_2.cocoapods-disable-podfile-validations | |
x86_64-linux python311Packages.types-protobuf | |
aarch64-linux emacsPackages.pamparam | |
x86_64-darwin geoclue2-with-demo-agent | |
x86_64-darwin python312Packages.linkify-it-py | |
aarch64-linux libsForQt5.qt5.qtlottie | |
x86_64-darwin fastp | |
x86_64-linux python311Packages.ec2instanceconnectcli | |
x86_64-linux python311Packages.pyotgw | |
aarch64-darwin python312Packages.aioecowitt | |
x86_64-linux linuxPackages-libre.facetimehd | |
x86_64-linux python312Packages.mypy-boto3-quicksight | |
aarch64-linux python312Packages.genome-collector | |
aarch64-darwin rubyPackages_3_3.slather | |
x86_64-darwin postgresql14JitPackages.pgaudit | |
x86_64-linux emacsPackages.fountain-mode | |
aarch64-darwin emacsPackages.gtags-mode | |
aarch64-darwin python312Packages.onvif-zeep | |
x86_64-linux sbclPackages.cl-cookie | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.openrazer | |
x86_64-darwin rocmPackages_6.llvm.rocmClangStdenv | |
x86_64-darwin chickenPackages_5.chickenEggs.natural-sort | |
aarch64-linux emacsPackages.groovy-mode | |
aarch64-darwin jefferson | |
aarch64-linux python311Packages.tiledb | |
aarch64-linux python311Packages.wrapt | |
x86_64-linux rocmPackages.llvm.compiler-rt | |
x86_64-linux rubyPackages_3_3.regexp_parser | |
x86_64-linux xorg.xf86videoapm | |
x86_64-linux haskellPackages.persistent | |
x86_64-linux haskellPackages.wai-middleware-caching | |
aarch64-linux libpromhttp | |
aarch64-darwin python311Packages.types-aiobotocore-iotfleetwise | |
aarch64-linux python311Packages.pydata-sphinx-theme | |
x86_64-darwin ttchat | |
x86_64-darwin vimPlugins.telescope-lsp-handlers-nvim | |
aarch64-darwin python311Packages.fvs | |
aarch64-linux emacsPackages.thread-dump | |
x86_64-linux emacsPackages.s | |
x86_64-darwin python312Packages.cocotb | |
x86_64-darwin perl536Packages.LWPUserAgentDNSHosts | |
aarch64-linux netbird | |
aarch64-linux vimPlugins.lsp_signature-nvim | |
aarch64-darwin emacsPackages.erc-crypt | |
x86_64-linux linuxKernel.packages.linux_libre.tuxedo-keyboard | |
x86_64-linux haskellPackages.if | |
x86_64-linux python312Packages.pymvglive | |
aarch64-linux python311Packages.doctest-ignore-unicode | |
x86_64-linux openraPackages_2019.mods.ss | |
x86_64-darwin python311Packages.pyexploitdb | |
aarch64-linux gecode_6 | |
x86_64-linux python312Packages.poetry-core | |
aarch64-darwin emacsPackages.password-vault | |
x86_64-darwin python311Packages.niworkflows | |
x86_64-linux llvmPackages.clangNoCompilerRt | |
aarch64-linux haskellPackages.coercion-extras | |
x86_64-darwin python311Packages.buienradar | |
aarch64-linux haskellPackages.module-munging | |
x86_64-darwin vimPlugins.ncm2-bufword | |
x86_64-darwin python311Packages.demes | |
aarch64-linux python312Packages.django-timezone-field | |
aarch64-darwin vimPlugins.termwrapper-nvim | |
aarch64-darwin haskellPackages.optics-th | |
x86_64-darwin rubyPackages_3_2.websocket-driver | |
aarch64-linux linuxKernel.packages.linux_xanmod.xone | |
x86_64-darwin taplo | |
x86_64-darwin emacsPackages.elisp-lint | |
x86_64-linux ntlmrecon | |
aarch64-linux libsForQt5.libkdepim | |
x86_64-linux haskellPackages.fmt-terminal-colors | |
aarch64-darwin protoc-gen-rust | |
x86_64-linux gnome.cheese | |
x86_64-darwin httpstat | |
aarch64-linux python312Packages.jsonlines | |
x86_64-darwin python312Packages.pykmtronic | |
x86_64-darwin vimPlugins.ChatGPT-nvim | |
x86_64-linux rocmPackages_5.llvm.llvm | |
x86_64-linux yyjson | |
x86_64-linux deepin.dde-widgets | |
x86_64-linux python312Packages.emulated-roku | |
x86_64-darwin emacsPackages.pcmpl-git | |
aarch64-linux python312Packages.nltk | |
x86_64-darwin haskellPackages.rss | |
aarch64-darwin emacsPackages.cursor-flash | |
aarch64-linux emacsPackages.insert-random | |
aarch64-linux libsForQt5.kholidays | |
aarch64-darwin llvmPackages_16.compiler-rt | |
aarch64-darwin perl536Packages.MooseXNonMoose | |
aarch64-darwin home-assistant-custom-components.yassi | |
x86_64-linux emacsPackages.back-button | |
aarch64-linux python312Packages.subunit | |
x86_64-darwin haskellPackages.unit | |
x86_64-linux python312Packages.mwoauth | |
x86_64-linux asciidoc-full-with-plugins | |
x86_64-darwin emacsPackages.auto-shell-command | |
aarch64-linux python311Packages.types-aiobotocore-servicecatalog-appregistry | |
x86_64-linux python312Packages.setuptools-rust | |
x86_64-darwin charles4 | |
x86_64-darwin haskellPackages.dwergaz | |
aarch64-linux azure-cli-extensions.site-recovery | |
aarch64-darwin lua54Packages.http | |
aarch64-linux emacsPackages.tfsmacs | |
aarch64-darwin python312Packages.pyzabbix | |
x86_64-darwin emacsPackages.simplenote | |
aarch64-darwin python311Packages.pyhcl | |
x86_64-darwin haskellPackages.language-asn1 | |
aarch64-darwin rust-jemalloc-sys | |
x86_64-linux python312Packages.filetype | |
x86_64-linux sbclPackages.mmap | |
x86_64-darwin xorg.libxcvt | |
x86_64-linux lua-language-server | |
aarch64-linux emacsPackages.closure-lint-mode | |
x86_64-darwin python311Packages.leather | |
x86_64-darwin libplctag | |
x86_64-darwin python311Packages.shaperglot | |
x86_64-linux python312Packages.pymilter | |
x86_64-darwin emacsPackages.company-manually | |
x86_64-linux haskellPackages.monoid-record | |
aarch64-linux libsForQt5.kwidgetsaddons | |
x86_64-darwin sing-box | |
aarch64-linux mkcert | |
x86_64-darwin emacsPackages.json-snatcher | |
x86_64-darwin perl536Packages.ProcWaitStat | |
x86_64-darwin jfrog-cli | |
x86_64-linux pokete | |
x86_64-linux luajitPackages.sqlite | |
aarch64-darwin haskellPackages.leb128-binary | |
aarch64-darwin python312Packages.aiovlc | |
x86_64-darwin template-glib | |
x86_64-linux php82Packages.composer | |
aarch64-linux emacsPackages.flycheck-yamllint | |
x86_64-darwin emacsPackages.eldoc-eval | |
x86_64-linux wingpanel-indicator-ayatana | |
aarch64-darwin emacsPackages.shelltest-mode | |
aarch64-darwin wxSVG | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.framework-laptop-kmod | |
x86_64-linux python311Packages.powerline-mem-segment | |
x86_64-darwin python311Packages.types-aiobotocore-rds-data | |
aarch64-linux url-parser | |
aarch64-linux haskellPackages.acme-cutegirl | |
x86_64-linux python311Packages.django-polymorphic | |
x86_64-linux python312Packages.mrsqm | |
x86_64-linux python311Packages.python-box | |
aarch64-darwin papermcServers.papermc-1_19 | |
x86_64-linux python312Packages.types-aiobotocore-snow-device-management | |
aarch64-darwin gnonograms | |
aarch64-linux python312Packages.certbot-dns-cloudflare | |
aarch64-darwin clipboard-jh | |
x86_64-darwin python312Packages.findimports | |
x86_64-darwin swig1 | |
x86_64-linux emacsPackages.evil-mu4e | |
aarch64-darwin python311Packages.llama-index-vector-stores-qdrant | |
aarch64-linux haskellPackages.rgb-color-model | |
aarch64-darwin cargo-generate | |
x86_64-linux haskellPackages.systemd-socket-activation | |
x86_64-darwin emacsPackages.nginx-mode | |
x86_64-darwin python311Packages.pyasn1 | |
aarch64-darwin haskellPackages.c-enum | |
aarch64-darwin python312Packages.vcrpy | |
aarch64-darwin haskellPackages.rset | |
x86_64-linux luajitPackages.rest-nvim | |
aarch64-darwin perl536Packages.SnowballNorwegian | |
aarch64-linux python312Packages.pyaussiebb | |
x86_64-linux python311Packages.sonarr | |
aarch64-linux tijolo | |
aarch64-linux tuxmux | |
x86_64-darwin scala_2_12 | |
x86_64-darwin xmonad-log | |
aarch64-linux emacsPackages.helm-selected | |
x86_64-darwin python311Packages.reolink | |
x86_64-linux haskellPackages.geocalc | |
aarch64-darwin python312Packages.us | |
aarch64-linux python311Packages.yaspin | |
aarch64-darwin archi | |
x86_64-darwin emacsPackages.org-context | |
aarch64-linux python312Packages.pinocchio | |
aarch64-darwin netbeans | |
x86_64-darwin python312Packages.pysdcp | |
x86_64-linux numberstation | |
aarch64-linux python311Packages.mypy-boto3-forecast | |
x86_64-darwin perl536Packages.Win32ShellQuote | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.ql | |
x86_64-darwin cgl | |
x86_64-darwin vimPlugins.bitbake-vim | |
x86_64-linux podgrab | |
x86_64-darwin xorg.xf86videoopenchrome | |
aarch64-linux haskellPackages.classify | |
aarch64-linux python311Packages.qdrant-client | |
aarch64-linux python311Packages.usbrelay-py | |
aarch64-linux vimPlugins.direnv-vim | |
aarch64-linux youki | |
aarch64-darwin libestr | |
x86_64-darwin python312Packages.types-aiobotocore-storagegateway | |
aarch64-darwin maple-mono-NF | |
aarch64-linux emacsPackages.markup-faces | |
x86_64-darwin perl536Packages.MIMECharset | |
aarch64-linux ci-edit | |
x86_64-darwin node2nix | |
x86_64-darwin emacsPackages.initsplit | |
x86_64-linux python312Packages.mypy-boto3-lex-models | |
x86_64-darwin python311Packages.types-aiobotocore-oam | |
x86_64-darwin python311Packages.weasyprint | |
x86_64-linux emacsPackages.mowedline | |
x86_64-linux movim | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.dddvb | |
aarch64-linux home-assistant-component-tests.media_source | |
x86_64-linux haskellPackages.lua-arbitrary | |
x86_64-darwin python311Packages.audio-metadata | |
aarch64-linux python311Packages.aardwolf | |
x86_64-darwin perl536Packages.ZonemasterEngine | |
aarch64-linux hassil | |
x86_64-linux libretro.scummvm | |
x86_64-linux haskellPackages.bound-extras | |
x86_64-linux imath | |
aarch64-linux memray | |
aarch64-linux devenv | |
x86_64-linux looking-glass-client | |
x86_64-darwin haskellPackages.aeson-casing | |
aarch64-linux osmo-hnodeb | |
aarch64-linux xfce.thunar | |
x86_64-linux linuxPackages_hardened.usbip | |
aarch64-darwin suitesparse_4_2 | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.dddvb | |
aarch64-linux plasma5Packages.buho | |
x86_64-darwin coreutils | |
aarch64-darwin libzip | |
x86_64-linux plasma5Packages.oxygen-icons5 | |
aarch64-darwin python311Packages.dependency-injector | |
x86_64-darwin python312Packages.mailchimp | |
aarch64-darwin rubyPackages_3_3.sequel | |
aarch64-darwin python312Packages.pycuda | |
x86_64-darwin rubyPackages_3_3.coffee-script-source | |
aarch64-darwin fixedsys-excelsior | |
x86_64-linux haskellPackages.CheatSheet | |
x86_64-linux fermyon-spin | |
aarch64-darwin liboggz | |
x86_64-linux python311Packages.isort | |
aarch64-darwin wgpu-utils | |
aarch64-darwin emacsPackages.ob-diagrams | |
aarch64-linux python311Packages.pydot | |
aarch64-linux semeru-jre-bin-8 | |
x86_64-darwin perl536Packages.FileMimeInfo | |
x86_64-darwin tkdiff | |
x86_64-linux haskellPackages.juicy-gcode | |
x86_64-linux haskellPackages.static-hash | |
aarch64-darwin neko | |
aarch64-darwin lua53Packages.stdlib | |
aarch64-darwin python311Packages.mypy-boto3-cloud9 | |
aarch64-linux emacsPackages.kivy-mode | |
aarch64-darwin nncp | |
x86_64-linux python311Packages.types-aiobotocore-iot-roborunner | |
x86_64-darwin lcms2 | |
aarch64-linux python312Packages.types-ujson | |
x86_64-darwin postgresql12Packages.pg_hll | |
x86_64-darwin haskellPackages.juicy-draw | |
aarch64-darwin microplane | |
aarch64-darwin emacsPackages.euslisp-mode | |
aarch64-linux python311Packages.homepluscontrol | |
x86_64-darwin haskellPackages.safe-coloured-text | |
x86_64-darwin rubyPackages_3_1.date | |
aarch64-linux linuxKernel.packages.linux_zen.batman_adv | |
aarch64-linux linuxPackages_4_19_hardened.gasket | |
x86_64-linux unicon-lang | |
aarch64-darwin postgresql16JitPackages.pg_embedding | |
x86_64-linux arxiv-latex-cleaner | |
x86_64-linux emacsPackages.evil-opener | |
x86_64-linux mbed-cli | |
aarch64-linux python311Packages.nanoleaf | |
x86_64-linux rigsofrods-bin | |
aarch64-linux helmsman | |
aarch64-darwin perl538Packages.HTMLMason | |
aarch64-linux python311Packages.liccheck | |
x86_64-darwin luaPackages.lua-resty-openssl | |
x86_64-linux vimPlugins.nvim-test | |
aarch64-linux vimPlugins.vim-cute-python | |
x86_64-linux gauge | |
x86_64-darwin python311Packages.orderedmultidict | |
aarch64-linux haskellPackages.tensorflow-test | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.rr-zen_workaround | |
aarch64-linux emacsPackages.nlinum-relative | |
x86_64-darwin python311Packages.mortgage | |
x86_64-darwin python311Packages.pyacoustid | |
aarch64-linux haskellPackages.timers | |
aarch64-darwin python312Packages.aocd | |
x86_64-darwin emacsPackages.flylisp | |
aarch64-darwin rubyPackages.colored | |
x86_64-linux emacsPackages.apparmor-mode | |
x86_64-darwin python312Packages.pydbus | |
aarch64-darwin terraform-providers.constellix | |
aarch64-linux python311Packages.flaky | |
aarch64-linux python311Packages.ledgerblue | |
x86_64-linux haskellPackages.cursor-brick | |
aarch64-linux qtbitcointrader | |
x86_64-linux cxx-rs | |
aarch64-darwin perl538Packages.TestHarnessStraps | |
aarch64-darwin haskellPackages.temporal-music-notation-western | |
x86_64-linux rubyPackages_3_2.gpgme | |
aarch64-linux aws-adfs | |
x86_64-linux bindle | |
aarch64-linux python312Packages.josepy | |
aarch64-linux adguardian | |
aarch64-darwin python311Packages.types-aiobotocore-ssm-incidents | |
x86_64-darwin emacsPackages.nezburn-theme | |
x86_64-linux emacsPackages.ob-latex-as-png | |
x86_64-linux python312Packages.pytest | |
aarch64-darwin haskellPackages.uniplate | |
x86_64-linux git-mit | |
x86_64-linux gnomeExtensions.alttab-mod | |
x86_64-linux haskellPackages.ticker | |
aarch64-linux vimPlugins.cmp-vim-lsp | |
aarch64-darwin emacsPackages.modtime-skip-mode | |
aarch64-linux python312Packages.azure-mgmt-eventhub | |
x86_64-darwin haskellPackages.tasty-rerun | |
aarch64-linux linuxPackages_latest-libre.opensnitch-ebpf | |
aarch64-linux python311Packages.qreactor | |
aarch64-darwin libsForQt5.alkimia | |
aarch64-linux emacsPackages.unicode-enbox | |
x86_64-darwin emacsPackages.sphinx-frontend | |
x86_64-linux python311Packages.od | |
aarch64-darwin volantes-cursors | |
x86_64-linux libsForQt5.qtscxml | |
x86_64-linux meshlab | |
aarch64-linux llvmPackages_16.mlir | |
aarch64-darwin gnome-recipes | |
aarch64-darwin cargo-hakari | |
aarch64-linux postgresql12JitPackages.pg_partman | |
aarch64-darwin emacsPackages.theme-magic | |
aarch64-linux postgresql_14_jit | |
aarch64-darwin haskellPackages.network-conduit-tls | |
aarch64-darwin python311Packages.nxt-python | |
x86_64-darwin python312Packages.types-aiobotocore-payment-cryptography | |
x86_64-darwin haskellPackages.rawfilepath | |
aarch64-darwin python312Packages.upnpy | |
x86_64-linux python311Packages.openapi-schema-validator | |
aarch64-linux rubyPackages_3_2.mysql2 | |
x86_64-darwin python312Packages.boilerpy3 | |
x86_64-linux cargo-deny | |
x86_64-linux haskellPackages.doctemplates | |
aarch64-linux notion | |
x86_64-darwin python312Packages.python3-gnutls | |
x86_64-darwin wireguard-vanity-keygen | |
aarch64-darwin ed | |
aarch64-linux emacsPackages.github-notifier | |
aarch64-darwin emacsPackages.math-tex-convert | |
aarch64-linux libsForQt5.kdeconnect-kde | |
x86_64-darwin perl536Packages.AttributeParamsValidate | |
aarch64-linux cutechess | |
x86_64-darwin perl538Packages.prefork | |
aarch64-darwin python311Packages.itemadapter | |
x86_64-linux python312Packages.pdm-pep517 | |
aarch64-linux python312Packages.setuptools-rust | |
x86_64-linux xmonad_log_applet_mate | |
x86_64-linux mmv-go | |
aarch64-linux emacsPackages.org-treeusage | |
x86_64-darwin pololu-tic | |
x86_64-linux emacsPackages.phps-mode | |
aarch64-darwin redict | |
aarch64-darwin rubyPackages_3_3.excon | |
x86_64-darwin haskellPackages.squeather | |
x86_64-linux haskellPackages.AC-Colour | |
aarch64-linux python311Packages.pgvector | |
aarch64-linux linuxKernel.packages.linux_libre.xpadneo | |
x86_64-linux python312Packages.types-aiobotocore-codeguru-security | |
x86_64-darwin flvstreamer | |
x86_64-linux connman-ncurses | |
x86_64-linux python311Packages.lmdb | |
aarch64-linux emacsPackages.crux | |
aarch64-darwin terraform-providers.azurestack | |
aarch64-darwin git-town | |
x86_64-linux python311Packages.django-taggit | |
x86_64-linux qgit | |
aarch64-linux python312Packages.rsskey | |
aarch64-darwin hashcat | |
x86_64-linux python311Packages.fastprogress | |
x86_64-linux haskellPackages.configurator | |
aarch64-darwin serious-sans | |
aarch64-linux python311Packages.time-machine | |
aarch64-linux pktgen | |
aarch64-darwin python312Packages.async-lru | |
x86_64-linux emacsPackages.info-rename-buffer | |
aarch64-linux kid3 | |
x86_64-darwin grilo-plugins | |
aarch64-linux python312Packages.duct-py | |
aarch64-darwin emacsPackages.rcirc-menu | |
x86_64-linux linuxKernel.packages.linux_6_8.it87 | |
aarch64-darwin azure-cli-extensions.azure-firewall | |
x86_64-linux emacsPackages.simpleclip | |
x86_64-darwin guile-cairo | |
x86_64-darwin garage_0_9_4 | |
aarch64-darwin git-annex-remote-dbx | |
aarch64-linux devpod | |
x86_64-darwin haskellPackages.dobutokO-frequency | |
x86_64-darwin jwt-cli | |
x86_64-darwin perl536Packages.FileFindIterator | |
aarch64-darwin perl538Packages.ReadonlyX | |
aarch64-linux python311Packages.pycoolmasternet-async | |
aarch64-darwin python311Packages.types-aiobotocore-privatenetworks | |
x86_64-darwin python311Packages.xstatic-font-awesome | |
x86_64-linux python312Packages.bencode-py | |
x86_64-linux rockbox-utility | |
aarch64-darwin python311Packages.unpaddedbase64 | |
aarch64-darwin python311Packages.mypy-boto3-xray | |
aarch64-darwin rubyPackages_3_2.jekyll-theme-slate | |
x86_64-linux drill | |
aarch64-darwin python311Packages.types-aiobotocore-forecast | |
aarch64-darwin emacsPackages.elog | |
aarch64-linux spring-boot-cli | |
aarch64-linux python311Packages.pydantic-core | |
aarch64-linux libsnark | |
x86_64-darwin emacsPackages.gom-mode | |
aarch64-darwin dnglab | |
aarch64-darwin emacsPackages.fontify-face | |
aarch64-linux haskellPackages.quickterm | |
x86_64-linux devcontainer | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.acpi_call | |
aarch64-linux kdePackages.breeze | |
aarch64-linux emacsPackages.ushin-shapes | |
aarch64-linux emacsPackages.sunny-day-theme | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.tuxedo-keyboard | |
x86_64-linux vimPlugins.denite-nvim | |
x86_64-darwin kitty-img | |
aarch64-linux plasma5Packages.kcompletion | |
x86_64-linux linuxPackages_5_15_hardened.drbd | |
x86_64-linux haskellPackages.loglevel | |
x86_64-linux php81Extensions.ldap | |
x86_64-linux haskellPackages.git-embed | |
x86_64-linux home-assistant-component-tests.facebook | |
aarch64-linux python312Packages.azure-mgmt-managementpartner | |
aarch64-linux yq | |
aarch64-linux linuxPackages_lqx.opensnitch-ebpf | |
x86_64-darwin rubyPackages_3_3.date | |
x86_64-linux cudaPackages_11.cutensor_1_6 | |
aarch64-linux mopidy-somafm | |
aarch64-darwin python311Packages.flake8-blind-except | |
x86_64-linux luaPackages.rapidjson | |
aarch64-darwin openfst | |
x86_64-linux python311Packages.jupyter-server-mathjax | |
x86_64-linux python311Packages.unittest-xml-reporting | |
aarch64-darwin xorg.xbacklight | |
aarch64-linux emacsPackages.imgbb | |
x86_64-linux python312Packages.pyscrypt | |
aarch64-darwin haskellPackages.quicklz | |
x86_64-darwin haskellPackages.zxcvbn-hs | |
x86_64-linux coq_8_16 | |
aarch64-darwin python312Packages.aiocache | |
x86_64-linux umoria | |
x86_64-linux haskellPackages.ghc-vis | |
aarch64-linux haskellPackages.filter-logger | |
aarch64-darwin cmake | |
x86_64-linux mtpaint | |
aarch64-linux emacsPackages.springboard | |
aarch64-darwin rubyPackages.red-colors | |
x86_64-linux haskellPackages.cabal-test-compat | |
aarch64-linux ascii-draw | |
aarch64-linux lua51Packages.mediator_lua | |
x86_64-darwin haskellPackages.active | |
aarch64-linux lua51Packages.fluent | |
x86_64-darwin haskellPackages.html-conduit | |
aarch64-linux plasma5Packages.fcitx5-qt | |
x86_64-linux linuxKernel.packages.linux_latest_libre.kvmfr | |
aarch64-darwin oh-my-fish | |
aarch64-darwin emacsPackages.night-owl-theme | |
x86_64-darwin python311Packages.wallbox | |
aarch64-linux protoc-gen-twirp | |
aarch64-linux kdePackages.konsole | |
x86_64-darwin chickenPackages_5.chickenEggs.sha2 | |
aarch64-linux jansson | |
x86_64-linux emacsPackages.nixpkgs-fmt | |
x86_64-darwin alfis-nogui | |
aarch64-linux python311Packages.gflanguages | |
x86_64-linux xmonadctl | |
aarch64-linux python311Packages.sunwatcher | |
aarch64-linux python312Packages.dogpile-cache | |
x86_64-darwin perl536Packages.SoftwareLicenseCCpack | |
aarch64-linux emacsPackages.origami | |
aarch64-darwin diffsitter | |
x86_64-linux hred | |
x86_64-linux python312Packages.altgraph | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.cpupower | |
aarch64-linux vimPlugins.bigfile-nvim | |
aarch64-darwin xxh | |
x86_64-darwin p4 | |
aarch64-darwin python312Packages.langsmith | |
aarch64-darwin vimPlugins.vim-markdown | |
aarch64-darwin rubyPackages.xctasks | |
x86_64-darwin cargo-pgo | |
x86_64-darwin cargo-nextest | |
x86_64-darwin python312Packages.google-re2 | |
x86_64-linux plasma5Packages.qtforkawesome | |
aarch64-linux python311Packages.pencompy | |
x86_64-linux python312Packages.markdown-it-py | |
x86_64-linux haskellPackages.jsonl-conduit | |
x86_64-linux python312Packages.agate-dbf | |
aarch64-darwin emacsPackages.autotetris-mode | |
x86_64-darwin haskellPackages.pipes-network-tls | |
x86_64-darwin libiberty | |
x86_64-darwin emacsPackages.base16-theme | |
x86_64-darwin obuild | |
aarch64-linux haskellPackages.hscrtmpl | |
x86_64-darwin hlint | |
aarch64-darwin twilio-cli | |
aarch64-darwin lua52Packages.luarocks | |
aarch64-darwin vimPlugins.mediawiki-vim | |
aarch64-darwin xorg.xrdb | |
x86_64-linux cargo-crev | |
aarch64-darwin haskellPackages.canteven-config | |
aarch64-darwin ffmpeg_6 | |
x86_64-darwin lilv | |
aarch64-linux python311Packages.igraph | |
x86_64-darwin haskellPackages.htaut | |
aarch64-linux postgresql14JitPackages.pgroonga | |
x86_64-linux vimPlugins.jellybeans-vim | |
x86_64-darwin chickenPackages_5.chickenEggs.srfi-115 | |
aarch64-linux haskellPackages.hasql-implicits | |
x86_64-linux python312Packages.mockito | |
aarch64-darwin skawarePackages.s6-rc | |
x86_64-darwin lua54Packages.luasystem | |
aarch64-linux python311Packages.azure-synapse-artifacts | |
aarch64-linux python312Packages.langid | |
x86_64-linux home-assistant-component-tests.zodiac | |
x86_64-darwin emacs | |
aarch64-linux haskellPackages.readcsv | |
x86_64-linux emacsPackages.xml-quotes | |
aarch64-darwin vimPlugins.ir_black | |
aarch64-linux linuxPackages_lqx.systemtap | |
x86_64-linux python312Packages.nixpkgs | |
x86_64-linux haskellPackages.fee-estimate | |
aarch64-darwin python312Packages.yt-dlp-light | |
aarch64-darwin riemann | |
aarch64-linux home-assistant-component-tests.dhcp | |
aarch64-linux python311Packages.arc4 | |
aarch64-darwin python311Packages.boa-api | |
x86_64-linux vimPlugins.nvim-compe | |
aarch64-darwin python311Packages.astor | |
aarch64-linux python311Packages.mypy-boto3-redshift | |
x86_64-linux python311Packages.vobject | |
aarch64-linux python311Packages.fastdtw | |
x86_64-linux python312Packages.polyswarm-api | |
aarch64-darwin python312Packages.py-sr25519-bindings | |
x86_64-darwin python312Packages.meson | |
x86_64-linux python311Packages.proton-vpn-killswitch | |
aarch64-darwin maple-mono | |
x86_64-linux libsForQt5.marble | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.kvmfr | |
aarch64-linux pgcopydb | |
aarch64-linux emacsPackages.cframe | |
aarch64-darwin python312Packages.py-ubjson | |
aarch64-darwin darkstat | |
x86_64-darwin emacsPackages.flimenu | |
x86_64-linux python312Packages.sendgrid | |
aarch64-darwin lua52Packages.lua-protobuf | |
aarch64-darwin haskellPackages.qm-interpolated-string | |
x86_64-linux xonotic-dedicated-unwrapped | |
x86_64-linux emacsPackages.vector-utils | |
aarch64-linux haskellPackages.capability | |
x86_64-linux git-revise | |
x86_64-linux haskellPackages.barbies | |
aarch64-darwin perl536Packages.MooseXMethodAttributes | |
aarch64-darwin python311Packages.qds-sdk | |
aarch64-linux xgboost | |
x86_64-linux plasma5Packages.qt5.qmake | |
x86_64-darwin emacsPackages.xml-format | |
x86_64-linux soci | |
aarch64-linux python312Packages.pyverilog | |
aarch64-linux emacsPackages.cbor | |
aarch64-linux haskellPackages.include-env | |
aarch64-darwin lua53Packages.fzf-lua | |
x86_64-linux ntfs2btrfs | |
aarch64-linux kdePackages.kpmcore | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.libgsasl | |
aarch64-linux python311Packages.pysmbc | |
aarch64-darwin rubyPackages_3_2.jekyll | |
x86_64-darwin emacsPackages.tree-edit | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.IL | |
aarch64-linux kodiPackages.kodi | |
aarch64-linux haskellPackages.postgresql-libpq | |
x86_64-linux pidginPackages.tdlib-purple | |
x86_64-darwin python311Packages.mypy-boto3-events | |
aarch64-darwin emacsPackages.loccur | |
aarch64-darwin python312Packages.zodb | |
x86_64-linux qt6.qtnetworkauth | |
aarch64-linux rocmPackages_6.hiprand | |
x86_64-linux linuxPackages_4_19_hardened.dpdk | |
x86_64-darwin perl536Packages.ClassIterator | |
aarch64-linux emacsPackages.usage-memo | |
aarch64-darwin python311Packages.types-aiobotocore-lookoutequipment | |
aarch64-darwin perl538Packages.Git | |
x86_64-darwin emacsPackages.projekt | |
x86_64-darwin emacsPackages.ob-lesim | |
aarch64-darwin hunspellDicts.sv-se | |
x86_64-linux cflow | |
aarch64-darwin haskellPackages.weigh | |
aarch64-darwin kreative-square-fonts | |
x86_64-linux python311Packages.deezer-py | |
aarch64-linux adw-gtk3 | |
x86_64-linux xfce.xfce4-mailwatch-plugin | |
x86_64-linux linuxPackages-libre.isgx | |
x86_64-darwin haskellPackages.word-notes-datatype | |
x86_64-darwin emacsPackages.evil-org | |
x86_64-darwin libsForQt5.libqglviewer | |
x86_64-linux pyprof2calltree | |
x86_64-linux luaPackages.busted | |
x86_64-darwin python311Packages.keba-kecontact | |
aarch64-linux vimPlugins.tokyonight-nvim | |
aarch64-linux python312Packages.opentelemetry-exporter-otlp-proto-common | |
x86_64-darwin python311Packages.polyline | |
x86_64-darwin python312Packages.qt5reactor | |
aarch64-linux python312Packages.sacn | |
aarch64-linux bluemail | |
aarch64-linux rubyPackages_3_3.ruby-terminfo | |
x86_64-linux python311Packages.worldengine | |
x86_64-linux openapi-generator-cli | |
x86_64-linux linuxKernel.packages.linux_xanmod.r8125 | |
x86_64-darwin itktcl | |
aarch64-darwin nanoblogger | |
x86_64-linux topicctl | |
aarch64-darwin python311Packages.python-smarttub | |
aarch64-linux python311Packages.shellingham | |
x86_64-linux gnomeExtensions.transparent-topbar | |
aarch64-linux lxqt.liblxqt | |
aarch64-darwin distccStdenv | |
aarch64-linux kdePackages.pim-data-exporter | |
aarch64-darwin fleng | |
aarch64-darwin material-design-icons | |
aarch64-darwin python312Packages.jsonrpc-websocket | |
aarch64-linux rubyPackages_3_3.addressable | |
x86_64-linux emacsPackages.cmake-font-lock | |
aarch64-darwin python311Packages.types-aiobotocore-connect | |
x86_64-linux emacsPackages.ctl-mode | |
x86_64-darwin python312Packages.snowflake-connector-python | |
aarch64-darwin gotestsum | |
x86_64-darwin perl536Packages.BCOW | |
aarch64-darwin buildbotPackages.buildbot-plugins.badges | |
x86_64-darwin python311Packages.georss-tfs-incidents-client | |
x86_64-darwin coffeegrindsize | |
aarch64-linux emacsPackages.evil-escape | |
x86_64-linux romdirfs | |
x86_64-linux vimPlugins.vim-plug | |
x86_64-darwin python312Packages.onkyo-eiscp | |
x86_64-darwin lua53Packages.middleclass | |
x86_64-darwin postgresql12Packages.smlar | |
x86_64-darwin python311Packages.rotary-embedding-torch | |
x86_64-linux python312Packages.red-black-tree-mod | |
aarch64-darwin haskellPackages.acme-default | |
aarch64-linux tests.hardeningFlags.fortify3ExplicitEnabled | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.astro | |
aarch64-darwin emacsPackages.tree-sitter | |
x86_64-linux orthorobot | |
aarch64-linux python312Packages.mypy-boto3-inspector2 | |
x86_64-linux minimacy | |
x86_64-linux python311Packages.cloudscraper | |
x86_64-linux emacsPackages.ess-view | |
aarch64-linux plasma5Packages.qtstyleplugin-kvantum | |
x86_64-linux haskellPackages.hasura-resource-pool | |
x86_64-darwin python311Packages.pandoc-xnos | |
x86_64-linux linuxPackages_6_6_hardened.linux-gpib | |
x86_64-darwin python311Packages.scs | |
aarch64-darwin python312Packages.picos | |
aarch64-linux python312Packages.nested-lookup | |
x86_64-linux python312Packages.pgvector | |
aarch64-linux linuxKernel.packages.linux_4_19.cryptodev | |
aarch64-linux haskellPackages.GHood | |
aarch64-darwin rubyPackages_3_2.date | |
x86_64-darwin vimPlugins.inc-rename-nvim | |
x86_64-darwin perl538Packages.DistCheckConflicts | |
x86_64-linux python312Packages.pvlib | |
aarch64-linux python312Packages.periodictable | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.pascal | |
aarch64-linux python312Packages.pweave | |
x86_64-linux emacsPackages.realgud-trepan-ni | |
x86_64-darwin perl536Packages.ParseWin32Registry | |
x86_64-darwin emacsPackages.electric-cursor | |
x86_64-darwin vimPlugins.coc-sqlfluff | |
aarch64-darwin postgresql12JitPackages.periods | |
x86_64-darwin python312Packages.types-aiobotocore-guardduty | |
x86_64-darwin rubyPackages_3_2.base64 | |
x86_64-linux vimPlugins.mind-nvim | |
x86_64-linux emacsPackages.jupyter | |
aarch64-darwin rubyPackages_3_2.libxml-ruby | |
x86_64-darwin emacsPackages.sudo-edit | |
x86_64-darwin python312Packages.mypy-boto3-sso-admin | |
aarch64-linux haskellPackages.path-io | |
aarch64-linux emacsPackages.geiser-kawa | |
x86_64-linux wsl-vpnkit | |
aarch64-linux afterburn | |
aarch64-darwin perl538Packages.ProcSimple | |
x86_64-darwin python311Packages.xmltodict | |
x86_64-linux libsForQt5.khtml | |
aarch64-linux home-assistant-component-tests.landisgyr_heat_meter | |
aarch64-darwin vimPlugins.ssr | |
x86_64-linux tests.writers.simple.nu | |
aarch64-linux bitwuzla | |
x86_64-linux emacsPackages.origami | |
aarch64-darwin pattypan | |
aarch64-darwin python311Packages.dungeon-eos | |
x86_64-darwin perl538Packages.LWPProtocolConnect | |
x86_64-linux python311Packages.pyro5 | |
aarch64-linux rubyPackages_3_3.tilt | |
aarch64-linux haskellPackages.stack-all | |
x86_64-linux htmldoc | |
aarch64-darwin asciiquarium-transparent | |
aarch64-darwin emacsPackages.all | |
aarch64-linux haskellPackages.natural-arithmetic | |
x86_64-darwin lua52Packages.inspect | |
aarch64-linux vimPlugins.emmet-vim | |
x86_64-linux cudaPackagesGoogle.cuda_nvvp | |
x86_64-linux yed | |
aarch64-linux lua51Packages.xml2lua | |
x86_64-linux python312Packages.mypy-boto3-simspaceweaver | |
aarch64-linux chirp | |
x86_64-darwin go-mod-graph-chart | |
aarch64-darwin ptcollab | |
aarch64-darwin haskellPackages.amazonka-redshift | |
x86_64-linux python312Packages.tvdb-api | |
x86_64-linux readability-extractor | |
aarch64-darwin emacsPackages.jumblr | |
x86_64-linux emacsPackages.speeddating | |
x86_64-linux home-assistant-component-tests.forecast_solar | |
aarch64-darwin libjxl | |
aarch64-linux linuxPackages_4_19_hardened.rtl8821ce | |
aarch64-linux emacsPackages.ipcalc | |
x86_64-darwin emacsPackages.helm-exwm | |
x86_64-linux python311Packages.google-cloud-pubsub | |
aarch64-linux python312Packages.cloup | |
aarch64-linux python312Packages.hopcroftkarp | |
x86_64-darwin fswatch | |
aarch64-darwin python312Packages.actdiag | |
aarch64-darwin python312Packages.sentence-splitter | |
x86_64-darwin emacsPackages.underwater-theme | |
aarch64-darwin python311Packages.helper | |
x86_64-darwin luajitPackages.nvim-nio | |
x86_64-darwin python311Packages.pysocks | |
aarch64-darwin emacsPackages.origami | |
x86_64-darwin emacsPackages.aurora-config-mode | |
aarch64-linux faraday-agent-dispatcher | |
x86_64-linux python312Packages.dbus-client-gen | |
x86_64-linux python312Packages.messagebird | |
aarch64-darwin haskellPackages.timestamp | |
x86_64-linux xdxf2slob | |
x86_64-linux python312Packages.certipy-ad | |
aarch64-linux apacheHttpdPackages_2_4.mod_auth_mellon | |
x86_64-darwin libjpeg8 | |
aarch64-darwin perl536Packages.BHooksOPCheck | |
aarch64-linux python311Packages.azure-mgmt-storage | |
aarch64-linux linuxKernel.packages.linux_4_19.perf | |
aarch64-linux python311Packages.asn1ate | |
x86_64-linux haskellPackages.wraxml | |
x86_64-linux haskellPackages.th-extras | |
x86_64-linux xorg-rgb | |
x86_64-darwin rubyPackages_3_3.bindata | |
aarch64-darwin python311Packages.protobuf3-to-dict | |
x86_64-linux python312Packages.favicon | |
aarch64-linux haskellPackages.todo | |
aarch64-linux python311Packages.hypothesis | |
x86_64-darwin haskellPackages.boopadoop | |
x86_64-linux python312Packages.python-lsp-black | |
aarch64-linux ppsspp-sdl | |
aarch64-linux emacsPackages.surround | |
x86_64-linux idrisPackages.console | |
x86_64-linux libsForQt5.kross | |
x86_64-darwin python311Packages.gentools | |
x86_64-linux python311Packages.serverlessrepo | |
aarch64-linux python312Packages.hyperpyyaml | |
aarch64-linux emacsPackages.helm-twitch | |
x86_64-darwin emacsPackages.package-lint | |
aarch64-linux emacsPackages.eldoc-cmake | |
aarch64-darwin juicity | |
x86_64-linux python311Packages.pdf2docx | |
aarch64-darwin python312Packages.sshfs | |
aarch64-darwin dbxml | |
aarch64-linux haskellPackages.monadoid | |
x86_64-darwin _2048-cli-terminal | |
x86_64-linux python311Packages.pysnmp-pyasn1 | |
x86_64-darwin emacsPackages.flycheck-eglot | |
x86_64-linux perl538Packages.X11XCB | |
x86_64-darwin python311Packages.markups | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.html | |
x86_64-linux open-music-kontrollers.vm | |
aarch64-darwin perl538Packages.SysSyslog | |
x86_64-linux kdePackages.plasma-integration | |
aarch64-darwin haskellPackages.wai-handler-launch | |
x86_64-linux gnome.gdm | |
aarch64-linux python311Packages.google-cloud-texttospeech | |
x86_64-darwin emacsPackages.sdlang-mode | |
x86_64-darwin gdrive3 | |
x86_64-linux linuxKernel.packages.linux_5_10.oci-seccomp-bpf-hook | |
x86_64-darwin haskellPackages.quickcheck-special | |
x86_64-linux python312Packages.mypy-boto3-drs | |
aarch64-linux nh | |
x86_64-darwin collision | |
x86_64-linux btrfs-heatmap | |
aarch64-darwin haskellPackages.ws-chans | |
x86_64-linux haskellPackages.hsverilog | |
x86_64-darwin lua53Packages.luafilesystem | |
x86_64-darwin python311Packages.somfy-mylink-synergy | |
aarch64-linux python312Packages.openidc-client | |
aarch64-darwin python311Packages.publicsuffix2 | |
aarch64-darwin python311Packages.tls-parser | |
x86_64-darwin python311Packages.mypy-boto3-pipes | |
aarch64-linux phrasendrescher | |
aarch64-linux python312Packages.htmldate | |
x86_64-darwin flip | |
x86_64-linux jupyter | |
x86_64-linux python312Packages.yubico-client | |
x86_64-darwin emacsPackages.ytdious | |
x86_64-darwin python312Packages.aiooss2 | |
x86_64-linux python311Packages.django-auth-ldap | |
aarch64-darwin python312Packages.gcovr | |
x86_64-linux sfxr-qt | |
x86_64-darwin gradience | |
x86_64-linux alembic | |
x86_64-darwin python312Packages.mypy-boto3-chime-sdk-media-pipelines | |
x86_64-linux python312Packages.pytest-quickcheck | |
x86_64-linux terraform-providers.vault | |
x86_64-linux emacsPackages.consult-gh | |
x86_64-linux haskellPackages.ports-tools | |
x86_64-linux hsphfpd | |
x86_64-linux python312Packages.jxmlease | |
x86_64-darwin python311Packages.types-ipaddress | |
x86_64-darwin perl536Packages.PLS | |
aarch64-darwin honcho | |
aarch64-linux lumail | |
x86_64-darwin python311Packages.pydicom-seg | |
aarch64-linux python312Packages.insegel | |
aarch64-linux rivercarro | |
aarch64-darwin libsForQt5.ktexteditor | |
aarch64-linux emacsPackages.org-ai | |
x86_64-linux python311Packages.pyemd | |
x86_64-linux python312Packages.django-stubs | |
aarch64-darwin haskellPackages.bytestring-from | |
aarch64-darwin emacsPackages.sort-words | |
x86_64-darwin haskellPackages.mastermind | |
aarch64-linux plasma5Packages.qtlottie | |
aarch64-darwin reuse | |
x86_64-linux python312Packages.aioqsw | |
aarch64-darwin python311Packages.monosat | |
aarch64-linux xrestop | |
x86_64-darwin python311Packages.mypy-boto3-resource-explorer-2 | |
aarch64-darwin perl538Packages.MooXTypeTiny | |
x86_64-linux vscode-extensions.azdavis.millet | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.it87 | |
x86_64-darwin scalr-cli | |
x86_64-linux python311Packages.svg2tikz | |
x86_64-linux emacsPackages.org-evil | |
aarch64-darwin gallery-dl | |
aarch64-darwin xorg.xf86videoopenchrome | |
x86_64-linux python312Packages.dvc-azure | |
aarch64-darwin charm | |
aarch64-darwin elmPackages.elm-doc-preview | |
aarch64-linux brickd | |
aarch64-linux emacsPackages.flymake-collection | |
aarch64-darwin emacsPackages.anzu | |
x86_64-linux python311Packages.aio-georss-client | |
x86_64-linux python311Packages.dataprep-ml | |
aarch64-linux lpd8editor | |
aarch64-darwin racket-minimal | |
aarch64-darwin haskellPackages.Interpolation | |
x86_64-darwin emacsPackages.tramp-auto-auth | |
x86_64-darwin perl538Packages.DateTimeFormatW3CDTF | |
x86_64-linux goocanvas | |
aarch64-darwin llvmPackages_13.llvm | |
x86_64-linux linuxPackages.virtio_vmmci | |
aarch64-darwin emacsPackages.elisp-format | |
x86_64-linux rabtap | |
aarch64-darwin python312Packages.django-countries | |
aarch64-darwin haskellPackages.tasty-discover | |
x86_64-linux shod | |
aarch64-linux python312Packages.viewstate | |
x86_64-darwin python311Packages.patator | |
x86_64-darwin luajitPackages.mimetypes | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.haskell_persistent | |
aarch64-linux blur-effect | |
x86_64-linux python311Packages.mypy-boto3-opsworks | |
x86_64-darwin python311Packages.typer | |
aarch64-linux python312Packages.jupyter-events | |
x86_64-linux kdePackages.kolf | |
x86_64-linux python311Packages.mypy-boto3-proton | |
aarch64-linux haskellPackages.GlomeVec | |
aarch64-linux kaldi | |
aarch64-darwin eukleides | |
aarch64-darwin sunwait | |
x86_64-linux emacsPackages.sentex | |
aarch64-darwin python311Packages.notifications-android-tv | |
x86_64-darwin python311Packages.python-ev3dev2 | |
x86_64-darwin perl538Packages.ModuleInstall | |
x86_64-linux python311Packages.types-click | |
aarch64-darwin python312Packages.statistics | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.phc-intel | |
aarch64-darwin perl538Packages.FilesysDf | |
x86_64-linux pa-notify | |
aarch64-linux haskellPackages.gl3w | |
aarch64-darwin spidermonkey_78 | |
x86_64-linux emacsPackages.selected | |
aarch64-linux python312Packages.justbases | |
x86_64-darwin emacsPackages.dired-auto-readme | |
aarch64-darwin aacgain | |
aarch64-darwin papis | |
x86_64-linux haskellPackages.rainbow | |
aarch64-darwin python311Packages.notmuch | |
aarch64-darwin geoip | |
x86_64-darwin emacsPackages.logstash-conf | |
x86_64-linux emacsPackages.consult-tex | |
x86_64-linux epick | |
aarch64-linux python311Packages.julius | |
aarch64-linux python311Packages.beautysh | |
x86_64-darwin plasma5Packages.libopenshot-audio | |
aarch64-linux linuxKernel.kernels.linux_zen | |
aarch64-linux python311Packages.lmcloud | |
aarch64-darwin perl538Packages.DataUniqid | |
aarch64-linux nvtopPackages.msm | |
aarch64-linux binaryen | |
aarch64-darwin python311Packages.magic | |
aarch64-linux python311Packages.morphys | |
aarch64-darwin haskellPackages.amazonka-s3 | |
aarch64-linux emacsPackages.eziam-themes | |
x86_64-darwin perl538Packages.DistZillaPluginTestEOL | |
aarch64-darwin gql | |
aarch64-linux python311Packages.facedancer | |
x86_64-darwin helm-ls | |
x86_64-linux haskellPackages.attoparsec-expr | |
aarch64-darwin python312Packages.mypy-boto3-ivs-realtime | |
aarch64-darwin tikzit | |
x86_64-darwin vimPlugins.galaxyline-nvim | |
aarch64-linux espeak-classic | |
aarch64-linux uudeview | |
x86_64-darwin texinfo7 | |
x86_64-linux linuxPackages_5_4_hardened.netatop | |
aarch64-linux python311Packages.aiofiles | |
x86_64-linux linuxPackages_lqx.netatop | |
x86_64-linux linuxKernel.packages.linux_6_8.lttng-modules | |
aarch64-linux crack_attack | |
aarch64-darwin emacsPackages.librera-sync | |
x86_64-darwin perl536Packages.TestPortabilityFiles | |
aarch64-darwin python311Packages.django-gravatar2 | |
aarch64-linux python311Packages.pytools | |
x86_64-linux atlauncher | |
x86_64-linux haskellPackages.word-trie | |
aarch64-darwin panamax | |
aarch64-linux python311Packages.beancount-docverif | |
aarch64-darwin python311Packages.neo4j | |
aarch64-linux caffeWithCuda | |
aarch64-linux python312Packages.urwid-mitmproxy | |
aarch64-darwin cegui | |
x86_64-linux haskellPackages.generic-env | |
x86_64-darwin rocksdb_7_10 | |
x86_64-darwin python312Packages.cypari2 | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.dart | |
x86_64-linux python312Packages.pdm-backend | |
aarch64-linux luaPackages.ldoc | |
x86_64-linux haskellPackages.forsyde-shallow | |
x86_64-linux plasma5Packages.kconfig | |
aarch64-linux libfakekey | |
x86_64-linux terraform-providers.skytap | |
x86_64-darwin diffoci | |
x86_64-linux python311Packages.ajsonrpc | |
aarch64-darwin python311Packages.pillowfight | |
aarch64-linux emacsPackages.rails-routes | |
x86_64-darwin python311Packages.loca | |
aarch64-darwin i3-balance-workspace | |
x86_64-linux python311Packages.django-webpush | |
x86_64-linux python312Packages.superqt | |
aarch64-darwin vimPlugins.nlsp-settings-nvim | |
aarch64-linux rocmPackages.llvm.libunwind | |
x86_64-linux emacsPackages.magit-annex | |
x86_64-darwin yabasic | |
aarch64-darwin coqPackages.reglang | |
aarch64-linux oh | |
x86_64-darwin python311Packages.telegram | |
x86_64-darwin perl538Packages.FileTouch | |
x86_64-linux emacsPackages.cnfonts | |
aarch64-darwin python311Packages.debtcollector | |
x86_64-darwin emacsPackages.vhdl-ts-mode | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.nvidia_x11_beta | |
x86_64-linux linuxPackages_xanmod_latest.rtl8189fs | |
x86_64-linux libs3 | |
aarch64-darwin python312Packages.isosurfaces | |
aarch64-linux python311Packages.lpc-checksum | |
aarch64-linux jpexs | |
x86_64-darwin openstackclient | |
aarch64-darwin haskellPackages.call-stack | |
aarch64-darwin emacsPackages.noflet | |
aarch64-darwin seaweedfs | |
x86_64-linux haskellPackages.euler-tour-tree | |
x86_64-darwin python312Packages.mypy-boto3-pipes | |
x86_64-darwin perl538Packages.TemplatePluginClass | |
aarch64-linux airgeddon | |
x86_64-darwin python311Packages.approval-utilities | |
x86_64-linux hyprland-monitor-attached | |
aarch64-linux snyk | |
aarch64-linux home-assistant-component-tests.soma | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.openafs_1_8 | |
x86_64-darwin haskellPackages.random | |
aarch64-darwin ugarit | |
aarch64-darwin python312Packages.types-aiobotocore-textract | |
aarch64-darwin haskellPackages.thread-utils-finalizers | |
aarch64-darwin haskellPackages.amazonka-ivs | |
aarch64-linux python311Packages.heatzypy | |
aarch64-darwin emacsPackages.orgtbl-fit | |
x86_64-linux linuxPackages_4_19_hardened.linux-gpib | |
x86_64-linux creduce | |
x86_64-linux emacsPackages.dkdo | |
aarch64-linux haskellPackages.qsem | |
x86_64-darwin rubyPackages_3_1.jekyll-include-cache | |
aarch64-darwin emacsPackages.wc-goal-mode | |
x86_64-linux biber-for-tectonic | |
x86_64-linux python311Packages.llama-index-program-openai | |
aarch64-darwin python312Packages.cjkwrap | |
aarch64-linux python311Packages.txgithub | |
x86_64-darwin emacsPackages.browse-url-dwim | |
x86_64-darwin python312Packages.pyxeoma | |
x86_64-darwin perl536Packages.MailAuthenticationResults | |
x86_64-linux emacsPackages.ulisp-repl | |
x86_64-linux haskellPackages.quickcheck-quid | |
aarch64-linux wxmacmolplt | |
aarch64-linux python312Packages.mkdocs-gitlab | |
x86_64-linux xtitle | |
x86_64-linux python311Packages.ufonormalizer | |
x86_64-darwin yubikey-personalization-gui | |
aarch64-darwin rubyPackages_3_3.mini_mime | |
aarch64-linux python311Packages.certbot-dns-rfc2136 | |
x86_64-linux python311Packages.python-owasp-zap-v2-4 | |
aarch64-darwin haskellPackages.Color | |
x86_64-linux python311Packages.sleepyq | |
aarch64-darwin arubaotp-seed-extractor | |
x86_64-linux emacsPackages.webkit-color-picker | |
aarch64-darwin lua51Packages.teal-language-server | |
x86_64-linux swiftPackages.swift-driver | |
x86_64-linux dontgo403 | |
x86_64-linux haskellPackages.compactmap | |
x86_64-linux emacsPackages.helm-dired-history | |
aarch64-linux emacsPackages.helm-itunes | |
x86_64-darwin rubyPackages_3_3.jekyll-webmention_io | |
x86_64-linux mopidy-mopify | |
x86_64-linux haskellPackages.hinotify | |
x86_64-darwin python312Packages.syncedlyrics | |
x86_64-linux python312Packages.threat9-test-bed | |
x86_64-linux scmccid | |
aarch64-darwin perl536Packages.BHooksOPAnnotation | |
aarch64-linux linuxPackages_xanmod_latest.shufflecake | |
x86_64-linux vimPlugins.mkdnflow-nvim | |
aarch64-darwin python311Packages.azure-storage-blob | |
x86_64-linux python312Packages.watermark | |
aarch64-darwin python312Packages.zope-dottedname | |
x86_64-darwin perl538Packages.ClassMakeMethods | |
x86_64-darwin rubyPackages_3_2.multi_json | |
x86_64-darwin perl | |
aarch64-darwin perl536Packages.TextPasswordPronounceable | |
x86_64-linux haskellPackages.jumpthefive | |
x86_64-darwin plasma5Packages.qt5.qtconnectivity | |
aarch64-linux gotypist | |
aarch64-linux rubyPackages.curb | |
x86_64-darwin netpbm | |
x86_64-darwin python311Packages.click-configfile | |
aarch64-linux emacsPackages.revert-buffer-all | |
x86_64-linux btdu | |
aarch64-linux lua53Packages.cassowary | |
x86_64-linux xdotool | |
aarch64-darwin python311Packages.bloodyad | |
aarch64-darwin perl538Packages.MooseXAliases | |
aarch64-darwin haskellPackages.hack | |
x86_64-linux python311Packages.connect-box | |
aarch64-darwin python311Packages.zope-configuration | |
aarch64-darwin showoff | |
aarch64-linux home-assistant-component-tests.google_pubsub | |
x86_64-linux python312Packages.types-aiobotocore-application-insights | |
aarch64-linux emacsPackages.standoff-mode | |
x86_64-darwin kubecfg | |
x86_64-linux libsForQt5.networkmanager-qt | |
x86_64-linux xmobar | |
aarch64-darwin emacsPackages.zig-mode | |
aarch64-darwin python311Packages.pyindego | |
aarch64-linux python312Packages.huisbaasje-client | |
x86_64-darwin python312Packages.potr | |
aarch64-darwin python311Packages.bespon | |
x86_64-darwin haskellPackages.hslogger | |
aarch64-darwin python312Packages.vector | |
x86_64-linux traefik | |
aarch64-linux python311Packages.pyuca | |
aarch64-darwin postgresql13Packages.timescaledb-apache | |
aarch64-darwin confd | |
x86_64-linux python311Packages.u-msgpack-python | |
x86_64-linux python312Packages.pydiscourse | |
aarch64-darwin python312Packages.twinkly-client | |
aarch64-linux emacsPackages.vscode-dark-plus-theme | |
x86_64-darwin python311Packages.napari-plugin-engine | |
x86_64-linux emacsPackages.erc-track-score | |
x86_64-linux python312Packages.pypdf3 | |
x86_64-linux python311Packages.jsonschema | |
aarch64-linux gubbi-font | |
x86_64-linux vimPlugins.nvim-ts-context-commentstring | |
aarch64-linux haskellPackages.mameya | |
x86_64-linux home-assistant-component-tests.scene | |
x86_64-linux cpp-jwt | |
x86_64-darwin libwpd | |
aarch64-darwin motion | |
x86_64-linux vcv-rack | |
x86_64-linux emacsPackages.aproject | |
x86_64-linux linuxKernel.packages.linux_5_4.can-isotp | |
aarch64-darwin python311Packages.ukkonen | |
aarch64-darwin xorg.xf86videov4l | |
aarch64-linux ntfy-sh | |
x86_64-darwin headache | |
aarch64-darwin perl536Packages.EmailSender | |
x86_64-darwin rubyPackages.bigdecimal | |
x86_64-linux emacsPackages.treemacs-perspective | |
aarch64-darwin haskellPackages.srtree | |
x86_64-linux python312Packages.correctionlib | |
x86_64-linux nwg-panel | |
aarch64-darwin python312Packages.onnxmltools | |
aarch64-linux python312Full | |
aarch64-linux python312Packages.fastapi-sso | |
aarch64-linux vimPlugins.unicode-vim | |
aarch64-darwin vimPlugins.renamer-nvim | |
aarch64-darwin python311Packages.mypy-boto3-codecommit | |
x86_64-darwin haskellPackages.zip-archive | |
aarch64-darwin mitmproxy | |
aarch64-darwin emacsPackages.pastehub | |
x86_64-darwin plasma5Packages.qtsystems | |
x86_64-darwin qemu_full | |
aarch64-darwin quodlibet | |
x86_64-darwin python311Packages.aiohttp-apispec | |
x86_64-darwin scli | |
x86_64-linux python311Packages.ordered-set | |
aarch64-linux papermcServers.papermc-1_20_2 | |
aarch64-darwin givaro | |
aarch64-linux vimPlugins.treesj | |
aarch64-linux haskellPackages.Euterpea | |
x86_64-linux haskellPackages.pipes-binary | |
x86_64-darwin python311Packages.azure-mgmt-servicebus | |
x86_64-darwin python312Packages.mypy-boto3-iot-roborunner | |
x86_64-darwin rubyPackages.sassc | |
x86_64-linux libsForQt5.qt5.qtgamepad | |
aarch64-linux vimPlugins.stylish-nvim | |
x86_64-linux goda | |
aarch64-darwin python311Packages.snowflake-connector-python | |
aarch64-darwin gcstar | |
aarch64-linux python312Packages.types-aiobotocore-pi | |
x86_64-linux haskellPackages.suffixtree | |
x86_64-linux vimPlugins.nvim-luadev | |
x86_64-darwin python311Packages.flask-caching | |
x86_64-linux emacsPackages.parchment-theme | |
x86_64-darwin haskellPackages.ParserFunction | |
x86_64-linux python311Packages.clean-fid | |
aarch64-darwin emacsPackages.diffscuss-mode | |
aarch64-linux emacsPackages.paradox | |
aarch64-linux plasma5Packages.oxygen-icons | |
x86_64-linux python311Packages.google-cloud-asset | |
aarch64-darwin python311Packages.mypy-boto3-redshift-data | |
x86_64-darwin haskellPackages.wordsetdiff | |
x86_64-darwin haskellPackages.character-ps | |
x86_64-linux python311Packages.oslo-log | |
aarch64-darwin deepsecrets | |
aarch64-linux python312Packages.gst-python | |
aarch64-linux vimPlugins.neotest-java | |
aarch64-darwin c2patool | |
aarch64-darwin rtmpdump | |
aarch64-linux haskellPackages.blaze-bootstrap | |
aarch64-linux python311Packages.superqt | |
x86_64-darwin llvmPackages_15.stdenv | |
aarch64-darwin idrisPackages.canvas | |
aarch64-linux python312Packages.gin-config | |
aarch64-linux tofi | |
aarch64-darwin python311Packages.lightparam | |
aarch64-darwin emacsPackages.steam | |
x86_64-darwin rubyPackages_3_2.minitest | |
aarch64-darwin haskellPackages.store-core | |
x86_64-darwin python311Packages.wunsen | |
x86_64-darwin vimPlugins.lazy-lsp-nvim | |
x86_64-darwin haskellPackages.xml-picklers | |
aarch64-darwin haskellPackages.step-function | |
aarch64-linux haskellPackages.mad-props | |
aarch64-linux naproche | |
x86_64-linux python311Packages.recommonmark | |
x86_64-linux plasma5Packages.akonadi-calendar | |
x86_64-darwin emacsPackages.speedbar-git-respect | |
aarch64-linux lua51Packages.lua-term | |
aarch64-darwin gst_all_1.gst-plugins-good | |
x86_64-darwin emacsPackages.astro-ts-mode | |
aarch64-linux python312Packages.inkbird-ble | |
aarch64-darwin emacsPackages.ibuffer-git | |
aarch64-linux libsForQt5.knewstuff | |
x86_64-darwin gitolite | |
x86_64-darwin python311Packages.bitvavo-aio | |
aarch64-darwin matrix-synapse-tools.synadm | |
x86_64-darwin tsduck | |
aarch64-linux onedriver | |
x86_64-darwin boolstuff | |
x86_64-darwin emacsPackages.ahungry-theme | |
aarch64-linux emacsPackages.offlineimap | |
aarch64-darwin amphetype | |
aarch64-darwin python312Packages.time-machine | |
aarch64-linux cri-tools | |
x86_64-linux vimPlugins.syntastic | |
aarch64-darwin python312Packages.wsnsimpy | |
x86_64-darwin matrix-synapse-plugins.matrix-synapse-mjolnir-antispam | |
x86_64-linux llvmPackages_12.stdenv | |
x86_64-darwin haskellPackages.main-tester | |
aarch64-linux home-assistant-component-tests.amberelectric | |
x86_64-darwin cidrgrep | |
x86_64-linux encfs | |
x86_64-darwin perl536Packages.TestMost | |
x86_64-darwin haskellPackages.NumLazyByteString | |
x86_64-linux vimPlugins.pears-nvim | |
x86_64-linux haskellPackages.HasChor | |
aarch64-darwin haskellPackages.kind-generics-th | |
x86_64-darwin hvm | |
aarch64-linux emacsPackages.lox-mode | |
aarch64-linux python311Packages.iowait | |
x86_64-linux jnetmap | |
aarch64-darwin python312Packages.vcver | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.rasi | |
aarch64-linux python312Packages.pyfzf | |
aarch64-darwin arpack | |
aarch64-darwin haskellPackages.morpheus-graphql-subscriptions | |
aarch64-linux python312Packages.python-json-logger | |
aarch64-linux emacsPackages.backline | |
x86_64-darwin haskellPackages.hinotify | |
x86_64-darwin hmat-oss | |
aarch64-linux python312Packages.vultr | |
x86_64-darwin rubyPackages_3_1.ruby2ruby | |
x86_64-linux quadrafuzz | |
x86_64-linux python312Packages.klaus | |
x86_64-linux linuxPackages.r8168 | |
x86_64-darwin haskellPackages.time-compat | |
aarch64-linux laminar | |
x86_64-darwin python312Packages.py-scrypt | |
x86_64-darwin haskellPackages.ast-path | |
aarch64-linux uqm | |
aarch64-darwin python312Packages.urwidgets | |
aarch64-darwin yubikey-manager | |
x86_64-darwin python311Packages.weasel | |
aarch64-darwin ngrep | |
aarch64-linux python311Packages.nodeenv | |
aarch64-linux python311Packages.cmd2 | |
aarch64-darwin chickenPackages_5.chickenEggs.toml | |
aarch64-darwin python311Packages.aiomusiccast | |
aarch64-darwin emacsPackages.rustic | |
aarch64-darwin emacsPackages.org-page | |
x86_64-linux kiwitalk | |
aarch64-darwin python312Packages.azure-containerregistry | |
aarch64-linux luaPackages.mpack | |
x86_64-darwin trufflehog | |
aarch64-darwin haskellPackages.hslua-marshalling | |
x86_64-darwin r53-ddns | |
aarch64-linux emacsPackages.molar-mass | |
x86_64-darwin rust-script | |
x86_64-darwin emacsPackages.dist-file-mode | |
x86_64-darwin python312Packages.mlflow | |
aarch64-darwin google-cloud-sdk-gce | |
aarch64-darwin python311Packages.pytankerkoenig | |
aarch64-linux python312Packages.python-redis-lock | |
x86_64-linux python311Packages.pymatreader | |
aarch64-linux emacsPackages.osm | |
x86_64-linux python312Packages.snakemake-interface-common | |
aarch64-darwin perl536Packages.MethodSignaturesSimple | |
x86_64-linux python311Packages.file-read-backwards | |
x86_64-darwin perl538Packages.FileMimeInfo | |
aarch64-darwin python312Packages.throttler | |
x86_64-linux plasma5Packages.kshisen | |
x86_64-linux kdePackages.telly-skout | |
aarch64-linux nixops_unstablePlugins.nixops-libvirtd | |
aarch64-linux emacsPackages.ert-modeline | |
aarch64-linux protoc-gen-grpc-web | |
aarch64-linux groove | |
x86_64-linux whatsapp-for-linux | |
aarch64-linux pysentation | |
aarch64-linux python312Packages.grapheme | |
aarch64-linux rargs | |
x86_64-linux axis2 | |
aarch64-darwin python311Packages.pycatch22 | |
x86_64-linux python312Packages.blessings | |
aarch64-darwin emacsPackages.helm-firefox | |
x86_64-darwin python311Packages.pysyncthru | |
aarch64-linux lxqt.compton-conf | |
aarch64-linux jaeles | |
aarch64-linux libcec | |
x86_64-darwin haskellPackages.mixed-types-num | |
aarch64-darwin python311Packages.sipyco | |
aarch64-darwin vimPlugins.quarto-nvim | |
x86_64-darwin plasma5Packages.kparts | |
aarch64-darwin python312Packages.pygments-style-github | |
x86_64-linux vimPlugins.cmp-copilot | |
x86_64-darwin libnest2d | |
aarch64-darwin papermcServers.papermc | |
x86_64-linux perl538Packages.Mojomysql | |
x86_64-darwin python311Packages.types-aiobotocore-storagegateway | |
aarch64-darwin emacsPackages.humanoid-themes | |
aarch64-linux python312Packages.dsinternals | |
x86_64-linux haskellPackages.amazonka-workmail | |
aarch64-darwin plasma5Packages.qtwebsockets | |
aarch64-darwin haskellPackages.aeson-serialize | |
x86_64-darwin python-qt | |
aarch64-linux coqPackages.serapi | |
aarch64-linux emacsPackages.ox-nikola | |
x86_64-darwin haskellPackages.generic-random | |
x86_64-darwin lua54Packages.lua | |
aarch64-linux haskellPackages.haskell-src-exts-simple | |
x86_64-linux libbap | |
aarch64-darwin python311Packages.dep-logic | |
aarch64-darwin haskellPackages.shakespeare-i18n | |
x86_64-darwin perl538Packages.LinguaStem | |
x86_64-darwin haskellPackages.reinterpret-cast | |
x86_64-linux portfolio | |
aarch64-linux python312Packages.e3-testsuite | |
x86_64-linux haskellPackages.asn1dump | |
aarch64-linux haskellPackages.heterocephalus | |
x86_64-linux python311Packages.csscompressor | |
aarch64-darwin adrgen | |
aarch64-darwin haskellPackages.hslua-examples | |
aarch64-linux postgresql14JitPackages.smlar | |
x86_64-darwin perl538Packages.RoleBasic | |
aarch64-darwin python312Packages.dissect-volume | |
x86_64-linux python312Packages.param | |
x86_64-darwin mdbook-linkcheck | |
x86_64-linux pantheon.switchboard-plug-wacom | |
x86_64-linux vimPlugins.vim-commentary | |
x86_64-darwin haskellPackages.plot-light-examples | |
aarch64-darwin python311Packages.pysigma-backend-insightidr | |
x86_64-darwin haskellPackages.hw-rankselect | |
aarch64-darwin vimPlugins.neotest-elixir | |
x86_64-linux haskellPackages.AES | |
aarch64-darwin perl536Packages.Filechdir | |
x86_64-linux python311Packages.plantuml | |
x86_64-linux emacsPackages.wordreference | |
x86_64-darwin perl538Packages.LocaleUtilsPlaceholderNamed | |
aarch64-linux emacsPackages.vscdark-theme | |
aarch64-linux yaydl | |
aarch64-linux emacsPackages.vertico | |
aarch64-darwin haskellPackages.pipeline | |
aarch64-linux vimPlugins.leap-ast-nvim | |
aarch64-linux python312Packages.h5netcdf | |
aarch64-darwin python311Packages.unidata-blocks | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.luau | |
aarch64-linux epilys-bb | |
x86_64-darwin vimPlugins.midnight-nvim | |
x86_64-linux emacsPackages.standoff-mode | |
x86_64-darwin chickenPackages_5.chickenEggs.condition-utils | |
aarch64-linux haskellPackages.symmetric-properties | |
x86_64-linux patchage | |
x86_64-linux emacsPackages.soundklaus | |
aarch64-darwin haskellPackages.nettle | |
x86_64-darwin vimPlugins.vim-manpager | |
aarch64-darwin emacsPackages.youdao-dictionary | |
x86_64-linux gobgp | |
x86_64-linux pinegrow | |
aarch64-linux haskellPackages.vector-strategies | |
aarch64-darwin lua52Packages.luabitop | |
x86_64-darwin sttr | |
x86_64-linux freefilesync | |
x86_64-linux emacsPackages.math-preview | |
aarch64-linux python311Packages.threat9-test-bed | |
aarch64-linux python312Packages.online-judge-api-client | |
aarch64-darwin haskellPackages.zenacy-unicode | |
x86_64-linux mfcl8690cdwcupswrapper | |
x86_64-darwin gnu-cim | |
aarch64-linux emacsPackages.tabnine | |
x86_64-linux haskellPackages.graphql-spice | |
x86_64-linux emacsPackages.bf-mode | |
aarch64-linux python312Packages.json-rpc | |
x86_64-linux linuxKernel.packages.linux_5_4.rr-zen_workaround | |
aarch64-darwin emacsPackages.select-themes | |
aarch64-darwin python311Packages.mdformat-beautysh | |
x86_64-linux python312Packages.aioecowitt | |
x86_64-darwin python312Packages.sphinx-copybutton | |
x86_64-darwin haskellPackages.servius | |
x86_64-darwin emacsPackages.lolcat | |
aarch64-linux linuxPackages_xanmod_stable.bcc | |
x86_64-darwin terraform-providers.cloudamqp | |
x86_64-linux dovecot_pigeonhole | |
x86_64-linux kdePackages.kolourpaint | |
x86_64-linux python311Packages.sansio-multipart | |
aarch64-darwin python311Packages.types-aiobotocore-forecastquery | |
x86_64-linux midi-trigger | |
x86_64-linux emacsPackages.commander | |
aarch64-linux libcsptr | |
x86_64-linux cage | |
aarch64-linux emacsPackages.legalese | |
x86_64-darwin aws-c-event-stream | |
aarch64-darwin emacsPackages.ede-php-autoload-drupal | |
aarch64-darwin python312Packages.w3lib | |
aarch64-darwin qelectrotech | |
x86_64-darwin plasma5Packages.qtwebkit | |
aarch64-darwin signaturepdf | |
aarch64-darwin hof | |
x86_64-linux invoice | |
x86_64-linux python311Packages.azure-servicebus | |
aarch64-linux k4dirstat | |
aarch64-darwin perl536Packages.DateCalc | |
aarch64-darwin rubyPackages_3_1.syntax_tree-haml | |
aarch64-darwin sway-contrib.inactive-windows-transparency | |
aarch64-darwin xrestop | |
aarch64-darwin obs-do | |
aarch64-darwin perl536Packages.AlgorithmBackoff | |
aarch64-darwin lefthook | |
aarch64-darwin sqlar | |
x86_64-darwin vgmtools | |
x86_64-darwin perl536Packages.TestXPath | |
x86_64-linux mmtc | |
aarch64-linux csv2odf | |
x86_64-linux gst_all_1.gst-plugins-base | |
aarch64-linux emacsPackages.e2ansi | |
aarch64-darwin emacsPackages.prefixed-core | |
aarch64-darwin diesel-cli-ext | |
x86_64-linux python311Packages.pydantic-compat | |
x86_64-darwin python311Packages.libfive | |
x86_64-darwin qt6.qtquickeffectmaker | |
aarch64-darwin python311Packages.lingva | |
x86_64-darwin graphicsmagick_q16 | |
aarch64-linux python311Packages.netio | |
x86_64-darwin mermaid-cli | |
x86_64-linux haskellPackages.idringen | |
x86_64-linux rustypaste-cli | |
aarch64-darwin emacsPackages.refine | |
aarch64-darwin xorg.xdm | |
aarch64-darwin rust-analyzer | |
aarch64-linux emacsPackages.flycheck-relint | |
x86_64-darwin dnsutils | |
aarch64-linux python311Packages.credstash | |
x86_64-darwin vimPlugins.dashboard-nvim | |
x86_64-darwin haskellPackages.hxt-expat | |
aarch64-linux klipper-estimator | |
aarch64-darwin python312Packages.mypy-boto3-entityresolution | |
x86_64-darwin python312Packages.python-kasa | |
aarch64-linux linuxPackages_5_15_hardened.acpi_call | |
x86_64-darwin openjfx21 | |
x86_64-linux refind | |
x86_64-darwin python311Packages.jupyter-c-kernel | |
x86_64-darwin tcl2048 | |
aarch64-darwin haskellPackages.CSPM-CoreLanguage | |
aarch64-linux python311Packages.zodbpickle | |
x86_64-linux teapot | |
x86_64-linux haskellPackages.postgresql-simple-interpolate | |
x86_64-linux gom | |
aarch64-darwin vimPlugins.rust-vim | |
x86_64-darwin docbook_xml_dtd_412 | |
aarch64-darwin python312Packages.python-olm | |
x86_64-linux memtest_vulkan | |
aarch64-darwin asar | |
aarch64-darwin python311Packages.setuptools | |
aarch64-darwin python311Packages.pypcap | |
aarch64-darwin transmission_4-gtk | |
aarch64-linux linuxPackages_5_10_hardened.nvidia_x11_production | |
aarch64-linux commitmsgfmt | |
x86_64-darwin doing | |
aarch64-darwin python312Packages.pypblib | |
aarch64-linux ebumeter | |
x86_64-darwin vimPlugins.vim-buffergator | |
aarch64-darwin mdf2iso | |
x86_64-darwin emacsPackages.icomplete-vertical | |
x86_64-linux haskellPackages.persistent-qq | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.new-lg4ff | |
aarch64-darwin emacsPackages.mediawiki | |
x86_64-linux haskellPackages.hgal | |
x86_64-darwin python311Packages.aioelectricitymaps | |
aarch64-linux python312Packages.isort | |
aarch64-linux haskellPackages.bv | |
x86_64-linux azure-cli-extensions.dms-preview | |
x86_64-linux python312Packages.pyyaml | |
aarch64-linux haskellPackages.componentm | |
x86_64-darwin python312Packages.curio | |
aarch64-linux boxfs | |
x86_64-linux lua52Packages.sqlite | |
aarch64-darwin chickenPackages_5.chickenEggs.lmdb-ht | |
aarch64-linux llvmPackages_13.clang-manpages | |
x86_64-darwin python311Packages.asysocks | |
aarch64-linux haskellPackages.diagrams-cairo | |
aarch64-darwin python312Packages.unicurses | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.toml | |
x86_64-linux python311Packages.dynalite-devices | |
x86_64-linux linuxKernel.packages.linux_5_4.qc71_laptop | |
x86_64-darwin python311Packages.mwxml | |
aarch64-linux python311Packages.minimock | |
aarch64-darwin libretro.atari800 | |
aarch64-linux python311Packages.configshell | |
aarch64-linux python311Packages.astor | |
aarch64-darwin cfm | |
aarch64-linux haskellPackages.lzma | |
x86_64-darwin languagetool-rust | |
x86_64-linux lua54Packages.cosmo | |
x86_64-darwin python312Packages.phonenumbers | |
aarch64-darwin opcua-commander | |
x86_64-linux python311Packages.homepluscontrol | |
aarch64-darwin python312Packages.tableauserverclient | |
x86_64-linux haskellPackages.amazonka-braket | |
x86_64-darwin azure-cli-extensions.logz | |
aarch64-linux nfs-utils | |
aarch64-darwin haskellPackages.sexp-show | |
aarch64-linux emacsPackages.org-journal-list | |
x86_64-darwin postgresql16JitPackages.pg_ed25519 | |
aarch64-linux python312Packages.sparse | |
x86_64-linux python311Packages.certbot | |
x86_64-linux kdePackages.akonadi-mime | |
x86_64-linux lua51Packages.fidget-nvim | |
x86_64-linux haskellPackages.deepseq-generics | |
x86_64-darwin python312Packages.unicodedata2 | |
aarch64-linux home-assistant-component-tests.skybell | |
aarch64-linux ytui-music | |
x86_64-darwin systemctl-tui | |
x86_64-linux libsForQt5.qtinstaller | |
aarch64-darwin haskellPackages.roles | |
aarch64-darwin haskellPackages.simple-templates | |
aarch64-darwin rubyPackages.mercenary | |
x86_64-darwin pixiecore | |
aarch64-darwin haskellPackages.prettyprinter-compat-ansi-wl-pprint | |
aarch64-darwin python311Packages.requests-ntlm | |
x86_64-darwin python312Packages.oocsi | |
aarch64-linux emacsPackages.osx-location | |
x86_64-darwin h3 | |
aarch64-darwin graylog-5_1 | |
x86_64-linux ec2stepshell | |
x86_64-linux cargo-codspeed | |
x86_64-linux haskellPackages.hasql-notifications | |
aarch64-linux idrisPackages.fsm | |
x86_64-linux python311Packages.django-multiselectfield | |
aarch64-darwin gnome-video-effects | |
aarch64-darwin postgresql13Packages.promscale_extension | |
x86_64-darwin haskellPackages.belgian-structured-communication | |
x86_64-linux coqPackages.mathcomp-bigenough | |
x86_64-darwin rubyPackages_3_3.hashie | |
aarch64-linux amberol | |
x86_64-darwin haskellPackages.synthesizer-core | |
aarch64-darwin python312Packages.jsonmerge | |
x86_64-linux framework-tool | |
aarch64-darwin waf-tester | |
x86_64-darwin emacsPackages.nash-mode | |
aarch64-linux python312Packages.deluge-client | |
x86_64-linux python311Packages.ovoenergy | |
x86_64-linux haskellPackages.regex-pcre | |
aarch64-linux gssdp_1_6 | |
aarch64-linux olaris-server | |
x86_64-linux python312Packages.simpleeval | |
aarch64-darwin ruff | |
x86_64-linux iosevka-comfy.comfy-motion-fixed | |
aarch64-darwin haskellPackages.shake-plus | |
aarch64-darwin nux | |
aarch64-darwin emacsPackages.poet-theme | |
x86_64-linux foundationdb71 | |
x86_64-linux emacsPackages.uptimes | |
aarch64-linux python311Packages.seatconnect | |
x86_64-darwin mypaint-brushes1 | |
x86_64-linux pb | |
x86_64-linux ruplacer | |
x86_64-linux home-assistant-component-tests.doorbird | |
x86_64-darwin perl538Packages.DBIxIntrospector | |
aarch64-linux emacsPackages.zoutline | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.nct6687d | |
aarch64-darwin vimPlugins.python-syntax | |
aarch64-linux python311Packages.django-tastypie | |
x86_64-darwin perl536Packages.CryptOpenSSLBignum | |
aarch64-darwin vimPlugins.vim-haskellconceal | |
aarch64-darwin python311Packages.mypy-boto3-grafana | |
x86_64-darwin gnome-hexgl | |
x86_64-linux plasma5Packages.signond | |
x86_64-linux lua54Packages.lua-zlib | |
x86_64-darwin sslstrip | |
x86_64-darwin vimPlugins.pest-vim | |
aarch64-linux elmPackages.elm | |
x86_64-darwin kafka-delta-ingest | |
x86_64-linux pinentry-curses | |
x86_64-linux gif2apng | |
aarch64-linux python312Packages.nbsphinx | |
x86_64-linux sbclPackages.lla | |
aarch64-darwin python311Packages.pycosat | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.sparql | |
x86_64-darwin gupnp-igd | |
aarch64-darwin haskellPackages.blaze-bootstrap | |
x86_64-linux python312Packages.plantuml-markdown | |
aarch64-linux chainsaw | |
x86_64-linux rage | |
aarch64-darwin darwin.Libnotify | |
x86_64-darwin rubyPackages.rest-client | |
x86_64-darwin python312Packages.sgmllib3k | |
aarch64-linux rubyPackages_3_3.cairo | |
aarch64-darwin python312Packages.cfn-flip | |
aarch64-darwin python312Packages.lxml-html-clean | |
x86_64-linux certi | |
aarch64-linux haskellPackages.slynx | |
x86_64-darwin perl538Packages.AlienGMP | |
aarch64-linux nox | |
aarch64-darwin haskellPackages.compact-word-vectors | |
x86_64-darwin coconut | |
x86_64-darwin perl536Packages.MNI-Perllib | |
x86_64-linux python312Packages.jc | |
aarch64-darwin vimPlugins.vim-table-mode | |
x86_64-darwin swagger-cli | |
x86_64-linux python311Packages.pylitterbot | |
x86_64-linux shibboleth-sp | |
x86_64-darwin haskellPackages.qm-interpolated-string | |
x86_64-linux python312Packages.aiosql | |
x86_64-linux emacsPackages.helm-spaces | |
aarch64-darwin darwin.file_cmds | |
x86_64-darwin python311Packages.expects | |
x86_64-darwin libacr38u | |
x86_64-darwin python311Packages.google-auth-oauthlib | |
x86_64-darwin vault-medusa | |
aarch64-darwin rubyPackages.gdk3 | |
x86_64-darwin xz | |
x86_64-linux haskellPackages.lists | |
aarch64-darwin python312Packages.manifest-ml | |
x86_64-darwin libretro.fceumm | |
x86_64-linux amtterm | |
aarch64-darwin libsForQt5.qtlottie | |
aarch64-linux cargo-careful | |
x86_64-darwin odo | |
aarch64-darwin sourcehut.pagessrht | |
x86_64-linux python312Packages.python-digitalocean | |
aarch64-linux gnome.networkmanager-vpnc | |
x86_64-linux python312Packages.bcg | |
x86_64-linux libfm | |
aarch64-darwin haskellPackages.free-er | |
aarch64-linux trunk-ng | |
aarch64-linux cargo-ui | |
aarch64-linux libsForQt5.kdf | |
x86_64-linux kdePackages.tokodon | |
x86_64-darwin emacsPackages.tao-theme | |
x86_64-darwin emacsPackages.use-ttf | |
aarch64-linux libsForQt5.mauikit-texteditor | |
aarch64-linux emacsPackages.ample-zen-theme | |
x86_64-linux haskellPackages.monad-unlift-ref | |
x86_64-darwin haskellPackages.aeson-extra | |
aarch64-linux emacsPackages.ample-theme | |
aarch64-linux pantheon.elementary-default-settings | |
x86_64-linux haskellPackages.wai-cli | |
aarch64-darwin python312Packages.reorder-python-imports | |
aarch64-darwin perl538Packages.Plack | |
x86_64-darwin gau | |
x86_64-darwin haskellPackages.Ordinals | |
x86_64-darwin python311Packages.types-aiobotocore-iotthingsgraph | |
aarch64-darwin sx-go | |
aarch64-darwin emacsPackages.phi-search-mc | |
x86_64-linux python311Packages.flyingsquid | |
x86_64-darwin grafana-dash-n-grab | |
x86_64-darwin emacsPackages.openstack-cgit-browse-file | |
aarch64-linux emacsPackages.rails-log-mode | |
x86_64-linux coqPackages.StructTact | |
aarch64-darwin emacsPackages.bert | |
aarch64-linux python311Packages.inkbird-ble | |
x86_64-linux vimPlugins.no-clown-fiesta-nvim | |
x86_64-darwin vimPlugins.auto-hlsearch-nvim | |
x86_64-linux python312Packages.types-aiobotocore-cloudcontrol | |
x86_64-darwin luaPackages.lua-lsp | |
x86_64-darwin thokr | |
aarch64-darwin openssh_gssapi | |
aarch64-linux aws-mfa | |
x86_64-linux python312Packages.micloud | |
x86_64-linux haskellPackages.domain-cereal | |
x86_64-darwin terraform-providers.auth0 | |
x86_64-darwin haskellPackages.uglymemo | |
aarch64-darwin plasma5Packages.qt5.qtxmlpatterns | |
aarch64-linux python312Packages.aprslib | |
x86_64-darwin frescobaldi | |
x86_64-darwin go-swagger | |
x86_64-linux xorg.libXres | |
x86_64-darwin terraform-providers.opsgenie | |
x86_64-linux python312Packages.resize-right | |
aarch64-linux haskellPackages.gssapi-wai | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.disassembly | |
aarch64-darwin emacsPackages.chezmoi | |
x86_64-darwin python311Packages.pulumi-azure-native | |
x86_64-linux koreader | |
aarch64-linux emacsPackages.gmpl-mode | |
aarch64-darwin python312Packages.icoextract | |
aarch64-linux notmuch-addrlookup | |
x86_64-darwin haskellPackages.hspec-laws | |
aarch64-linux haskellPackages.servant-elm | |
aarch64-linux mate.mate-polkit | |
x86_64-darwin python312Packages.pysequoia | |
aarch64-darwin perl536Packages.DistZillaPluginTestCPANMetaJSON | |
x86_64-darwin python312Packages.pysatochip | |
x86_64-linux sbclPackages.xsubseq | |
x86_64-linux emacsPackages.websearch | |
aarch64-linux python311Packages.flask-security-too | |
x86_64-darwin imapfilter | |
aarch64-darwin akku | |
aarch64-darwin python311Packages.wsgiprox | |
x86_64-darwin python312Packages.mypy-boto3-workdocs | |
aarch64-darwin perl538Packages.StringErrf | |
x86_64-darwin haskellPackages.palette | |
aarch64-darwin haskellPackages.zeromq4-haskell | |
x86_64-darwin python311Packages.python-magic | |
x86_64-darwin python312Packages.django-encrypted-model-fields | |
aarch64-darwin discord-canary | |
x86_64-darwin python312Packages.anybadge | |
x86_64-darwin python311Packages.mypy-boto3-ec2-instance-connect | |
aarch64-linux rubyPackages_3_3.iconv | |
x86_64-darwin emacsPackages.lsp-haskell | |
x86_64-linux sbclPackages.binpack | |
aarch64-linux openai-triton-llvm | |
aarch64-linux emacsPackages.opensource | |
aarch64-darwin python311Packages.flipr-api | |
aarch64-darwin python311Packages.xnd | |
x86_64-darwin mftrace | |
x86_64-linux vimPlugins.vim-watchdogs | |
aarch64-darwin emacsPackages.dsvn | |
aarch64-linux prometheus-imap-mailstat-exporter | |
aarch64-darwin emacsPackages.mini-echo | |
x86_64-darwin emacsPackages.cursory | |
x86_64-linux python312Packages.mypy-boto3-es | |
x86_64-darwin python311Packages.trainer | |
aarch64-darwin python311Packages.radio-beam | |
aarch64-linux python311Packages.torchvision | |
aarch64-darwin pipx | |
x86_64-linux python312Packages.twiggy | |
x86_64-linux linuxPackages-libre.rtl8192eu | |
x86_64-linux gnomeExtensions.fullscreen-hot-corner | |
x86_64-linux python311Packages.fonttools | |
x86_64-darwin python311Packages.pyalgotrade | |
aarch64-linux catch | |
aarch64-linux icon-slicer | |
aarch64-darwin perl536Packages.DistZillaPluginTestPerlCritic | |
aarch64-linux python311Packages.types-aiobotocore-pricing | |
x86_64-linux python312Packages.tencentcloud-sdk-python | |
aarch64-linux terminal-typeracer | |
aarch64-darwin terraform-providers.googleworkspace | |
x86_64-darwin emacsPackages.clues-theme | |
aarch64-linux haskellPackages.hxt-unicode | |
x86_64-linux phoc | |
aarch64-darwin nix-top | |
x86_64-darwin albatross | |
aarch64-darwin python312Packages.py-sneakers | |
aarch64-darwin cpulimit | |
x86_64-linux linuxKernel.packages.linux_6_1.ena | |
aarch64-linux python311Packages.ukpostcodeparser | |
x86_64-darwin haskellPackages.byteable | |
x86_64-linux ghdorker | |
x86_64-darwin haskellPackages.RBTree | |
x86_64-darwin haskellPackages.lentil | |
x86_64-darwin emacsPackages.wgrep-pt | |
x86_64-darwin postgresqlJitPackages.pg_partman | |
x86_64-linux sbclPackages.data-table | |
aarch64-linux go_1_22 | |
x86_64-linux computecpp | |
aarch64-linux sacad | |
x86_64-linux libcxx | |
aarch64-linux emacsPackages.mu4e-crypto | |
x86_64-darwin python311Packages.nanoleaf | |
x86_64-linux rocmPackages_6.clang-ocl | |
aarch64-darwin plasma5Packages.qtserialbus | |
x86_64-darwin i3-rounded | |
aarch64-linux home-assistant-component-tests.p1_monitor | |
x86_64-darwin perl536Packages.TextIconv | |
x86_64-linux sbclPackages.hu_dot_dwim_dot_stefil_plus_hu_dot_dwim_dot_def | |
aarch64-linux emacsPackages.number | |
x86_64-darwin typst | |
aarch64-darwin libre-baskerville | |
aarch64-linux tvm | |
aarch64-darwin emacsPackages.morganey-mode | |
x86_64-linux qFlipper | |
x86_64-linux haskellPackages.ansi-terminal-types | |
x86_64-linux cudaPackages_10.cutensor | |
x86_64-darwin python312Packages.n3fit | |
x86_64-darwin kapowbang | |
x86_64-darwin python312Packages.lzstring | |
x86_64-darwin chiaki | |
aarch64-darwin emacsPackages.xterm-keybinder | |
x86_64-linux linuxKernel.packages.linux_4_19.fwts-efi-runtime | |
x86_64-darwin python312Packages.mypy-boto3-elastictranscoder | |
x86_64-linux python312Packages.pymodbus | |
aarch64-darwin webanalyze | |
x86_64-darwin dictu | |
x86_64-linux verdict | |
x86_64-linux python311Packages.torchWithoutRocm | |
aarch64-linux linuxPackages_latest.new-lg4ff | |
aarch64-darwin tasktimer | |
aarch64-darwin python311Packages.django-cryptography | |
aarch64-darwin python311Packages.astropy-helpers | |
x86_64-linux gnomeExtensions.focus-changer | |
x86_64-darwin rubyPackages_3_2.cocoapods-wholemodule | |
x86_64-darwin haskellPackages.aeson-optics | |
x86_64-darwin emacsPackages.rum-mode | |
x86_64-linux etcd | |
x86_64-linux krusader | |
aarch64-linux python311Packages.flask-socketio | |
x86_64-linux haskellPackages.amazonka-mediaconnect | |
aarch64-darwin emacsPackages.flycheck-pyflakes | |
x86_64-linux pynac | |
aarch64-linux emacsPackages.gerrit | |
aarch64-darwin emacsPackages.helm-jstack | |
aarch64-darwin hunspellDicts.de_AT | |
x86_64-linux python312Packages.datamodeldict | |
aarch64-linux emacsPackages.fringe-helper | |
x86_64-darwin python312Packages.dvc-data | |
x86_64-darwin microserver | |
x86_64-linux xorg.xpr | |
aarch64-linux python311Packages.dragonfly | |
aarch64-darwin python312Packages.update-checker | |
x86_64-darwin vimPlugins.vim-solarized8 | |
x86_64-darwin emacsPackages.visual-filename-abbrev | |
aarch64-linux python312Packages.m2crypto | |
aarch64-darwin rubyPackages_3_3.mercenary | |
aarch64-linux haskellPackages.simple | |
x86_64-linux home-assistant-component-tests.transport_nsw | |
x86_64-linux fflinuxprint | |
aarch64-darwin postgresql15Packages.temporal_tables | |
x86_64-linux haskellPackages.link-relations | |
x86_64-linux haskellPackages.conduit-combinators | |
x86_64-linux emacsPackages.flymake-easy | |
aarch64-linux python311Packages.zephyr-python-api | |
x86_64-linux python312Packages.sense-energy | |
x86_64-linux python311Packages.mypy-boto3-braket | |
x86_64-linux rustplayer | |
x86_64-darwin librep | |
aarch64-linux home-assistant-component-tests.fan | |
aarch64-linux octodns-providers.powerdns | |
aarch64-darwin perl536Packages.IPCShareLite | |
aarch64-linux vimPlugins.vim-manpager | |
aarch64-darwin emacsPackages.wasp-mode | |
aarch64-linux theLoungePlugins.themes.midnight | |
x86_64-linux biber | |
aarch64-darwin wv | |
aarch64-darwin python312Packages.dazl | |
x86_64-darwin haskellPackages.amazonka-route53-domains | |
aarch64-linux haskellPackages.timelike-clock | |
aarch64-linux python311Packages.mbddns | |
aarch64-linux trace-cmd | |
aarch64-linux luaPackages.rapidjson | |
x86_64-linux emacsPackages.django-snippets | |
aarch64-linux python311Packages.imread | |
aarch64-darwin paco | |
x86_64-darwin python311Packages.more-itertools | |
x86_64-darwin chickenPackages_5.chickenEggs.posix-utils | |
x86_64-darwin python311Packages.mlxtend | |
aarch64-linux home-assistant-component-tests.hassio | |
aarch64-linux python312Packages.kbcstorage | |
x86_64-linux linuxPackages_5_15_hardened.vmm_clock | |
x86_64-linux cudatext | |
aarch64-darwin plasma5Packages.qmltermwidget | |
x86_64-darwin emacsPackages.helm-dired-history | |
aarch64-linux postgresqlPackages.pg_roaringbitmap | |
x86_64-linux influxdb2-server | |
x86_64-darwin emacsPackages.python-view-data | |
x86_64-linux terraform-providers.stackpath | |
x86_64-linux python312Packages.pyjnius | |
x86_64-linux haskellPackages.safe-money-cereal | |
x86_64-darwin couchdb3 | |
x86_64-linux nemiver | |
x86_64-linux haskellPackages.Chart-cairo | |
x86_64-darwin haskell.compiler.native-bignum.ghc94 | |
x86_64-linux pantheon.wingpanel-indicator-nightlight | |
x86_64-linux postgresqlPackages.pg_relusage | |
aarch64-linux lua53Packages.luaossl | |
x86_64-linux emacsPackages.revert-buffer-all | |
x86_64-darwin python311Packages.ttp | |
x86_64-darwin python312Packages.here-transit | |
x86_64-darwin python311Packages.cwl-upgrader | |
aarch64-linux haskellPackages.almost-fix | |
x86_64-darwin pypi-mirror | |
aarch64-darwin python312Packages.pycrc | |
aarch64-linux lingot | |
aarch64-linux lua51Packages.rest-nvim | |
x86_64-linux rblake3sum | |
x86_64-linux ubertooth | |
aarch64-darwin perl538Packages.DebugShowStuff | |
aarch64-linux home-assistant-component-tests.volvooncall | |
aarch64-linux python311Packages.cu2qu | |
x86_64-darwin yt-dlp | |
aarch64-linux emacsPackages.e2wm-R | |
aarch64-linux xtreemfs | |
x86_64-linux libsForQt5.kosmindoormap | |
x86_64-darwin haskellPackages.hackage-security | |
aarch64-linux g2o | |
x86_64-darwin librdf_redland | |
x86_64-linux swaylock | |
aarch64-linux tomb | |
aarch64-darwin chickenPackages_5.chickenEggs.comic-snarfer | |
aarch64-darwin gobetween | |
aarch64-darwin python311Packages.repoze-who | |
x86_64-linux emacsPackages.read-only-cfg | |
x86_64-linux emacsPackages.pamparam | |
aarch64-darwin python312Packages.python-axolotl | |
aarch64-darwin perl538Packages.IOTee | |
x86_64-linux python311Packages.dissect-btrfs | |
aarch64-darwin dotnetCorePackages.dotnet_8.sdk | |
aarch64-linux haskellPackages.fgl-arbitrary | |
aarch64-linux haskellPackages.hackage-cli | |
aarch64-darwin emacsPackages.popup-complete | |
x86_64-linux haskell.packages.ghc98.haskell-language-server | |
aarch64-darwin libodfgen | |
x86_64-darwin python312Packages.dateutils | |
x86_64-linux python312Packages.daphne | |
aarch64-linux toml2json | |
x86_64-darwin pastel | |
aarch64-darwin python311Packages.mypy-boto3-appflow | |
x86_64-darwin plano-theme | |
x86_64-darwin python311Packages.tlslite-ng | |
x86_64-darwin python311Packages.types-aiobotocore-codeartifact | |
aarch64-darwin python311Packages.unify | |
x86_64-linux linuxPackages-libre.lttng-modules | |
x86_64-linux mariadb-connector-c_3_2 | |
x86_64-darwin rubyPackages.cocoapods-keys | |
x86_64-darwin elmPackages.elm-doc-preview | |
x86_64-linux linuxKernel.packages.linux_hardened.mba6x_bl | |
x86_64-linux haskellPackages.csound-expression | |
aarch64-linux lua54Packages.luuid | |
aarch64-linux sherpa | |
aarch64-darwin digitemp | |
x86_64-linux haskellPackages.ALUT | |
aarch64-darwin haskellPackages.amazonka-license-manager-user-subscriptions | |
x86_64-linux python311Packages.langcodes | |
aarch64-linux netexec | |
aarch64-linux openxr-loader | |
aarch64-linux swiPrologWithGui | |
aarch64-darwin zsh-history-to-fish | |
x86_64-darwin emacsPackages.rake | |
aarch64-darwin python312Packages.gast | |
x86_64-linux python312Packages.wasmerPackages.wasmer | |
aarch64-darwin perl536Packages.ImageInfo | |
x86_64-linux python311Packages.pecan | |
x86_64-darwin emacsPackages.outline-minor-faces | |
x86_64-darwin chickenPackages_5.chickenEggs.rest-bind | |
aarch64-darwin adreaper | |
x86_64-linux apacheHttpdPackages_2_4.mod_cspnonce | |
x86_64-linux emacsPackages.framesize | |
aarch64-linux haskellPackages.game-of-life | |
x86_64-linux python311Packages.mypy-boto3-resiliencehub | |
x86_64-linux python311Packages.pytest-freezer | |
aarch64-darwin python311Packages.aws-xray-sdk | |
x86_64-darwin lua51Packages.readline | |
x86_64-darwin sdcc | |
x86_64-linux libsystemtap | |
aarch64-linux emacsPackages.adjust-parens | |
aarch64-darwin emacsPackages.hgrc-mode | |
x86_64-darwin python311Packages.astroid | |
aarch64-linux efitools | |
x86_64-darwin libsForQt5.qt5.qtimageformats | |
x86_64-linux perl538Packages.GDText | |
x86_64-linux vimPlugins.tslime-vim | |
x86_64-darwin lldb_18 | |
aarch64-darwin python311Packages.google-cloud-audit-log | |
x86_64-darwin faust2csound | |
x86_64-linux emacsPackages.dired-efap | |
x86_64-linux linuxPackages_latest.hid-ite8291r3 | |
aarch64-linux haskellPackages.primitive-offset | |
aarch64-darwin libgen-cli | |
aarch64-darwin mesos-dns | |
x86_64-darwin azure-cli-extensions.timeseriesinsights | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.sysdig | |
aarch64-darwin postgresqlPackages.tds_fdw | |
x86_64-darwin python312Packages.mypy-boto3-chime | |
aarch64-linux knightos-kimg | |
x86_64-linux linuxKernel.packages.linux_6_6.shufflecake | |
aarch64-darwin lha | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.query | |
x86_64-darwin vimPlugins.vim-crates | |
x86_64-darwin zsh-navigation-tools | |
x86_64-linux emacsPackages.magit | |
aarch64-darwin emacsPackages.ksp-cfg-mode | |
aarch64-linux haskellPackages.postgresql-connector | |
aarch64-linux hacksaw | |
x86_64-darwin python312Packages.markups | |
aarch64-linux yanic | |
x86_64-linux ndpi | |
aarch64-darwin emacsPackages.roy-mode | |
x86_64-darwin libretro.picodrive | |
x86_64-darwin gnatPackages.gnatprove | |
x86_64-linux emacsPackages.dut-mode | |
aarch64-linux python311Packages.social-auth-app-django | |
aarch64-darwin haskellPackages.hashtables | |
x86_64-linux emacsPackages.fcitx | |
aarch64-darwin haskellPackages.optics-core | |
aarch64-darwin zeal-qt6 | |
x86_64-linux lambda-delta | |
x86_64-darwin emacsPackages.org-kanban | |
aarch64-linux python312Packages.terminado | |
aarch64-linux fetchit | |
aarch64-darwin gau | |
aarch64-darwin rsync | |
x86_64-linux kubernetes-helmPlugins.helm-cm-push | |
x86_64-linux rubyPackages_3_1.gio2 | |
x86_64-linux lmp | |
aarch64-linux varnish74 | |
aarch64-linux vimPlugins.vim-scouter | |
aarch64-darwin python312Packages.geopy | |
aarch64-linux openraPackages_2019.mods.d2 | |
aarch64-darwin aften | |
aarch64-darwin python311Packages.font-v | |
aarch64-linux fortls | |
aarch64-linux python312Packages.fastcache | |
aarch64-darwin rubyPackages_3_3.jekyll-sass-converter | |
x86_64-linux haskellPackages.bindings-sophia | |
aarch64-linux expidus.calculator | |
x86_64-darwin python311Packages.udatetime | |
aarch64-linux dosage | |
aarch64-linux emacsPackages.gerrit-download | |
x86_64-linux haskellPackages.uniform-cmdLineArgs | |
x86_64-darwin emacsPackages.cubicle-mode | |
aarch64-linux python312Packages.roadlib | |
aarch64-linux python311Packages.pysigma-backend-elasticsearch | |
x86_64-darwin python312Packages.pytaglib | |
aarch64-linux cdesktopenv | |
aarch64-darwin postgresql14Packages.timescaledb-apache | |
x86_64-linux teck-programmer | |
aarch64-linux libsForQt5.kdav | |
aarch64-linux haskellPackages.haskus-utils-types | |
x86_64-darwin perl538Packages.TestRunPluginColorFileVerdicts | |
x86_64-darwin python312Packages.govee-local-api | |
x86_64-linux kdePackages.cervisia | |
aarch64-darwin perl536Packages.PackageVariant | |
aarch64-linux vimPlugins.vim-plugin-AnsiEsc | |
x86_64-darwin python311Packages.cornice | |
x86_64-linux python312Packages.dask-mpi | |
x86_64-linux python312Packages.types-aiobotocore-managedblockchain | |
aarch64-linux emacsPackages.org-beautify-theme | |
aarch64-linux python311Packages.imbalanced-learn | |
aarch64-darwin python312Packages.ilua | |
x86_64-linux dcrctl | |
x86_64-linux python312Packages.mypy-boto3-m2 | |
x86_64-darwin sfml | |
aarch64-darwin ansible-navigator | |
x86_64-darwin libcxxrt | |
aarch64-darwin lua54Packages.lua-resty-openssl | |
aarch64-darwin haskellPackages.postgresql-copy-escape | |
aarch64-linux python312Packages.pymilter | |
x86_64-linux linuxKernel.packages.linux_6_6.kernel | |
x86_64-darwin gnome.baobab | |
x86_64-linux haskellPackages.gettext-th | |
x86_64-linux rubyPackages_3_3.og-corefoundation | |
aarch64-darwin haskellPackages.predictive | |
aarch64-linux python311Packages.python-crontab | |
x86_64-linux home-assistant-component-tests.shell_command | |
aarch64-linux linuxKernel.packages.linux_zen.lttng-modules | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.prql | |
x86_64-linux python311Packages.spotipy | |
aarch64-linux linuxKernel.packages.linux_5_4.nvidia_x11_production_open | |
aarch64-linux xorg.libXpresent | |
x86_64-linux wolfram-notebook | |
aarch64-darwin haskellPackages.hgal | |
aarch64-linux python312Packages.govee-local-api | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.framework-laptop-kmod | |
aarch64-darwin vimPlugins.SchemaStore-nvim | |
x86_64-darwin python311Packages.coqpit | |
x86_64-linux gnomeExtensions.activate_gnome | |
x86_64-linux xcat | |
x86_64-darwin kona | |
aarch64-darwin haskellPackages.Tic-Tac-Toe | |
aarch64-darwin python312Packages.wazeroutecalculator | |
x86_64-darwin emacsPackages.nov | |
x86_64-linux python312Packages.bkcharts | |
aarch64-darwin python312Packages.dbutils | |
x86_64-darwin gh-ost | |
aarch64-darwin python312Packages.bundlewrap-teamvault | |
x86_64-linux python311Packages.pyduotecno | |
x86_64-darwin python311Packages.svgelements | |
x86_64-linux python312Packages.ansible-compat | |
aarch64-darwin python312Packages.sphinx-argparse | |
x86_64-darwin wring | |
aarch64-darwin python311Packages.execnb | |
x86_64-linux fan2go | |
x86_64-darwin emacsPackages.gnorb | |
x86_64-linux python311Packages.pyheif | |
x86_64-linux haskellPackages.cardano-coin-selection | |
aarch64-linux flawfinder | |
x86_64-darwin nudoku | |
x86_64-darwin jtds_jdbc | |
x86_64-darwin azure-cli-extensions.peering | |
x86_64-linux emacsPackages.tblui | |
x86_64-linux hjson | |
aarch64-darwin perl536Packages.MooseXTypesLoadableClass | |
x86_64-darwin haskellPackages.charsetdetect-ae | |
aarch64-darwin python312Packages.calmjs | |
aarch64-darwin rubyPackages_3_3.puma | |
x86_64-darwin python312Full | |
aarch64-darwin python311Packages.django-js-asset | |
aarch64-darwin utmps | |
aarch64-darwin python311Packages.pypdf2 | |
x86_64-darwin rubyPackages_3_3.kramdown-rfc2629 | |
aarch64-darwin ankisyncd | |
aarch64-darwin emacsPackages.erc | |
x86_64-darwin ode | |
aarch64-linux emacsPackages.ocp-indent | |
x86_64-linux rubyPackages_3_3.erb-formatter | |
aarch64-linux haskellPackages.streaming-bracketed | |
aarch64-linux postgresql14JitPackages.pg_relusage | |
aarch64-darwin helmfile | |
aarch64-linux python311Packages.circuitbreaker | |
x86_64-linux python312Packages.kajiki | |
aarch64-linux haskellPackages.squares | |
x86_64-darwin haskellPackages.amazonka-snowball | |
x86_64-darwin python311Packages.odfpy | |
x86_64-linux python311Packages.pytest-param-files | |
aarch64-linux nabi | |
aarch64-linux emacsPackages.company-native-complete | |
aarch64-linux emacsPackages.gherkin-mode | |
aarch64-darwin haskellPackages.ebird-client | |
x86_64-linux python311Packages.pydelijn | |
aarch64-darwin wily | |
x86_64-linux mapscii | |
x86_64-linux python311Packages.human-readable | |
aarch64-darwin haskellPackages.libffi-dynamic | |
aarch64-linux python312Packages.complycube | |
aarch64-darwin icon-lang | |
x86_64-darwin haskellPackages.prettyprint-avh4 | |
x86_64-darwin python312Packages.pyebus | |
x86_64-linux timelens | |
aarch64-linux python312Packages.portend | |
aarch64-darwin appcleaner | |
x86_64-darwin capstone_4 | |
x86_64-darwin python311Packages.bluecurrent-api | |
x86_64-linux tests.writers.wrapping.python | |
x86_64-darwin perl538Packages.autovivification | |
aarch64-darwin lua53Packages.luafilesystem | |
x86_64-darwin emacsPackages.noir-mode | |
aarch64-linux haskellPackages.timer-wheel | |
x86_64-darwin perl536Packages.IOSocketINET6 | |
aarch64-darwin vimPlugins.fzf-checkout-vim | |
aarch64-darwin haskellPackages.hmatrix-nlopt | |
aarch64-linux vice | |
aarch64-darwin openjfx19 | |
x86_64-darwin emacsPackages.nano-modeline | |
x86_64-linux rewritefs | |
aarch64-linux cloud-init | |
aarch64-darwin python311Packages.types-aiobotocore-pinpoint-sms-voice-v2 | |
aarch64-linux emacsPackages.ob-compile | |
aarch64-darwin haskellPackages.lrucache | |
aarch64-linux linuxPackages_xanmod_stable.gcadapter-oc-kmod | |
x86_64-darwin haskellPackages.memexml | |
aarch64-linux python312Packages.aesedb | |
aarch64-linux python312Packages.pybigwig | |
x86_64-linux rubyPackages_3_2.mime-types-data | |
x86_64-linux taglib-sharp | |
aarch64-linux haskellPackages.acme-cadre | |
aarch64-linux zeroadPackages.zeroad-unwrapped | |
aarch64-darwin python311Packages.llama-index-embeddings-ollama | |
x86_64-linux python312Packages.linknlink | |
x86_64-darwin python311Packages.loqedapi | |
aarch64-darwin oils-for-unix | |
aarch64-linux home-assistant-component-tests.derivative | |
x86_64-darwin vimPlugins.devdocs-vim | |
x86_64-darwin thanos | |
aarch64-darwin python312Packages.gradio | |
aarch64-darwin perl536Packages.ImageMagick | |
x86_64-linux php82Extensions.mbstring | |
aarch64-darwin camingo-code | |
x86_64-darwin perl538Packages.ImageSane | |
aarch64-darwin znapzend | |
x86_64-darwin vimPlugins.mkdnflow-nvim | |
x86_64-linux kodiPackages.libretro | |
x86_64-darwin lua51Packages.lgi | |
x86_64-darwin perl538Packages.CatalystPluginStatusMessage | |
x86_64-darwin python311Packages.ronin | |
x86_64-darwin python312Packages.eggdeps | |
aarch64-linux python311Packages.simplekml | |
x86_64-darwin gnome-obfuscate | |
x86_64-linux python312Packages.flasgger | |
aarch64-darwin haskellPackages.lambdabot-core | |
x86_64-linux xpra | |
x86_64-darwin python311Packages.pyfftw | |
x86_64-darwin haskellPackages.drunken-bishop | |
aarch64-darwin emacsPackages.conventional-changelog | |
aarch64-linux haskellPackages.HCodecs | |
x86_64-linux quill | |
x86_64-darwin postgresql_12_jit | |
x86_64-darwin emacsPackages.typit | |
x86_64-linux sbclPackages.cl-fad | |
aarch64-linux haskellPackages.some-dict-of | |
x86_64-darwin emacsPackages.helm-spotify-plus | |
x86_64-linux gnomeExtensions.show-favorite-apps | |
x86_64-darwin remake | |
aarch64-linux linuxPackages_xanmod_stable.rtw88 | |
aarch64-darwin python312Packages.python-axolotl-curve25519 | |
x86_64-linux emacsPackages.searchq | |
x86_64-darwin libinstpatch | |
x86_64-linux haskellPackages.preludeplus | |
aarch64-linux python311Packages.pvextractor | |
aarch64-darwin python312Packages.google-cloud-webrisk | |
aarch64-darwin xarcan | |
aarch64-darwin python311Packages.rtslib | |
x86_64-darwin python311Packages.chainmap | |
aarch64-darwin lua53Packages.busted | |
aarch64-darwin haskellPackages.amazonka-wisdom | |
aarch64-darwin perl536Packages.Wx | |
aarch64-linux python312Packages.py-synologydsm-api | |
aarch64-linux python312Packages.lightwave | |
x86_64-linux python311Packages.aria2p | |
x86_64-linux amp | |
x86_64-darwin responder | |
x86_64-darwin aiac | |
x86_64-darwin python312Packages.trfl | |
x86_64-darwin fail2ban | |
aarch64-linux python311Packages.reorder-python-imports | |
aarch64-darwin gpsbabel-gui | |
x86_64-darwin checkmake | |
aarch64-linux emacsPackages.bluesound | |
x86_64-darwin python312Packages.h5py | |
aarch64-darwin keycard-cli | |
x86_64-darwin perl538Packages.X11GUITest | |
x86_64-darwin haskellPackages.prettyFunctionComposing | |
x86_64-linux xcb-util-cursor-HEAD | |
aarch64-linux haskellPackages.yesod-default | |
x86_64-darwin perl538Packages.AppCmd | |
aarch64-linux plasma5Packages.knotifyconfig | |
aarch64-linux python312Packages.conway-polynomials | |
x86_64-linux python312Packages.mypy-boto3-backupstorage | |
x86_64-darwin baobab | |
aarch64-linux graphicsmagick_q16 | |
aarch64-darwin perl536Packages.ClassStdFast | |
aarch64-linux mate.mate-session-manager | |
aarch64-linux webmacs | |
x86_64-darwin haskell.compiler.integer-simple.ghc810 | |
x86_64-linux noti | |
aarch64-linux androguard | |
aarch64-linux haskellPackages.turkish-deasciifier | |
x86_64-linux python312Packages.dendropy | |
x86_64-linux neovim-qt-unwrapped | |
aarch64-darwin perl536Packages.JSONXSVersionOneAndTwo | |
x86_64-linux python311Packages.async-upnp-client | |
x86_64-darwin python312Packages.nbconflux | |
x86_64-linux emacsPackages.dpaste | |
x86_64-darwin rubyPackages.gdk3 | |
x86_64-linux linuxKernel.packages.linux_libre.batman_adv | |
aarch64-linux emacsPackages.xquery-tool | |
x86_64-darwin fornalder | |
aarch64-linux python311Packages.lyricwikia | |
x86_64-darwin vimPlugins.vim-automkdir | |
aarch64-linux python312Packages.watermark | |
x86_64-darwin daemon | |
aarch64-linux libreoffice-fresh | |
x86_64-linux python311Packages.txamqp | |
aarch64-darwin terranix | |
aarch64-linux tickrs | |
aarch64-linux vimPlugins.catppuccin-vim | |
aarch64-linux podman | |
aarch64-darwin elixir_1_16 | |
x86_64-linux recode | |
x86_64-darwin python311Packages.dask-mpi | |
aarch64-linux libxisf | |
aarch64-darwin python312Packages.usort | |
x86_64-darwin enc | |
aarch64-linux python311Packages.recordlinkage | |
aarch64-darwin haskellPackages.amazonka-mobile | |
aarch64-linux bufisk | |
x86_64-darwin libva-minimal | |
aarch64-linux emacsPackages.pretty-mode | |
aarch64-darwin php82Packages.phing | |
aarch64-linux linuxKernel.packages.linux_6_8.rtl8189fs | |
x86_64-linux gnomeExtensions.teatimer | |
x86_64-darwin librealsense-gui | |
aarch64-linux qt6.qtmqtt | |
aarch64-linux rubyPackages_3_3.link-header-parser | |
x86_64-linux python311Packages.cmsis-pack-manager | |
aarch64-darwin rubyPackages.railties | |
aarch64-linux kdePackages.kde-cli-tools | |
x86_64-darwin plasma5Packages.qt5.qtlottie | |
aarch64-linux dae | |
aarch64-linux python311Packages.betacode | |
aarch64-linux python311Packages.skytemple-eventserver | |
x86_64-darwin dbx | |
x86_64-darwin perl536Packages.NetSMTPTLS | |
aarch64-linux emacsPackages.kubedoc | |
aarch64-linux vimPlugins.gentoo-syntax | |
aarch64-linux emacsPackages.inform7 | |
aarch64-linux linuxPackages_6_1_hardened.vhba | |
x86_64-linux luajitPackages.luadbi-postgresql | |
x86_64-linux python311Packages.psycopg2 | |
x86_64-darwin go-libp2p-daemon | |
aarch64-darwin python312Packages.cmarkgfm | |
aarch64-linux python311Packages.ansiwrap | |
x86_64-darwin azure-cli-extensions.providerhub | |
x86_64-linux vimPlugins.vim-emoji | |
aarch64-darwin liquibase_redshift_extension | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.matlab | |
x86_64-darwin perl538Packages.BioPerl | |
aarch64-linux python311Packages.pyscaffoldext-travis | |
x86_64-darwin python311Packages.dissect-btrfs | |
aarch64-darwin python312Packages.raven | |
x86_64-linux haskellPackages.skylighting-format-latex | |
x86_64-darwin idrisPackages.base | |
aarch64-linux tuntox | |
aarch64-linux python312Packages.pandas-stubs | |
aarch64-linux go-musicfox | |
aarch64-darwin python312Packages.grpcio-tools | |
aarch64-darwin dysnomia | |
x86_64-linux nix-init | |
aarch64-linux emacsPackages.counsel-osx-app | |
aarch64-darwin gawkextlib.lmdb | |
x86_64-darwin haskellPackages.quick-generator | |
x86_64-darwin minetestserver | |
x86_64-darwin perl536Packages.CSSSquish | |
aarch64-darwin unsilence | |
aarch64-darwin haskellPackages.Pup-Events-Client | |
x86_64-darwin aml | |
aarch64-darwin emacsPackages.kapacitor | |
aarch64-darwin python312Packages.speechbrain | |
aarch64-linux python312Packages.pysuez | |
x86_64-darwin haskellPackages.uniform-json | |
x86_64-linux rubyPackages_3_3.polyglot | |
aarch64-linux jextract-21 | |
aarch64-darwin libressl_3_7 | |
x86_64-linux php81Extensions.smbclient | |
aarch64-linux python311Packages.pyprof2calltree | |
aarch64-linux emplace | |
aarch64-darwin haskellPackages.bloodhound | |
x86_64-linux python311Packages.google-cloud-storage | |
aarch64-linux python311Packages.jwcrypto | |
x86_64-linux emacsPackages.sparkline | |
x86_64-darwin vimPlugins.outline-nvim | |
x86_64-darwin python311Packages.ptyprocess | |
x86_64-linux yandex-browser-corporate | |
aarch64-linux dotnetCorePackages.sdk_7_0 | |
aarch64-darwin libzra | |
aarch64-linux gogdl | |
x86_64-linux linuxKernel.packages.linux_hardened.virtualbox | |
x86_64-linux iconnamingutils | |
aarch64-linux luaPackages.haskell-tools-nvim | |
aarch64-linux emacsPackages.sclang-snippets | |
aarch64-darwin glibmm_2_68 | |
aarch64-darwin haskellPackages.church-pair | |
x86_64-darwin python312Packages.meater-python | |
x86_64-darwin perl538Packages.TestRunPluginBreakOnFailure | |
aarch64-linux python312Packages.django-bootstrap5 | |
aarch64-linux linuxKernel.packages.linux_lqx.ddcci-driver | |
aarch64-linux python312Packages.types-aiobotocore-ecr | |
aarch64-darwin perl538Packages.MixinLinewise | |
aarch64-darwin python312Packages.types-aiobotocore-alexaforbusiness | |
aarch64-darwin perl536Packages.DataDumperConcise | |
x86_64-darwin emacsPackages.gvariant | |
aarch64-darwin libedit | |
aarch64-darwin python311Packages.python-musicpd | |
aarch64-darwin haskellPackages.monads-tf | |
x86_64-linux mypaint | |
x86_64-linux python311Packages.devolo-home-control-api | |
x86_64-darwin t-rec | |
aarch64-darwin python311Packages.ionhash | |
x86_64-darwin python311Packages.types-aiobotocore-memorydb | |
x86_64-linux python312Packages.azure-storage-file-share | |
aarch64-darwin python311Packages.pycodestyle | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-151 | |
x86_64-darwin pidginPackages.pidgin | |
x86_64-linux job-security | |
x86_64-linux opencomposite-helper | |
aarch64-darwin sratom | |
aarch64-darwin rubyPackages.rake | |
aarch64-linux emacsPackages.node-resolver | |
aarch64-linux stm32loader | |
aarch64-linux crackmapexec | |
x86_64-linux postgresql15Packages.pg_roaringbitmap | |
x86_64-linux filegive | |
x86_64-linux jelly | |
x86_64-linux cudaPackagesGoogle.cuda_cudart | |
x86_64-linux emacsPackages.dired-auto-readme | |
x86_64-linux emacsPackages.recently | |
aarch64-darwin haskellPackages.netlib-carray | |
x86_64-darwin python312Packages.pgpy | |
aarch64-darwin mmctl | |
x86_64-linux haskellPackages.netwire-input | |
x86_64-linux space-station-14-launcher | |
aarch64-darwin perl538Packages.MouseXNativeTraits | |
aarch64-darwin perl538Packages.ReadonlyXS | |
x86_64-darwin python312Packages.mkdocs | |
x86_64-darwin python311Packages.debianbts | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.apfs | |
x86_64-darwin python311Packages.pytest-dependency | |
x86_64-linux pro-office-calculator | |
aarch64-darwin python312Packages.ghp-import | |
x86_64-darwin arc-kde-theme | |
x86_64-linux home-assistant-component-tests.bsblan | |
x86_64-darwin python311Packages.paste | |
aarch64-linux greetd.wlgreet | |
aarch64-linux haskellPackages.gi-gtk | |
aarch64-linux minecraftServers.vanilla-1-15 | |
x86_64-darwin python312Packages.pyscaffoldext-travis | |
aarch64-linux libsForQt5.mauikit-documents | |
x86_64-darwin docutils | |
x86_64-linux haskell.compiler.ghc98 | |
x86_64-darwin python312Packages.retrying | |
x86_64-linux ibus | |
x86_64-darwin xorg.xf86videovoodoo | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.libpulse-simple | |
aarch64-linux python311Packages.trove-classifiers | |
aarch64-darwin python311Packages.shutilwhich | |
aarch64-linux vimPlugins.dirbuf-nvim | |
aarch64-darwin perl536Packages.CSSMinifierXS | |
aarch64-darwin python312Packages.jdatetime | |
x86_64-darwin dtool | |
aarch64-darwin python312Packages.pescea | |
x86_64-linux haskellPackages.dice-entropy-conduit | |
aarch64-darwin haskellPackages.karver | |
x86_64-darwin python311Packages.proton-vpn-connection | |
x86_64-linux haskellPackages.ListLike | |
aarch64-darwin python311Packages.preshed | |
aarch64-darwin specr-transpile | |
x86_64-linux gnomeExtensions.simple-message | |
aarch64-darwin python311Packages.junitparser | |
x86_64-darwin python312Packages.types-aiobotocore-cognito-sync | |
x86_64-linux plasma5Packages.qtspeech | |
aarch64-linux mrrescue | |
aarch64-linux plasma5Packages.plasma-applet-caffeine-plus | |
aarch64-darwin corefonts | |
aarch64-darwin perl538Packages.LinguaTranslit | |
aarch64-darwin airbuddy | |
x86_64-linux python311Packages.pytest-localserver | |
x86_64-darwin haskellPackages.conferer-warp | |
x86_64-linux plasma5Packages.buho | |
aarch64-darwin perl536Packages.Imager | |
aarch64-linux python312Packages.energyflip-client | |
aarch64-darwin python312Packages.pyasyncore | |
x86_64-linux python312Packages.hlk-sw16 | |
aarch64-darwin python311Packages.slackclient | |
x86_64-darwin python312Packages.exdown | |
aarch64-linux python312Packages.colorthief | |
x86_64-darwin haskellPackages.bower-json | |
x86_64-darwin tomlplusplus | |
x86_64-darwin jq | |
aarch64-darwin plasma5Packages.qtinstaller | |
x86_64-linux camunda-modeler | |
aarch64-darwin python312Packages.stringparser | |
x86_64-linux haskellPackages.phonetic-languages-constraints-array | |
aarch64-darwin haskellPackages.polyparse | |
x86_64-darwin python311Packages.icontract | |
aarch64-darwin python311Packages.green | |
aarch64-linux blackshades | |
x86_64-darwin recode | |
aarch64-linux python311Packages.pubnubsub-handler | |
aarch64-darwin python311Packages.torchvision | |
x86_64-linux python312Packages.pynmeagps | |
x86_64-darwin perl536Packages.ModuleInstallReadmeFromPod | |
x86_64-linux iplan | |
aarch64-darwin modern-cpp-kafka | |
aarch64-darwin tlaps | |
aarch64-linux kdePackages.qtmultimedia | |
aarch64-darwin python312Packages.sqlite-fts4 | |
aarch64-darwin rubyPackages.jekyll-redirect-from | |
aarch64-linux kibi | |
x86_64-linux php82Extensions.spx | |
aarch64-darwin terraform-providers.yandex | |
x86_64-darwin httptunnel | |
x86_64-darwin music-player | |
x86_64-darwin perl536Packages.TestDistManifest | |
aarch64-linux emacsPackages.psc-ide | |
x86_64-linux emacsPackages.json-reformat | |
x86_64-darwin python312Packages.types-aiobotocore-pinpoint-sms-voice | |
x86_64-linux emacsPackages.devil | |
x86_64-linux tests.writers.simple.haskell | |
aarch64-linux python311Packages.first | |
x86_64-darwin emacsPackages.d2-mode | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.openafs_1_8 | |
x86_64-linux python312Packages.more-itertools | |
x86_64-linux python312Packages.pyexiftool | |
x86_64-linux python311Packages.rich | |
aarch64-darwin postgresql14Packages.pg_roaringbitmap | |
x86_64-linux nice-dcv-client | |
aarch64-darwin vercel-pkg | |
x86_64-darwin element | |
aarch64-darwin rt | |
x86_64-darwin emacsPackages.nix-haskell-mode | |
x86_64-linux python312Packages.requests-credssp | |
x86_64-darwin inconsolata | |
x86_64-linux todoist | |
aarch64-linux python311Packages.sasmodels | |
aarch64-linux python311Packages.zulip | |
aarch64-linux lua51Packages.basexx | |
aarch64-linux haskellPackages.size-based | |
aarch64-linux haskellPackages.fgl-visualize | |
aarch64-linux haskellPackages.Codec-Compression-LZF | |
x86_64-darwin emacsPackages.iss-mode | |
aarch64-linux python311Packages.pyfakewebcam | |
aarch64-linux tamarin-prover | |
x86_64-linux python312Packages.hepmc3 | |
x86_64-darwin python311Packages.inlinestyler | |
aarch64-darwin postgresql16JitPackages.repmgr | |
x86_64-linux dragonfly-reverb | |
x86_64-linux python312Packages.datetime | |
aarch64-darwin appdaemon | |
aarch64-darwin emacsPackages.gf | |
x86_64-linux haskellPackages.language-protobuf | |
aarch64-darwin python311Packages.ciscomobilityexpress | |
aarch64-linux emacsPackages.diary-manager | |
aarch64-linux python312Packages.mypy-boto3-fsx | |
aarch64-linux emacsPackages.yasnippet-classic-snippets | |
aarch64-darwin haskellPackages.microtimer | |
aarch64-darwin lua54Packages.lua-utils-nvim | |
aarch64-linux xorg.xf86inputjoystick | |
aarch64-linux linuxKernel.packages.linux_6_6.fwts-efi-runtime | |
aarch64-linux home-assistant-component-tests.date | |
x86_64-linux mod-arpeggiator-lv2 | |
aarch64-darwin emacsPackages.noccur | |
aarch64-darwin python312Packages.shodan | |
x86_64-darwin terraform-providers.spotinst | |
x86_64-darwin python311Packages.whitenoise | |
aarch64-darwin python311Packages.mypy-boto3-iotfleetwise | |
x86_64-linux qt6.qtspeech | |
x86_64-linux haskellPackages.structured | |
aarch64-linux xorg.xwd | |
aarch64-linux python312Packages.pyscaffoldext-markdown | |
aarch64-linux postgresql15JitPackages.pg_roaringbitmap | |
x86_64-linux python311Packages.pastescript | |
aarch64-darwin emacsPackages.ansi | |
aarch64-darwin open-english-wordnet | |
x86_64-linux flutter | |
aarch64-darwin python311Packages.pyodbc | |
x86_64-darwin haskellPackages.amazonka-sms | |
x86_64-linux fclones | |
aarch64-linux python311Packages.distorm3 | |
x86_64-linux python312Packages.phonemizer | |
aarch64-linux linuxKernel.packages.linux_lqx.trelay | |
aarch64-darwin haskellPackages.uzbl-with-source | |
x86_64-linux emacsPackages.nnreddit | |
aarch64-linux emacsPackages.nickel-mode | |
aarch64-darwin emacsPackages.save-load-path | |
x86_64-darwin emacsPackages.gpr-yasnippets | |
x86_64-linux perl538Packages.LWPProtocolConnect | |
aarch64-linux python312Packages.pymetar | |
aarch64-darwin vimPlugins.vim-bsv | |
aarch64-darwin lua52Packages.lush-nvim | |
aarch64-linux emacsPackages.auto-yasnippet | |
aarch64-linux linuxKernel.packages.linux_libre.lkrg | |
x86_64-darwin python311Packages.pydns | |
x86_64-linux emacsPackages.gpt-commit | |
x86_64-darwin python311Packages.file-read-backwards | |
aarch64-linux haskellPackages.amazonka-codeguru-reviewer | |
aarch64-darwin perl536Packages.ClassStd | |
x86_64-darwin python312Packages.multiset | |
aarch64-darwin dreamweb | |
aarch64-linux home-assistant-component-tests.aprs | |
x86_64-darwin emacsPackages.org-repo-todo | |
aarch64-darwin python311Packages.types-aiobotocore-amp | |
aarch64-darwin vimPlugins.vim-docbk-snippets | |
aarch64-darwin python312Packages.django-pattern-library | |
x86_64-darwin terraformer | |
aarch64-darwin python311Packages.pathspec | |
aarch64-darwin python311Packages.sphinxcontrib-excel-table | |
aarch64-linux haskellPackages.net-mqtt | |
aarch64-darwin emacsPackages.test-c | |
x86_64-darwin aspellDicts.am | |
aarch64-linux fluffychat | |
x86_64-linux python311Packages.sagemaker | |
aarch64-darwin python311Packages.pymiele | |
x86_64-darwin python311Packages.marisa | |
x86_64-darwin prometheus-rtl_433-exporter | |
aarch64-darwin python311Packages.pulp | |
x86_64-linux linuxKernel.packages.linux_5_4.system76-acpi | |
aarch64-linux python312Packages.gpuctypes | |
aarch64-darwin emacsPackages.flycheck-indent | |
aarch64-darwin haskellPackages.graphql-client | |
x86_64-darwin python311Packages.murmurhash | |
x86_64-linux python312Packages.pyaussiebb | |
x86_64-darwin python312Packages.django-pattern-library | |
aarch64-linux python311Packages.piano-transcription-inference | |
aarch64-linux python311Packages.wikipedia-api | |
aarch64-linux python312Packages.arpy | |
x86_64-darwin python312Packages.robotframework-sshlibrary | |
aarch64-linux python311Packages.spyder | |
aarch64-linux emacsPackages.helm-system-packages | |
x86_64-linux frp | |
x86_64-linux lxqt.lxqt-themes | |
x86_64-darwin python312Packages.git-sweep | |
x86_64-darwin python311Packages.eth-rlp | |
aarch64-linux theLoungePlugins.themes.onedark | |
x86_64-linux python311Packages.aioaseko | |
aarch64-linux python311Packages.types-aiobotocore-elasticbeanstalk | |
x86_64-linux emacsPackages.cyberpunk-theme | |
x86_64-darwin emacsPackages.lab-themes | |
aarch64-linux linuxKernel.packages.linux_libre.system76-scheduler | |
aarch64-linux python311Packages.flask-migrate | |
aarch64-darwin luaPackages.lpeglabel | |
aarch64-darwin haskellPackages.cornea | |
x86_64-linux emacsPackages.itasca | |
aarch64-darwin qzdl | |
aarch64-linux python311Packages.plotext | |
x86_64-linux emacsPackages.url-scgi | |
x86_64-linux python312Packages.wget | |
x86_64-linux goeland | |
x86_64-linux haskellPackages.pipes-safe | |
x86_64-darwin lua51Packages.serpent | |
aarch64-darwin icloudpd | |
aarch64-linux python311Packages.cmaes | |
aarch64-linux python311Packages.types-aiobotocore-sso | |
aarch64-darwin python312Packages.git-url-parse | |
x86_64-linux haskellPackages.type-spec | |
x86_64-linux rubyPackages_3_3.mime-types | |
aarch64-linux emacsPackages.helm-hunks | |
x86_64-darwin python312Packages.mypy-boto3-iotevents | |
aarch64-linux python312Packages.python-binance | |
aarch64-darwin gnome.libgnome-keyring | |
aarch64-darwin lua51Packages.compat53 | |
x86_64-darwin emacsPackages.jump-tree | |
aarch64-darwin perl536Packages.TkToolBar | |
x86_64-linux rubyPackages_3_3.mustermann | |
aarch64-linux python311Packages.msgraph-core | |
x86_64-linux python312Packages.python-twitter | |
x86_64-darwin sqlite_orm | |
x86_64-darwin python312Packages.pytest-tornado | |
aarch64-darwin haskellPackages.arrow-utils | |
x86_64-darwin python311Packages.imantics | |
aarch64-darwin haskellPackages.theta-functions | |
aarch64-darwin emacsPackages.rgb | |
aarch64-darwin doh-proxy-rust | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.func | |
aarch64-darwin androguard | |
x86_64-linux flashrom | |
x86_64-linux deliantra-server | |
aarch64-linux kor | |
aarch64-darwin python312Packages.billiard | |
x86_64-darwin emacsPackages.faustine | |
aarch64-linux keymapp | |
x86_64-linux haskellPackages.hostaddress | |
x86_64-linux python311Packages.pathvalidate | |
x86_64-linux tsukae | |
x86_64-linux haskellPackages.hasql | |
x86_64-linux octosuite | |
aarch64-linux ibus-engines.hangul | |
x86_64-darwin vcpkg | |
aarch64-darwin apbs | |
aarch64-linux emacsPackages.link | |
aarch64-linux python311Packages.img2pdf | |
aarch64-linux python312Packages.icecream | |
x86_64-linux linuxKernel.packages.linux_lqx.cryptodev | |
aarch64-darwin python312Packages.python-codon-tables | |
aarch64-darwin emacsPackages.ewal-evil-cursors | |
x86_64-linux rubyPackages_3_2.unf_ext | |
x86_64-darwin rubyPackages_3_1.certified | |
aarch64-linux rubyPackages_3_2.kramdown-parser-gfm | |
aarch64-linux haskellPackages.stomp-queue | |
aarch64-linux libsForQt5.qmltermwidget | |
x86_64-darwin libcxxStdenv | |
x86_64-linux deluge-2_x | |
aarch64-darwin emacsPackages.catmacs | |
aarch64-darwin python311Packages.langcodes | |
x86_64-linux plasma5Packages.libkomparediff2 | |
x86_64-linux python311Packages.fivem-api | |
x86_64-linux emacsPackages.mood-one-theme | |
x86_64-linux python312Packages.pysmappee | |
x86_64-darwin emacsPackages.exwm-mff | |
aarch64-darwin exiftags | |
x86_64-linux haskellPackages.hledger-ui | |
x86_64-linux cudaPackages_11.cutensor_1_5 | |
x86_64-darwin python311Packages.docstring-parser | |
x86_64-darwin python311Packages.mypy-boto3-iotfleethub | |
aarch64-linux netcdf-mpi | |
x86_64-darwin python312Packages.certbot-dns-inwx | |
x86_64-linux emacsPackages.auto-complete-pcmp | |
aarch64-darwin rubyPackages_3_1.redis-store | |
aarch64-darwin python312Packages.watchdog | |
x86_64-linux emacsPackages.color-theme-approximate | |
aarch64-darwin python312Packages.compreffor | |
aarch64-linux connman-gtk | |
x86_64-linux python312Packages.django-csp | |
x86_64-darwin lasem | |
x86_64-linux hbase2 | |
aarch64-linux python312Packages.smtpdfix | |
aarch64-linux haskellPackages.netlist-to-vhdl | |
x86_64-darwin emacsPackages.symon-lingr | |
x86_64-darwin perl536Packages.PodChecker | |
aarch64-darwin python311Packages.mypy-boto3-ssm-contacts | |
x86_64-linux kdePackages.qtquick3d | |
x86_64-darwin python312Packages.mypy-boto3-keyspaces | |
aarch64-darwin vimPlugins.vim-liquid | |
x86_64-linux dum | |
aarch64-darwin python311Packages.snakemake-interface-executor-plugins | |
aarch64-linux emacsPackages.counsel-gtags | |
x86_64-darwin python311Packages.norfair | |
x86_64-darwin python312Packages.unidecode | |
x86_64-darwin python311Packages.xnd | |
x86_64-linux lua51Packages.luabitop | |
aarch64-darwin azure-cli-extensions.authV2 | |
aarch64-linux tests.cc-wrapper.llvmTests.llvmPackages_17.libcxx | |
x86_64-darwin python311Packages.mypy-boto3-qldb | |
x86_64-darwin python312Packages.guidance | |
aarch64-darwin libbpkg | |
x86_64-darwin python312Packages.types-aiobotocore-rekognition | |
aarch64-linux gh-f | |
x86_64-darwin sshlatex | |
x86_64-linux postgresqlPackages.pgrouting | |
aarch64-darwin postgresqlPackages.pgvector | |
x86_64-darwin emacsPackages.benchmark-init | |
aarch64-linux xorg.fontisasmisc | |
aarch64-darwin perl536Packages.AppCmd | |
x86_64-darwin python312Packages.std2 | |
aarch64-linux mutt | |
x86_64-linux orogene | |
x86_64-darwin closurecompiler | |
x86_64-darwin emacsPackages.toggle-window | |
x86_64-darwin hunspellDicts.es-ec | |
x86_64-linux borgbackup | |
x86_64-linux python311Packages.pysmf | |
x86_64-linux toxvpn | |
aarch64-linux lua52Packages.xml2lua | |
aarch64-linux python311Packages.auditok | |
x86_64-linux python311Packages.ibis | |
aarch64-darwin python311Packages.passlib | |
x86_64-darwin vimPlugins.vim-lsp | |
x86_64-darwin emacsPackages.evil-easymotion | |
aarch64-linux linuxPackages_hardened.rtl8821cu | |
aarch64-darwin emacsPackages.org-cliplink | |
aarch64-linux python311Packages.verlib2 | |
x86_64-linux python312Packages.scikit-learn-extra | |
x86_64-linux ironbar | |
aarch64-linux prime-server | |
aarch64-darwin python311Packages.pyscaffold | |
aarch64-linux home-assistant-component-tests.openhardwaremonitor | |
aarch64-darwin python311Packages.telethon | |
x86_64-darwin perl538Packages.TextParsewords | |
aarch64-darwin python311Packages.libsoundtouch | |
x86_64-darwin buildbotPackages.buildbot-worker | |
aarch64-linux python311Packages.starline | |
x86_64-linux python312Packages.diffusers | |
aarch64-linux pantheon.touchegg | |
x86_64-darwin haskellPackages.persistent-postgresql | |
x86_64-linux python311Packages.click-default-group | |
aarch64-linux s4cmd | |
aarch64-linux linuxKernel.packages.linux_5_10.rtl8821cu | |
x86_64-darwin emacsPackages.eink-theme | |
x86_64-darwin rust-bindgen-unwrapped | |
aarch64-darwin python311Packages.pycyphal | |
aarch64-darwin perl538Packages.Curses | |
x86_64-linux darktable | |
x86_64-linux gnomeExtensions.layout-hotkeys | |
aarch64-linux libnids | |
aarch64-darwin haskellPackages.space | |
aarch64-linux haskellPackages.double-conversion | |
x86_64-darwin go-font | |
aarch64-linux libdivsufsort | |
x86_64-darwin pandoc-plantuml-filter | |
aarch64-linux kdePackages.kio-gdrive | |
aarch64-linux python312Packages.pyregion | |
x86_64-linux gemrb | |
aarch64-darwin python312Packages.recoll | |
x86_64-linux wapm-cli | |
x86_64-darwin emacsPackages.notmuch-labeler | |
aarch64-linux linuxPackages_5_15_hardened.nvidia_x11_stable_open | |
aarch64-linux lout | |
x86_64-darwin dust | |
x86_64-darwin emacsPackages.tidal | |
x86_64-linux numix-icon-theme | |
x86_64-darwin python311Packages.fastimport | |
x86_64-linux python312Packages.pproxy | |
aarch64-linux sony-headphones-client | |
x86_64-linux python311Packages.sipyco | |
aarch64-linux multimarkdown | |
x86_64-darwin apacheHttpdPackages.mod_mbtiles | |
aarch64-linux postgresql12JitPackages.pg_libversion | |
aarch64-darwin haskellPackages.simple-logger | |
aarch64-linux python312Packages.ovoenergy | |
x86_64-linux php83Extensions.sockets | |
aarch64-darwin python311Packages.types-aiobotocore-mediastore | |
aarch64-linux python312Packages.meteofrance-api | |
x86_64-linux python312Packages.service-identity | |
aarch64-linux haskellPackages.diffdump | |
x86_64-darwin ideviceinstaller | |
x86_64-linux haskellPackages.free-vector-spaces | |
aarch64-darwin lcms1 | |
aarch64-darwin haskellPackages.pattern-arrows | |
x86_64-darwin vimPlugins.vim-endwise | |
x86_64-linux texture-synthesis | |
x86_64-linux python311Packages.plumbum | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.akvcam | |
aarch64-linux python311Packages.pure-pcapy3 | |
aarch64-darwin python312Packages.pyramid | |
aarch64-linux erlang_javac | |
x86_64-darwin rust-audit-info | |
aarch64-darwin haskellPackages.VecN | |
x86_64-darwin haskellPackages.csound-expression-typed | |
aarch64-linux python312Packages.bz2file | |
aarch64-linux emacsPackages.tex-smart-umlauts | |
aarch64-darwin logtop | |
x86_64-linux python312Packages.anybadge | |
aarch64-darwin python312Packages.pychm | |
aarch64-darwin haskellPackages.Folly | |
x86_64-linux anevicon | |
x86_64-linux julia_110 | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.tdjson | |
aarch64-linux dotnet-sdk_6 | |
aarch64-darwin libpeas2 | |
aarch64-linux llvmPackages_15.libunwind | |
x86_64-linux dhall-yaml | |
aarch64-darwin python311Packages.reproject | |
aarch64-darwin haskellPackages.hw-kafka-client | |
x86_64-darwin python312Packages.amqtt | |
x86_64-darwin nbtscanner | |
aarch64-linux hyprlandPlugins.hy3 | |
aarch64-linux gjay | |
x86_64-linux python312Packages.msgraph-core | |
x86_64-darwin python312Packages.unidic | |
aarch64-linux storrent | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.tsx | |
x86_64-linux haskellPackages.smt2-parser | |
x86_64-darwin linja-pi-pu-lukin | |
x86_64-linux postgresql14Packages.periods | |
aarch64-linux python312Packages.telegraph | |
aarch64-darwin unify | |
aarch64-darwin emacsPackages.never-comment | |
x86_64-linux haskellPackages.sandwich | |
x86_64-linux bitlbee-mastodon | |
x86_64-linux python312Packages.python-string-utils | |
aarch64-darwin quattrocento | |
x86_64-linux emacsPackages.marquee-header | |
x86_64-darwin oterm | |
x86_64-linux linuxKernel.packages.linux_6_8.rtl88x2bu | |
x86_64-darwin python311Packages.flask-seasurf | |
x86_64-darwin luaPackages.nvim-nio | |
aarch64-linux python311Packages.coqpit | |
x86_64-linux linuxPackages_5_10_hardened.shufflecake | |
x86_64-linux perl538Packages.MozillaLdap | |
x86_64-linux haskellPackages.uniform-shake | |
x86_64-linux gnomeExtensions.screen-word-translate | |
aarch64-linux haskellPackages.osdkeys | |
aarch64-linux auto-cpufreq | |
x86_64-linux airstrike | |
x86_64-darwin emacsPackages.sunburn-theme | |
aarch64-linux python311Packages.cf-xarray | |
aarch64-darwin postgresql13Packages.apache_datasketches | |
x86_64-linux linuxPackages_6_1_hardened.kvdo | |
aarch64-darwin haskellPackages.servant-auth-docs | |
aarch64-linux rocmPackages_5.rpp | |
aarch64-linux elmPackages.elm-test | |
x86_64-linux python312Packages.aiohue | |
x86_64-linux python312Packages.aiodns | |
x86_64-linux emacsPackages.calfw-gcal | |
aarch64-darwin perl536Packages.ConfigSimple | |
aarch64-darwin python311Packages.blockchain | |
aarch64-linux emacsPackages.smart-mark | |
x86_64-darwin python312Packages.minidb | |
aarch64-linux postgresql13JitPackages.rum | |
x86_64-darwin osi | |
aarch64-linux python311Packages.babelfont | |
x86_64-linux python312Packages.zigpy-deconz | |
aarch64-linux python312Packages.packvers | |
aarch64-linux haskellPackages.amazonka-iot1click-devices | |
x86_64-darwin emacsPackages.third-time | |
aarch64-darwin vimPlugins.vim-smali | |
x86_64-linux haskellPackages.microstache | |
aarch64-darwin python311Packages.pyixapi | |
aarch64-linux newcomputermodern | |
aarch64-darwin gtrash | |
x86_64-darwin python311Packages.mypy-boto3-macie2 | |
x86_64-linux pianobar | |
x86_64-darwin python312Packages.unstructured | |
aarch64-darwin python311Packages.python-string-utils | |
aarch64-darwin python312Packages.aiokafka | |
aarch64-darwin python312Packages.enzyme | |
aarch64-linux postgresql16JitPackages.pg_topn | |
x86_64-darwin python312Packages.schwifty | |
aarch64-linux python312Packages.strenum | |
x86_64-darwin emacsPackages.python-insert-docstring | |
x86_64-linux python311Packages.eigenpy | |
x86_64-darwin python312Packages.justext | |
x86_64-darwin emacsPackages.org-timeblock | |
x86_64-darwin banana-vera | |
x86_64-linux pantheon.wingpanel-indicator-datetime | |
x86_64-darwin haskellPackages.inject | |
x86_64-linux emacsPackages.nvm | |
aarch64-linux emacsPackages.nofrils-acme-theme | |
aarch64-darwin python312Packages.eth-keys | |
x86_64-linux python312Packages.model-bakery | |
x86_64-darwin haskellPackages.wai-lambda | |
x86_64-linux opcua-commander | |
x86_64-linux python312Packages.pykulersky | |
aarch64-darwin python311Packages.opentelemetry-instrumentation-wsgi | |
x86_64-darwin jen | |
x86_64-linux linuxKernel.packages.linux_latest_libre.jool | |
x86_64-linux clang-tools_15 | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.latex | |
aarch64-linux linuxKernel.packages.linux_5_10.v4l2loopback | |
x86_64-darwin python312Packages.pytest-aiohttp | |
aarch64-darwin apng2gif | |
aarch64-darwin python311Packages.ansiwrap | |
aarch64-linux emacsPackages.ob-axiom | |
aarch64-linux cudaPackages.cuda_nvprune | |
aarch64-linux python311Packages.openllm | |
aarch64-darwin haskellPackages.tasty-hunit-compat | |
x86_64-linux linuxPackages-libre.openafs | |
x86_64-darwin apacheHttpdPackages_2_4.mod_crl | |
x86_64-linux rubyPackages_3_3.jwt | |
x86_64-darwin python311Packages.sphinx-tabs | |
x86_64-darwin perl538Packages.TimeParseDate | |
aarch64-linux postgresql14JitPackages.pg_ed25519 | |
x86_64-darwin poppler_gi | |
x86_64-darwin haskellPackages.load-env | |
aarch64-darwin python312Packages.asks | |
x86_64-darwin python312Packages.optuna | |
x86_64-darwin python311Packages.dissect-volume | |
aarch64-linux haskell.packages.ghc98.haskell-language-server | |
aarch64-linux haskellPackages.postgresql-libpq-notify | |
aarch64-darwin python311Packages.sentence-transformers | |
aarch64-linux stellarsolver | |
aarch64-linux xorg.xmodmap | |
x86_64-linux linuxPackages_hardened.tbs | |
x86_64-darwin emacsPackages.delim-kill | |
x86_64-linux python311Packages.looseversion | |
aarch64-darwin haskellPackages.port-utils | |
x86_64-linux python311Packages.xattr | |
aarch64-linux python312Packages.sarif-om | |
x86_64-darwin litehtml | |
aarch64-linux python312Packages.slimit | |
x86_64-darwin haskellPackages.fuzzcheck | |
aarch64-darwin python312Packages.waitress | |
aarch64-linux python312Packages.mypy-boto3-devicefarm | |
x86_64-linux python312Packages.facebook-sdk | |
x86_64-linux haskellPackages.status-notifier-item | |
x86_64-darwin perl536Packages.ProtocolRedis | |
x86_64-darwin python312Packages.xlsx2csv | |
aarch64-linux wavemon | |
x86_64-linux python311Packages.dask-gateway-server | |
x86_64-linux lua51Packages.luarocks | |
x86_64-darwin python311Packages.types-aiobotocore-resourcegroupstaggingapi | |
x86_64-darwin python312Packages.tess | |
aarch64-darwin codebraid | |
x86_64-darwin poac | |
x86_64-darwin emacsPackages.gcode-mode | |
aarch64-darwin python312Packages.types-aiobotocore-wellarchitected | |
aarch64-linux kakounePlugins.parinfer-rust | |
aarch64-darwin luaPackages.lua-resty-jwt | |
aarch64-linux python311Packages.cli-helpers | |
aarch64-darwin python312Packages.py2bit | |
aarch64-linux lxqt.lxqt-runner | |
aarch64-darwin rubyPackages_3_2.rails-html-sanitizer | |
aarch64-darwin perl538Packages.MooXSingleton | |
aarch64-linux linuxPackages_5_15_hardened.prl-tools | |
x86_64-linux python311Packages.aioruckus | |
x86_64-darwin python311Packages.bencode-py | |
x86_64-darwin python312Packages.datatable | |
aarch64-linux libsForQt5.kscreen | |
aarch64-linux python312Packages.azure-mgmt-appconfiguration | |
aarch64-darwin perl538Packages.TermAnimation | |
x86_64-darwin azure-cli-extensions.connectedmachine | |
aarch64-linux perl536Packages.NetHTTPSNB | |
aarch64-linux haskellPackages.yarn-lock | |
aarch64-linux CuboCore.coreshot | |
x86_64-linux python311Packages.types-aiobotocore-iottwinmaker | |
x86_64-darwin python311Packages.warcio | |
x86_64-darwin perl538Packages.IOTee | |
x86_64-linux python312Packages.mypy-boto3-connectcampaigns | |
aarch64-linux haskellPackages.haskell-menu | |
aarch64-linux haskellPackages.llrbtree | |
x86_64-darwin perl538Packages.DataBuffer | |
x86_64-darwin python311Packages.aiosteamist | |
x86_64-darwin rubyPackages.ruby-libvirt | |
x86_64-darwin libsForQt5.quickflux | |
x86_64-darwin haskellPackages.inf-backprop | |
x86_64-darwin python311Packages.py-air-control | |
aarch64-linux haskellPackages.udev | |
aarch64-darwin python312Packages.mypy-boto3-kinesisvideo | |
aarch64-darwin luajitPackages.luadbi-postgresql | |
x86_64-darwin vimPlugins.rainbow-delimiters-nvim | |
aarch64-darwin haskellPackages.gridtables | |
aarch64-linux minecraftServers.vanilla-1-19 | |
aarch64-linux libsForQt5.qt5.qt3d | |
aarch64-linux netlogo | |
x86_64-linux python311Packages.wsgi-intercept | |
x86_64-darwin vimPlugins.acp | |
aarch64-linux emacsPackages.stumpwm-mode | |
aarch64-darwin emacsPackages.mingus | |
x86_64-darwin python311Packages.pillow | |
aarch64-darwin libsForQt5.qca | |
aarch64-linux python311Packages.pulumi-aws-native | |
aarch64-linux shot-scraper | |
aarch64-linux llvmPackages_12.clangNoCompilerRtWithLibc | |
x86_64-linux deepin.deepin-desktop-theme | |
x86_64-darwin nodejs-slim_21 | |
x86_64-darwin shot-scraper | |
x86_64-linux haskellPackages.aws-arn | |
aarch64-linux emacsPackages.commentary-theme | |
aarch64-linux luaPackages.luaunbound | |
x86_64-linux home-assistant-component-tests.ipp | |
x86_64-linux kdePackages.mailimporter | |
x86_64-darwin perl538Packages.ImageOCRTesseract | |
x86_64-linux gnomeExtensions.awesome-tiles | |
x86_64-linux python312Packages.pytest-resource-path | |
x86_64-darwin haskellPackages.embeddock | |
x86_64-darwin l2md | |
x86_64-linux python311Packages.python-ldap | |
aarch64-linux ripdrag | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.zfs_2_2 | |
aarch64-darwin python311Packages.textfsm | |
aarch64-darwin whipper | |
x86_64-linux python312Packages.universal-silabs-flasher | |
aarch64-darwin vimPlugins.vim-dirdiff | |
aarch64-darwin emacsPackages.git-attr | |
aarch64-darwin python311Packages.opentelemetry-semantic-conventions | |
x86_64-darwin haskellPackages.sdl2 | |
x86_64-linux terraform-providers.slack | |
x86_64-darwin python311Packages.iowait | |
x86_64-darwin dictdDBs.wiktionary | |
x86_64-darwin python312Packages.threadpool | |
x86_64-darwin haskellPackages.vk-posix-pty | |
x86_64-darwin haskellPackages.wai-cli | |
aarch64-linux home-assistant-component-tests.otbr | |
aarch64-darwin python311Packages.geoalchemy2 | |
aarch64-darwin emacsPackages.buffer-buttons | |
x86_64-darwin cowsql | |
x86_64-linux python312Packages.paypal-checkout-serversdk | |
aarch64-linux python312Packages.pytest-instafail | |
x86_64-linux haskellPackages.control-event | |
x86_64-darwin python311Packages.py-radix-sr | |
x86_64-linux bbin | |
x86_64-linux rubyPackages_3_2.pkg-config | |
aarch64-darwin opn2bankeditor | |
aarch64-linux poethepoet | |
x86_64-linux rubyPackages.gdk3 | |
x86_64-linux jre_headless | |
aarch64-linux lf | |
x86_64-linux minimodem | |
aarch64-linux deltachat-repl | |
x86_64-darwin python311Packages.rich-rst | |
x86_64-darwin python311Packages.dj-email-url | |
x86_64-darwin python312Packages.fenics | |
x86_64-darwin gradle_8 | |
aarch64-darwin libconfuse | |
x86_64-darwin haskellPackages.lens | |
x86_64-linux usbutils | |
x86_64-linux emacsPackages.plain-theme | |
x86_64-linux python311Packages.inquirerpy | |
x86_64-darwin siege | |
x86_64-darwin python311Packages.pyarlo | |
aarch64-linux emacsPackages.yul-mode | |
aarch64-linux haskellPackages.anagrep | |
aarch64-darwin perl536Packages.MooXlate | |
aarch64-darwin perl538Packages.MojoIOLoopDelay | |
x86_64-darwin python312Packages.os-service-types | |
aarch64-darwin postgresql15JitPackages.h3-pg | |
x86_64-linux emacsPackages.org-pomodoro | |
x86_64-linux vimPlugins.nvim-treesitter-pyfold | |
aarch64-darwin python311Packages.coredis | |
x86_64-darwin emacsPackages.ipcalc | |
x86_64-darwin python311Packages.types-aiobotocore-frauddetector | |
x86_64-darwin python311Packages.yeelight | |
x86_64-darwin python312Packages.mypy-boto3-backupstorage | |
x86_64-linux emacsPackages.e2wm-term | |
x86_64-linux python312Packages.pythondialog | |
aarch64-darwin gitkraken | |
x86_64-linux haskellPackages.PSQueue | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.svelte | |
aarch64-darwin chickenPackages_5.chickenEggs.posix-mq | |
aarch64-darwin rubyPackages_3_1.cocoapods-whitelist | |
aarch64-darwin postgresql14Packages.tsearch_extras | |
aarch64-linux python312Packages.flask-dramatiq | |
aarch64-darwin haskellPackages.amazonka-finspace-data | |
x86_64-linux linuxKernel.packages.linux_6_6.opensnitch-ebpf | |
aarch64-linux python312Packages.google-cloud-error-reporting | |
aarch64-darwin python311Packages.skia-pathops | |
aarch64-darwin kubectl-example | |
aarch64-darwin vimPlugins.ShowMultiBase | |
aarch64-darwin blacken-docs | |
x86_64-darwin python311Packages.daqp | |
aarch64-darwin pdal | |
aarch64-darwin llvmPackages_12.clangNoLibcxx | |
aarch64-linux haskellPackages.DescriptiveKeys | |
aarch64-darwin comic-mono | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.r8168 | |
aarch64-darwin ripmime | |
x86_64-linux cyanrip | |
x86_64-linux python312Packages.autocommand | |
aarch64-darwin chickenPackages_5.chickenEggs.uri-match | |
aarch64-linux godot3 | |
x86_64-linux python311Packages.sacn | |
x86_64-linux emacsPackages.lemon-mode | |
x86_64-darwin perl536Packages.ChipcardPCSC | |
x86_64-linux python311Packages.parts | |
aarch64-linux fluffychat-web | |
x86_64-darwin fingerprintx | |
aarch64-darwin haskellPackages.yarn-lock | |
x86_64-darwin python312Packages.concurrent-log-handler | |
aarch64-linux python311Packages.python-yate | |
aarch64-darwin perl538Packages.CPANChecksums | |
aarch64-linux python311Packages.websockets | |
aarch64-linux python312Packages.apycula | |
x86_64-linux nufmt | |
aarch64-linux python311Packages.wagtail-factories | |
aarch64-linux python311Packages.github-webhook | |
aarch64-darwin haskellPackages.lasercutter | |
x86_64-linux gcs | |
x86_64-linux syncthing-tray | |
aarch64-linux libva-utils | |
aarch64-linux home-assistant-component-tests.co2signal | |
aarch64-linux btar | |
x86_64-darwin haskellPackages.metro-transport-xor | |
aarch64-darwin haskellPackages.phatsort | |
x86_64-darwin python311Packages.wasmer | |
x86_64-darwin python312Packages.georss-ign-sismologia-client | |
aarch64-linux SDL_net | |
aarch64-darwin python312Packages.flatbuffers | |
x86_64-linux libglvnd | |
aarch64-darwin rubyPackages.activesupport | |
x86_64-linux avrdudess | |
x86_64-darwin haskellPackages.X11 | |
aarch64-linux brasero | |
x86_64-linux php82Extensions.protobuf | |
aarch64-darwin python311Packages.pylibacl | |
x86_64-linux emacsPackages.xquery-tool | |
aarch64-linux panoply | |
x86_64-linux libsForQt5.kjobwidgets | |
x86_64-darwin rubyPackages_3_2.tzinfo | |
x86_64-linux bzflag | |
x86_64-darwin haskellPackages.pure-sum-aeson | |
aarch64-darwin python311Packages.ics | |
x86_64-linux cudaPackages_11.cuda_cuobjdump | |
x86_64-linux gnome.quadrapassel | |
x86_64-darwin emacsPackages.ron-mode | |
x86_64-darwin pinentry-emacs | |
aarch64-darwin bao | |
x86_64-linux haskellPackages.mecha | |
x86_64-linux gnomeExtensions.permanent-notifications | |
x86_64-darwin python312Packages.polars | |
x86_64-linux inklingreader | |
x86_64-darwin xquartz | |
x86_64-darwin python312Packages.mypy-boto3-osis | |
aarch64-linux linuxPackages_hardened.rtl88x2bu | |
x86_64-darwin thicket | |
x86_64-darwin python311Packages.jsmin | |
aarch64-darwin emacsPackages.mu-cite | |
x86_64-linux haskellPackages.PyF | |
x86_64-darwin python312Packages.certifi | |
aarch64-linux vimPlugins.nvim-lua-gf | |
aarch64-linux emacsPackages.password-generator | |
aarch64-linux vimPlugins.nvim-treesitter | |
x86_64-linux linuxKernel.packages.linux_lqx.ddcci-driver | |
x86_64-darwin emacsPackages.eopengrok | |
x86_64-linux emacsPackages.kodi-remote | |
x86_64-darwin python311Packages.mypy-boto3-lookoutvision | |
aarch64-linux rr | |
aarch64-linux python312Packages.types-urllib3 | |
x86_64-linux faust2firefox | |
aarch64-linux tumiki-fighters | |
aarch64-linux qt6Packages.fcitx5-skk-qt | |
aarch64-linux emacsPackages.tumble | |
x86_64-linux home-assistant-component-tests.reddit | |
x86_64-linux azure-cli-extensions.mobile-network | |
aarch64-darwin python312Packages.dotmap | |
aarch64-darwin emacsPackages.ng2-mode | |
x86_64-darwin theLoungePlugins.plugins.shortcuts | |
x86_64-darwin python311Packages.asyncwhois | |
aarch64-darwin python312Packages.fipy | |
x86_64-linux snekim | |
x86_64-darwin emacsPackages.adoc-mode | |
x86_64-linux emacsPackages.org2jekyll | |
x86_64-darwin gcc7 | |
x86_64-darwin python311Packages.flask-compress | |
x86_64-linux libressl_3_8 | |
x86_64-linux python311Packages.flask-dramatiq | |
aarch64-darwin yarn | |
x86_64-linux lua53Packages.busted | |
x86_64-darwin perl536Packages.TreeDAGNode | |
aarch64-darwin terraform-providers.secret | |
aarch64-darwin yank | |
x86_64-linux python311Packages.awswrangler | |
x86_64-linux python312Packages.cssbeautifier | |
aarch64-darwin emacsPackages.cycbuf | |
x86_64-linux python312Packages.ilua | |
x86_64-darwin python312Packages.types-aiobotocore-voice-id | |
x86_64-linux SDL_ttf | |
x86_64-linux python312Packages.pylibconfig2 | |
x86_64-linux python311Packages.pylacus | |
aarch64-linux python312Packages.django-compression-middleware | |
x86_64-darwin postgresql12Packages.pg_relusage | |
aarch64-linux emacsPackages.pt | |
x86_64-darwin clutter-gtk | |
x86_64-darwin tumpa | |
x86_64-linux emacsPackages.company-eask | |
x86_64-linux cointop | |
aarch64-linux emacsPackages.commenter | |
aarch64-linux lua52Packages.lua-toml | |
aarch64-linux python312Packages.dissect-xfs | |
aarch64-darwin haskellPackages.shellify | |
aarch64-darwin libdvdcss | |
x86_64-linux python311Packages.yolink-api | |
x86_64-linux python312Packages.pyhomeworks | |
aarch64-darwin haskellPackages.di-df1 | |
aarch64-darwin python311Packages.pytorch-metric-learning | |
aarch64-linux vimPlugins.vim-visual-star-search | |
aarch64-darwin haskellPackages.caster | |
x86_64-linux rmfuse | |
x86_64-linux python311Packages.pyixapi | |
aarch64-darwin python312Packages.fastcache | |
x86_64-linux python312Packages.scrapy | |
x86_64-linux python311Packages.ansible-pylibssh | |
aarch64-darwin bozohttpd-minimal | |
aarch64-darwin python311Packages.cymem | |
aarch64-linux python311Packages.aiorun | |
aarch64-darwin python311Packages.pylaunches | |
aarch64-darwin python311Packages.pytest-mockito | |
x86_64-linux apkeep | |
x86_64-darwin python311Packages.openstacksdk | |
x86_64-darwin semgrep-core | |
x86_64-darwin meerk40t | |
x86_64-linux emacsPackages.svelte-mode | |
aarch64-linux haskellPackages.SimpleTableGenerator | |
x86_64-darwin haskellPackages.json-feed | |
x86_64-linux ddns-go | |
aarch64-linux haskellPackages.fudgets | |
aarch64-darwin jenkins-job-builder | |
aarch64-linux luajitPackages.lua-cjson | |
x86_64-linux python312Packages.farama-notifications | |
aarch64-linux haskellPackages.Cardinality | |
x86_64-darwin python311Packages.boa-api | |
aarch64-linux python312Packages.mypy-boto3-gamelift | |
aarch64-linux unixtools.fdisk | |
aarch64-darwin emacsPackages.slime-theme | |
aarch64-linux vimPlugins.vim-ragtag | |
x86_64-darwin xorg.xsetroot | |
x86_64-linux vimPlugins.gentoo-syntax | |
x86_64-darwin perl538Packages.perl | |
aarch64-darwin torus-trooper | |
aarch64-linux haskellPackages.monoid-insertleft | |
x86_64-linux linuxKernel.packages.linux_hardened.cpupower | |
aarch64-darwin haskellPackages.hspec-tmp-proc | |
aarch64-linux enlightenment.enlightenment | |
x86_64-darwin mitm6 | |
aarch64-linux deepin.dtkgui | |
x86_64-linux poetry2conda | |
aarch64-darwin python311Packages.pytzdata | |
aarch64-linux python312Packages.ansi | |
aarch64-linux docui | |
x86_64-linux haskellPackages.vty | |
x86_64-darwin chickenPackages_5.chickenEggs.srfi-71 | |
x86_64-darwin lumina.lumina-calculator | |
aarch64-linux postgresql13Packages.citus | |
aarch64-darwin python311Packages.deap | |
aarch64-darwin python311Packages.langsmith | |
aarch64-darwin tclreadline | |
x86_64-linux haskellPackages.hsinspect | |
x86_64-linux home-assistant-component-tests.habitica | |
x86_64-linux libuinputplus | |
aarch64-darwin python311Packages.crate | |
x86_64-darwin a2ps | |
aarch64-darwin python311Packages.kanidm | |
x86_64-darwin opencorsairlink | |
x86_64-linux python312Packages.filebytes | |
aarch64-darwin vimPlugins.coc-stylelint | |
aarch64-darwin vimPlugins.telescope-ui-select-nvim | |
aarch64-linux haskellPackages.snappy-framing | |
aarch64-linux perl536Packages.Gtk2TrayIcon | |
aarch64-darwin rubyPackages_3_3.cocoapods-trunk | |
aarch64-darwin postgresql12JitPackages.pgrouting | |
aarch64-linux python312Packages.dep-logic | |
aarch64-darwin python312Packages.paypalrestsdk | |
aarch64-darwin python311Packages.pylyrics | |
x86_64-darwin python312Packages.pg8000 | |
aarch64-darwin haskellPackages.unboxed-ref | |
aarch64-darwin emacsPackages.org-roam-bibtex | |
x86_64-darwin govc | |
x86_64-linux python311Packages.python-barcode | |
aarch64-linux python311Packages.pynndescent | |
x86_64-darwin haskellPackages.tree-sitter-rust | |
aarch64-linux haskellPackages.pathfinding | |
x86_64-linux evilpixie | |
x86_64-linux python311Packages.minimal-snowplow-tracker | |
aarch64-darwin python312Packages.vsts-cd-manager | |
x86_64-darwin haskellPackages.gi-gtkosxapplication | |
x86_64-darwin python311Packages.faraday-agent-parameters-types | |
x86_64-darwin rubyPackages_3_2.jekyll-optional-front-matter | |
aarch64-linux home-assistant-component-tests.waqi | |
aarch64-darwin python311Packages.online-judge-tools | |
x86_64-darwin libiconvReal | |
aarch64-darwin python312Packages.types-aiobotocore-codeguruprofiler | |
aarch64-darwin emacsPackages.bug-hunter | |
x86_64-darwin python311Packages.keyrings-passwordstore | |
x86_64-darwin perl536Packages.XMLCanonicalizeXML | |
x86_64-linux emacsPackages.helm-rtags | |
aarch64-darwin exempi | |
aarch64-darwin python311Packages.gitdb | |
x86_64-linux rubyPackages_3_3.pathutil | |
aarch64-darwin weather-icons | |
aarch64-darwin python311Packages.minimock | |
x86_64-darwin emacsPackages.jinx | |
x86_64-darwin haskellPackages.human-readable-duration | |
aarch64-darwin python311Packages.oslo-config | |
x86_64-linux emacsPackages.scad-preview | |
x86_64-darwin irrd | |
x86_64-darwin python311Packages.hatch-fancy-pypi-readme | |
x86_64-linux emacsPackages.sproto-mode | |
aarch64-darwin python312Packages.devtools | |
x86_64-darwin perl538Packages.WWWMechanizeCGI | |
x86_64-linux postgresql15Packages.pg_embedding | |
aarch64-linux python311Packages.laces | |
x86_64-linux kdePackages.wayqt | |
x86_64-darwin haskellPackages.pdc | |
aarch64-darwin perl536Packages.CatalystActionREST | |
aarch64-linux python311Packages.pyrdfa3 | |
aarch64-linux llvmPackages.lldb-manpages | |
aarch64-darwin cl-launch | |
aarch64-linux fnott | |
aarch64-darwin weechatScripts.colorize_nicks | |
aarch64-linux emacsPackages.org-notifications | |
aarch64-darwin perl538Packages.MsgPackRaw | |
aarch64-darwin python312Packages.sphinx-rtd-theme | |
x86_64-darwin vimPlugins.catppuccin-nvim | |
aarch64-darwin python311Packages.checkdmarc | |
x86_64-darwin python311Packages.pyaussiebb | |
aarch64-darwin perl538Packages.MIMELiteHTML | |
x86_64-linux emacsPackages.helm-ls-svn | |
aarch64-linux rubyPackages_3_2.claide | |
aarch64-darwin haskellPackages.xml-optics | |
x86_64-linux haskellPackages.cheapskate | |
aarch64-linux haskellPackages.key-state | |
x86_64-darwin wormhole-william | |
x86_64-linux haskellPackages.choice | |
x86_64-linux plasma5Packages.dragon | |
aarch64-darwin emv | |
aarch64-linux emacsPackages.show-css | |
aarch64-linux haskellPackages.postgresql-typed | |
aarch64-linux semeru-bin-16 | |
aarch64-darwin wezterm | |
x86_64-linux linuxPackages_5_15_hardened.xpadneo | |
aarch64-linux doitlive | |
aarch64-linux haskellPackages.monad-io-adapter | |
x86_64-linux haskellPackages.network-dns | |
aarch64-linux python311Packages.django-nose | |
aarch64-darwin haskellPackages.resource-pool-fork-avanov | |
x86_64-darwin haskellPackages.conferer | |
aarch64-linux qt5.qtwebview | |
x86_64-darwin gnat13Packages.xmlada | |
x86_64-darwin rubyPackages.rbnacl | |
aarch64-darwin qogir-kde | |
aarch64-darwin emacsPackages.gist | |
aarch64-darwin gdbm | |
x86_64-linux cinnamon.xapp | |
x86_64-darwin lua54Packages.mediator_lua | |
x86_64-darwin perl538Packages.EncodeDetect | |
x86_64-linux haskellPackages.hedis | |
aarch64-darwin fltk-minimal | |
x86_64-linux python312Packages.libselinux | |
x86_64-linux haskellPackages.tidal-link | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.cairo-pdf | |
aarch64-linux python312Packages.phonenumbers | |
x86_64-darwin python311Packages.twine | |
aarch64-darwin pikchr | |
x86_64-darwin perl536Packages.CLIHelpers | |
aarch64-darwin python311Packages.imbalanced-learn | |
x86_64-linux envsubst | |
aarch64-linux python312Packages.debian-inspector | |
x86_64-linux gnome-multi-writer | |
aarch64-darwin python311Packages.greeneye-monitor | |
aarch64-darwin haskellPackages.bindings-svm | |
x86_64-linux tuifimanager | |
aarch64-darwin python312Packages.vg | |
aarch64-darwin emacsPackages.voca-builder | |
x86_64-linux googleearth-pro | |
aarch64-linux emacsPackages.org-visibility | |
x86_64-linux python312Packages.thrift | |
x86_64-darwin haskellPackages.compdata-fixplate | |
aarch64-darwin vimPlugins.thumbnail-vim | |
x86_64-linux zabbix60.agent2 | |
x86_64-linux unpackerr | |
x86_64-linux johnny | |
aarch64-linux emacsPackages.champagne | |
x86_64-linux sanctity | |
x86_64-linux haskell.compiler.native-bignum.ghc963 | |
aarch64-darwin emacsPackages.fuff | |
aarch64-darwin emacsPackages.creole | |
x86_64-linux haskellPackages.KdTree | |
x86_64-linux emacsPackages.sprunge | |
x86_64-linux corectrl | |
aarch64-darwin python311Packages.puremagic | |
x86_64-darwin libcue | |
x86_64-darwin python312Packages.gehomesdk | |
aarch64-linux python312Packages.sigstore-rekor-types | |
x86_64-darwin go-protobuf | |
aarch64-darwin nlohmann_json | |
x86_64-darwin haskellPackages.disk-free-space | |
aarch64-darwin perl538Packages.FileDesktopEntry | |
x86_64-darwin home-assistant-custom-components.gpio | |
aarch64-darwin python312Packages.pypdf3 | |
aarch64-linux linuxPackages_lqx.prl-tools | |
x86_64-darwin perl536Packages.ClassC3Componentised | |
x86_64-linux python311Packages.pylint-django | |
aarch64-linux haskellPackages.uniform-pair | |
x86_64-darwin haskellPackages.from-sum | |
x86_64-darwin rubyPackages_3_1.cairo-gobject | |
x86_64-darwin gpsprune | |
x86_64-linux emacsPackages.moody | |
x86_64-darwin haskellPackages.file-embed-lzma | |
aarch64-linux glee | |
aarch64-darwin python311Packages.torchsde | |
aarch64-linux python312Packages.gmpy2 | |
x86_64-linux libqtdbustest | |
x86_64-linux plasma5Packages.kmbox | |
x86_64-darwin python312Packages.dronecan | |
x86_64-darwin rubyPackages_3_3.parallel | |
aarch64-darwin haskellPackages.hasktorch-types-th | |
x86_64-darwin mdbtools | |
aarch64-linux emacsPackages.occidental-theme | |
aarch64-darwin python311Packages.pyedimax | |
x86_64-darwin cubeb | |
x86_64-darwin python311Packages.jsonrpc-base | |
x86_64-linux emacsPackages.gnuplot-mode | |
x86_64-darwin perl536Packages.Throwable | |
aarch64-linux vimPlugins.highlight-undo-nvim | |
x86_64-linux haskellPackages.hacanon-light | |
x86_64-darwin azure-cli-extensions.adp | |
x86_64-linux haskellPackages.vector-instances | |
aarch64-darwin python311Packages.cart | |
x86_64-darwin rubyPackages.cocoapods-deintegrate | |
x86_64-darwin aptly | |
aarch64-darwin haskellPackages.phizzle | |
aarch64-linux python311Packages.scalene | |
x86_64-linux detect-secrets | |
aarch64-linux haskellPackages.servant-conduit | |
aarch64-linux emacsPackages.concurrent | |
x86_64-linux vimPlugins.venn-nvim | |
aarch64-darwin haskellPackages.ghcide | |
aarch64-darwin open-sans | |
x86_64-linux emacsPackages.redtt | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.acpi_call | |
x86_64-linux pdf-quench | |
aarch64-darwin python311Packages.pyramid-mako | |
aarch64-darwin haskellPackages.var-monad | |
x86_64-linux python311Packages.pyworld | |
x86_64-linux emacsPackages.aiken-mode | |
aarch64-linux python311Packages.thelogrus | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.rst | |
x86_64-linux haskellPackages.chs-cabal | |
x86_64-linux haskellPackages.tskiplist | |
aarch64-darwin python311Packages.draftjs-exporter | |
x86_64-darwin postgresql15Packages.pg_topn | |
x86_64-linux linuxPackages_6_6_hardened.bpftrace | |
x86_64-darwin emacsPackages.tumble | |
x86_64-darwin python312Packages.trove-classifiers | |
aarch64-darwin perl538Packages.XSParseSublike | |
x86_64-linux haskellPackages.these-optics | |
aarch64-linux python311Packages.oncalendar | |
x86_64-darwin emacsPackages.flycheck-package | |
aarch64-linux rubyPackages_3_2.libv8 | |
x86_64-linux pavucontrol | |
aarch64-darwin perl536Packages.LinguaENWords2Nums | |
aarch64-darwin python312Packages.hiro | |
x86_64-darwin haskellPackages.JuicyPixels-extra | |
x86_64-linux betterbird-unwrapped | |
aarch64-darwin python312Packages.virt-firmware | |
aarch64-darwin perl536Packages.TestXPath | |
aarch64-linux postgresql15JitPackages.postgis | |
x86_64-darwin haskellPackages.tlex-th | |
aarch64-darwin openssl | |
x86_64-linux postgresql13JitPackages.smlar | |
x86_64-linux python311Packages.osqp | |
x86_64-linux limine | |
aarch64-linux python311Packages.remotezip | |
aarch64-linux ssh-ident | |
x86_64-darwin haskellPackages.hw-ip | |
aarch64-linux vimPlugins.vim-autoswap | |
x86_64-darwin python312Packages.python-picnic-api | |
x86_64-darwin leptonica | |
aarch64-darwin python311Packages.safe | |
aarch64-darwin python312Packages.justbytes | |
x86_64-darwin emacsPackages.emacsshot | |
x86_64-darwin rubyPackages_3_2.ffi-rzmq-core | |
aarch64-darwin haskellPackages.thread-hierarchy | |
aarch64-darwin python311Packages.dawg-python | |
aarch64-linux emacsPackages.vector-utils | |
x86_64-linux xautoclick | |
aarch64-linux haskellPackages.RSolve | |
aarch64-darwin python312Packages.mypy-boto3-marketplacecommerceanalytics | |
aarch64-linux linuxKernel.kernels.linux_5_4 | |
x86_64-darwin perl536Packages.GitPurePerl | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.ryzen-smu | |
x86_64-linux haxe_4_3 | |
x86_64-linux python312Packages.spyder-kernels | |
aarch64-darwin chewing-editor | |
aarch64-darwin rubyPackages_3_2.cocoapods-trunk | |
aarch64-darwin vimPlugins.compe-zsh | |
x86_64-darwin python311Packages.cometblue-lite | |
aarch64-darwin perl538Packages.MP3Info | |
aarch64-darwin python311Packages.imageio | |
x86_64-linux sbclPackages.kons-9 | |
aarch64-linux python311Packages.mypy-boto3-groundstation | |
aarch64-linux vimPlugins.lightline-vim | |
x86_64-linux haskellPackages.xosd | |
x86_64-darwin haskellPackages.display | |
aarch64-linux luaPackages.jsregexp | |
aarch64-linux haskellPackages.colorful-monoids | |
x86_64-darwin haskellPackages.pandoc-stylefrommeta | |
aarch64-darwin python311Packages.ftfy | |
aarch64-linux typer | |
x86_64-darwin diswall | |
x86_64-darwin haskellPackages.wilton-ffi | |
x86_64-darwin python311Packages.opentimestamps | |
aarch64-darwin perl536Packages.Moo | |
aarch64-linux python312Packages.midea-beautiful-air | |
aarch64-linux python312Packages.fleep | |
x86_64-darwin lua52Packages.lrexlib-pcre | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.system76 | |
aarch64-linux python311Packages.radian | |
x86_64-linux haskellPackages.network-udp | |
x86_64-linux rubyPackages_3_2.concurrent-ruby | |
x86_64-linux faust | |
x86_64-darwin perl536Packages.LogMessage | |
aarch64-darwin emacsPackages.go-scratch | |
x86_64-linux libchamplain_libsoup3 | |
aarch64-darwin python312Packages.mbstrdecoder | |
x86_64-darwin mpvScripts.simple-mpv-webui | |
x86_64-darwin python311Packages.graphql-core | |
aarch64-linux python311Packages.serpent | |
aarch64-linux haskellPackages.algebraic-graphs | |
x86_64-linux python312Packages.types-aiobotocore-cur | |
x86_64-darwin python312Packages.papis | |
x86_64-darwin postgresqlJitPackages.pg_topn | |
x86_64-linux wmfocus | |
aarch64-linux haskellPackages.psqueues | |
aarch64-darwin perl538Packages.PodWrap | |
aarch64-linux python311Packages.backports-strenum | |
aarch64-linux azure-cli-extensions.image-gallery | |
x86_64-darwin python312Packages.pyprecice | |
aarch64-linux python311Packages.hiyapyco | |
x86_64-darwin gimoji | |
x86_64-darwin haskellPackages.gi-cogl | |
x86_64-darwin python311Packages.hachoir | |
aarch64-linux libsForQt5.qtscript | |
x86_64-darwin emacsPackages.editorconfig-domain-specific | |
aarch64-darwin haskellPackages.monad-interleave | |
x86_64-darwin python311Packages.modelcif | |
aarch64-linux python311Packages.pytest-env | |
x86_64-darwin haskellPackages.partial-uri | |
aarch64-linux fwup | |
aarch64-darwin python311Packages.flask-marshmallow | |
x86_64-linux rocmPackages_6.clr | |
x86_64-linux lua54Packages.lua-toml | |
aarch64-darwin pomodoro-gtk | |
aarch64-darwin python311Packages.rnginline | |
x86_64-linux libratbag | |
x86_64-darwin python311Packages.txzmq | |
aarch64-darwin perl538Packages.HTTPServerSimplePSGI | |
x86_64-linux php81Extensions.igbinary | |
aarch64-linux haskellPackages.FloatingHex | |
aarch64-linux chickenPackages_5.chickenEggs.gl-utils | |
x86_64-linux python312Packages.ddt | |
x86_64-linux linuxPackages_5_4_hardened.hid-tmff2 | |
x86_64-darwin python312Packages.fyta-cli | |
x86_64-darwin haskell.compiler.native-bignum.ghc963 | |
x86_64-darwin haskellPackages.gi-gobject | |
aarch64-darwin python311Packages.propka | |
aarch64-darwin haskellPackages.xdg-desktop-entry | |
aarch64-linux emacsPackages.plz-see | |
aarch64-darwin ddns-go | |
aarch64-darwin python311Packages.multipart | |
x86_64-linux haskellPackages.Lazy-Pbkdf2 | |
x86_64-linux pipectl | |
aarch64-darwin python311Packages.colanderalchemy | |
x86_64-darwin haskellPackages.tuple-append | |
x86_64-linux haskellPackages.numbering | |
x86_64-linux emacsPackages.trr | |
aarch64-darwin matterircd | |
aarch64-linux haskellPackages.these | |
aarch64-linux postgresql15JitPackages.age | |
aarch64-darwin schema2ldif | |
aarch64-darwin readosm | |
x86_64-darwin perl538Packages.ExtUtilsPkgConfig | |
x86_64-linux lua54Packages.lua-resty-session | |
aarch64-linux haskellPackages.if-instance | |
x86_64-linux uni-vga | |
aarch64-darwin haskellPackages.seal-module | |
aarch64-linux python311Packages.language-data | |
aarch64-darwin globe-cli | |
aarch64-darwin emacsPackages.helm-clojuredocs | |
x86_64-darwin namaka | |
x86_64-darwin haskellPackages.dhall-nix | |
x86_64-linux opencbm | |
x86_64-linux osmo-bsc | |
aarch64-linux linuxPackages_6_1_hardened.kernel | |
x86_64-linux rubyPackages_3_2.github-pages | |
aarch64-darwin aspellDicts.tr | |
aarch64-darwin regina | |
x86_64-linux rubyPackages_3_3.nio4r | |
x86_64-linux oranda | |
aarch64-linux python311Packages.stringbrewer | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.d | |
aarch64-darwin emacsPackages.read-only-cfg | |
aarch64-linux haskellPackages.ghc-tcplugins-extra | |
aarch64-linux python311Packages.pytimeparse2 | |
aarch64-linux taxi-cli | |
aarch64-darwin emacsPackages.gle-mode | |
x86_64-darwin haskellPackages.array-memoize | |
aarch64-darwin kind | |
x86_64-linux rocmPackages.llvm.mlir | |
x86_64-linux python311Packages.mypy-boto3-iot-jobs-data | |
aarch64-darwin emacsPackages.keg-mode | |
x86_64-linux postgresql12JitPackages.anonymizer | |
x86_64-darwin python311Packages.ansible-vault-rw | |
x86_64-linux kdePackages.kfourinline | |
x86_64-linux pantheon.elementary-camera | |
aarch64-linux dconf | |
aarch64-darwin coercer | |
aarch64-linux newsboat | |
x86_64-linux python311Packages.jupyter-repo2docker | |
x86_64-linux python312Packages.schedule | |
aarch64-linux python312Packages.aiortsp | |
x86_64-linux python311Packages.ansible-kernel | |
aarch64-linux python312Packages.shodan | |
aarch64-linux haskellPackages.rhythmic-sequences | |
x86_64-darwin crystfel-headless | |
aarch64-darwin emacsPackages.walkman | |
x86_64-darwin emacsPackages.eev | |
x86_64-linux haskellPackages.bindings-sane | |
x86_64-linux emacsPackages.edebug-inline-result | |
aarch64-linux python312Packages.snakemake-storage-plugin-xrootd | |
aarch64-linux linuxKernel.packages.linux_6_8.bcc | |
aarch64-linux domine | |
x86_64-darwin emacsPackages.ert-expectations | |
x86_64-darwin nixVersions.nix_2_21 | |
aarch64-darwin vimPlugins.telescope-file-browser-nvim | |
aarch64-linux rm-improved | |
aarch64-darwin haskellPackages.posix-paths | |
aarch64-linux haskellPackages.attoparsec-base64 | |
aarch64-linux python312Packages.oldest-supported-numpy | |
x86_64-linux php83Extensions.gnupg | |
x86_64-linux diskonaut | |
aarch64-linux home-assistant-component-tests.nx584 | |
aarch64-linux python311Packages.anthemav | |
x86_64-linux hyprcursor | |
aarch64-darwin python311Packages.ipdb | |
aarch64-darwin perl538Packages.PodMarkdown | |
aarch64-darwin python312Packages.ziamath | |
aarch64-linux emacsPackages.aggressive-completion | |
x86_64-linux python312Packages.txdbus | |
x86_64-darwin texliveMedium | |
aarch64-linux ytcast | |
x86_64-linux python311Packages.mypy-boto3-iotanalytics | |
aarch64-linux linkchecker | |
x86_64-darwin flint3 | |
aarch64-darwin kickstart | |
x86_64-linux cargo-limit | |
x86_64-darwin emacsPackages.bnfc | |
x86_64-darwin chickenPackages_5.chickenEggs.procedural-macros | |
x86_64-linux emacsPackages.kibit-helper | |
x86_64-darwin perl538Packages.MozillaCA | |
x86_64-darwin python311Packages.ci-py | |
x86_64-darwin python312Packages.fastdiff | |
x86_64-linux rubyPackages_3_2.cairo | |
aarch64-linux python312Packages.pycurl | |
x86_64-linux aws-azure-login | |
aarch64-darwin ntbtls | |
x86_64-darwin python311Packages.quantities | |
aarch64-linux python311Packages.traits | |
aarch64-linux bitcoin-abc | |
aarch64-linux python311Packages.mypy-boto3-kinesis-video-webrtc-storage | |
aarch64-darwin uacme | |
x86_64-darwin androguard | |
x86_64-linux home-assistant-component-tests.homematic | |
x86_64-linux python312Packages.secretstorage | |
x86_64-linux emacsPackages.dashboard | |
aarch64-linux vimPlugins.vim-caddyfile | |
aarch64-linux labctl | |
aarch64-darwin perl538Packages.CryptOpenSSLGuess | |
aarch64-linux emacsPackages.sorcery-theme | |
x86_64-linux haskellPackages.amazonka-servicecatalog | |
aarch64-darwin python312Packages.pynrrd | |
x86_64-linux rubyPackages_3_2.openssl | |
x86_64-darwin haskellPackages.snap-loader-static | |
x86_64-linux emacsPackages.ob-axiom | |
aarch64-darwin vimPlugins.neotest-gtest | |
x86_64-linux python311Packages.gitpython | |
aarch64-linux vimPlugins.argtextobj-vim | |
aarch64-linux livekit | |
x86_64-linux haskellPackages.acme-iot | |
x86_64-linux python312Packages.nilearn | |
aarch64-linux so | |
x86_64-linux opentelemetry-collector-contrib | |
aarch64-darwin python312Packages.ndms2-client | |
x86_64-linux idrisPackages.webgl | |
x86_64-darwin python312Packages.django-cache-url | |
x86_64-linux haskellPackages.brick-tabular-list | |
x86_64-darwin vimPlugins.doom-one-nvim | |
aarch64-linux dotnetCorePackages.aspnetcore_9_0 | |
x86_64-darwin haskellPackages.addLicenseInfo | |
aarch64-darwin haskellPackages.time-lens | |
aarch64-darwin emacsPackages.ob-elvish | |
aarch64-linux linuxPackages_5_4_hardened.hyperv-daemons | |
aarch64-darwin python312Packages.pem | |
aarch64-darwin acl2 | |
x86_64-darwin hack-font | |
aarch64-linux python311Packages.notifications-python-client | |
x86_64-linux python312Packages.switchbot-api | |
x86_64-darwin python312Packages.textile | |
aarch64-linux mate.marco | |
x86_64-linux emacsPackages.extmap | |
aarch64-linux libcrafter | |
x86_64-darwin python311Packages.binary2strings | |
x86_64-darwin python312Packages.grpc-interceptor | |
x86_64-linux emacsPackages.helm-unicode | |
aarch64-linux rubyPackages_3_2.jekyll-watch | |
aarch64-darwin minecraftServers.vanilla-1-16 | |
aarch64-linux hound | |
x86_64-darwin rubyPackages.rails-html-sanitizer | |
x86_64-linux python312Packages.patrowl4py | |
aarch64-darwin gumbo | |
x86_64-darwin haskellPackages.post-mess-age | |
aarch64-linux python311Packages.mypy-boto3-marketplace-catalog | |
aarch64-darwin emacsPackages.hybrid-reverse-theme | |
x86_64-darwin python312Packages.pyserial-asyncio-fast | |
x86_64-linux python311Packages.mypy-boto3-qldb-session | |
aarch64-darwin vimPlugins.vim-bufkill | |
aarch64-darwin haskellPackages.amazonka-braket | |
x86_64-linux python312Packages.lazy-object-proxy | |
x86_64-darwin texinfo5 | |
aarch64-linux python312Packages.aiolivisi | |
x86_64-darwin python311Packages.actdiag | |
aarch64-darwin darwin.DarwinTools | |
aarch64-darwin emacsPackages.unifdef | |
aarch64-linux python312Packages.threadpoolctl | |
aarch64-linux sexpp | |
x86_64-darwin php83Extensions.blackfire | |
x86_64-darwin blahaj | |
x86_64-linux tlsx | |
aarch64-linux idrisPackages.quantities | |
aarch64-linux haskellPackages.skylighting-format-latex | |
aarch64-linux emacsPackages.go-snippets | |
aarch64-darwin python312Packages.aiohappyeyeballs | |
aarch64-linux xorg.xf86videov4l | |
x86_64-darwin matrix-synapse-plugins.matrix-synapse-s3-storage-provider | |
aarch64-darwin vimPlugins.vim-which-key | |
x86_64-darwin haskellPackages.compactmap | |
x86_64-linux dina-font | |
x86_64-darwin emacsPackages.mic-paren | |
aarch64-linux python312Packages.mypy-boto3-migrationhub-config | |
x86_64-linux python311Packages.pylpsd | |
x86_64-linux wev | |
x86_64-darwin flatbuffers | |
x86_64-linux vimPlugins.vim-addon-syntax-checker | |
aarch64-darwin haskellPackages.semigroups | |
x86_64-darwin coqPackages.mathcomp-finmap | |
x86_64-darwin python311Packages.django-ipware | |
aarch64-darwin haskellPackages.haskeline-repl | |
aarch64-darwin groove | |
x86_64-linux python311Packages.fabulous | |
x86_64-linux python311Packages.pytest-textual-snapshot | |
aarch64-linux perl536Packages.ArchiveLibarchiveExtract | |
aarch64-darwin python312Packages.pytest-mockito | |
x86_64-linux haskellPackages.edit-distance-vector | |
x86_64-linux python311Packages.calmjs-types | |
aarch64-linux cm256cc | |
aarch64-linux intel-media-driver | |
aarch64-linux python312Packages.libselinux | |
aarch64-linux python311Packages.boolean-py | |
aarch64-darwin haskellPackages.yesod-gitrepo | |
x86_64-linux haskellPackages.libxml | |
aarch64-darwin haskellPackages.pipes-bytestring | |
x86_64-darwin python312Packages.asf-search | |
aarch64-darwin strongswanTNC | |
x86_64-linux linuxPackages_5_4_hardened.systemtap | |
x86_64-darwin python312Packages.pyorthanc | |
x86_64-linux python311Packages.z3-solver | |
aarch64-darwin python311Packages.towncrier | |
x86_64-darwin xnotify | |
aarch64-linux gpu-viewer | |
aarch64-linux python312Packages.guidance | |
x86_64-linux confluencepot | |
x86_64-darwin python312Packages.lacuscore | |
x86_64-linux haskellPackages.pipes | |
aarch64-linux linuxPackages_5_4_hardened.usbip | |
x86_64-linux kikit | |
aarch64-linux offlineimap | |
x86_64-darwin perl538Packages.Error | |
aarch64-linux python312Packages.dbus-fast | |
x86_64-darwin saxon-he | |
aarch64-darwin perl538Packages.IOStringy | |
aarch64-linux python311Packages.mypy-boto3-cloudformation | |
x86_64-linux vimPlugins.tlib_vim | |
aarch64-darwin vdirsyncer | |
x86_64-linux tensor | |
aarch64-linux kdePackages.libkgapi | |
aarch64-linux emacsPackages.org-custom-cookies | |
x86_64-darwin libspecbleach | |
x86_64-darwin emacsPackages.rib-mode | |
aarch64-linux python312Packages.uvcclient | |
aarch64-linux terraform-providers.google | |
x86_64-linux python311Packages.evaluate | |
aarch64-linux haskellPackages.rawstring-qm | |
x86_64-darwin tootik | |
x86_64-linux libsForQt5.kguiaddons | |
x86_64-darwin uqmi | |
aarch64-darwin python311Packages.adax | |
aarch64-darwin iamy | |
x86_64-darwin python312Packages.heudiconv | |
x86_64-linux git-sizer | |
x86_64-darwin haskellPackages.haspell | |
aarch64-darwin python312Packages.shamir-mnemonic | |
x86_64-darwin python311Packages.google-cloud-dlp | |
x86_64-linux python311Packages.librosa | |
x86_64-darwin plasma5Packages.kactivities | |
aarch64-linux home-assistant-component-tests.text | |
aarch64-linux python312Packages.karton-classifier | |
x86_64-darwin rubyPackages_3_1.cocoapods-clean_build_phases_scripts | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.ivsc-driver | |
aarch64-darwin libpcap | |
x86_64-darwin perl536Packages.TestVars | |
x86_64-linux navidrome | |
aarch64-darwin rm-improved | |
aarch64-darwin noah | |
aarch64-linux passes | |
aarch64-darwin zeal-qt5 | |
x86_64-linux postgresql12JitPackages.pg_hint_plan | |
aarch64-linux linuxKernel.packages.linux_5_10.fanout | |
x86_64-linux aws-c-auth | |
x86_64-darwin rubyPackages.sinatra | |
aarch64-darwin python312Packages.leather | |
x86_64-linux emacsPackages.ob-ml-marklogic | |
aarch64-linux emacsPackages.d2-mode | |
x86_64-linux plasma5Packages.kwayland-integration | |
x86_64-darwin vimPlugins.telescope-file-browser-nvim | |
aarch64-linux haskellPackages.blockfrost-client-core | |
x86_64-darwin safecloset | |
aarch64-darwin rubyPackages.rdoc | |
x86_64-linux emacsPackages.php-refactor-mode | |
aarch64-linux pip-audit | |
x86_64-linux emacsPackages.counsel-tramp | |
x86_64-linux python311Packages.dissect-volume | |
aarch64-darwin go-camo | |
x86_64-linux haskellPackages.graph-generators | |
x86_64-linux openexr_3 | |
x86_64-linux haskellPackages.gi-harfbuzz | |
x86_64-linux python312Packages.uamqp | |
aarch64-darwin emacsPackages.dyalog-mode | |
x86_64-darwin perl536Packages.OLEStorage_Lite | |
x86_64-linux undistract-me | |
x86_64-darwin luculent | |
x86_64-linux python311Packages.webdav4 | |
aarch64-darwin emacsPackages.declutter | |
aarch64-linux python311Packages.maison | |
x86_64-darwin perl536Packages.CGISession | |
aarch64-linux sslstrip | |
x86_64-darwin gitkraken | |
x86_64-linux ofono-phonesim | |
aarch64-darwin python312Packages.async-modbus | |
x86_64-linux rubyPackages.jekyll-webmention_io | |
aarch64-darwin coqPackages.StructTact | |
aarch64-linux tpm2-pkcs11 | |
x86_64-darwin haskellPackages.servant-JuicyPixels | |
x86_64-darwin postgresql15Packages.pgvector | |
x86_64-darwin haskellPackages.automitive-cse | |
x86_64-darwin apacheHttpdPackages_2_4.mod_python | |
aarch64-linux python311Packages.pydateinfer | |
x86_64-linux python312Packages.types-aiobotocore-quicksight | |
x86_64-darwin rubyPackages_3_2.rb-readline | |
aarch64-linux python311Packages.pyquaternion | |
aarch64-linux emacsPackages.elfeed-tube-mpv | |
x86_64-darwin python311Packages.pyqt5-stubs | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.systemtap | |
aarch64-darwin python311Packages.python-ctags3 | |
x86_64-linux haskellPackages.simple-session | |
aarch64-darwin gdalMinimal | |
x86_64-darwin python312Packages.sunwatcher | |
aarch64-darwin python311Packages.opower | |
x86_64-linux netmaker | |
x86_64-linux gnomeExtensions.workspace-indicator-2 | |
aarch64-darwin emacsPackages.ox-html5slide | |
aarch64-linux corectrl | |
aarch64-linux python311Packages.coconut | |
aarch64-linux lua53Packages.nlua | |
x86_64-darwin heimdall-gui | |
aarch64-darwin python312Packages.classify-imports | |
aarch64-linux haskellPackages.uuid-quasi | |
x86_64-darwin vimPlugins.telescope-live-grep-args-nvim | |
aarch64-darwin tabview | |
x86_64-darwin python312Packages.duckdb | |
aarch64-darwin nix-prefetch-docker | |
x86_64-darwin python311Packages.pygltflib | |
aarch64-darwin python312Packages.mercadopago | |
x86_64-darwin dublin-traceroute | |
x86_64-linux cuneiform | |
aarch64-linux vimPlugins.nvim-completion-manager | |
x86_64-linux python311Packages.jaxlib-build | |
x86_64-darwin emacsPackages.contrast-color | |
aarch64-darwin spigot | |
aarch64-darwin mslink | |
x86_64-linux python312Packages.yark | |
aarch64-darwin emacsPackages.mmt | |
x86_64-linux djhtml | |
aarch64-darwin python312Packages.unittest-data-provider | |
aarch64-darwin coqPackages.bignums | |
x86_64-darwin python311Packages.niluclient | |
x86_64-linux python311Packages.bugwarrior | |
x86_64-darwin llvmPackages_14.clang-manpages | |
x86_64-darwin python311Packages.sqlalchemy-mixins | |
x86_64-linux emacsPackages.govet | |
x86_64-darwin openbsm | |
x86_64-linux polkit | |
x86_64-darwin emacsPackages.go-gopath | |
x86_64-linux haskellPackages.amazonka-appsync | |
aarch64-darwin haskellPackages.debian | |
aarch64-linux chemtool | |
aarch64-darwin haskellPackages.amazonka-autoscaling-plans | |
aarch64-darwin python311Packages.pymorphy2 | |
aarch64-linux plasma5Packages.kparts | |
x86_64-darwin python312Packages.pymetar | |
x86_64-linux emacsPackages.cherry-blossom-theme | |
x86_64-linux plasma5Packages.libkdcraw | |
x86_64-darwin python312Packages.ionhash | |
aarch64-linux bililiverecorder | |
aarch64-darwin python311Packages.python-status | |
aarch64-darwin haskellPackages.predicates | |
x86_64-darwin vimPlugins.nvim-lsp-notify | |
aarch64-linux emacsPackages.evil-rails | |
aarch64-darwin emacsPackages.imbot | |
x86_64-darwin avahi-compat | |
x86_64-linux mgba | |
x86_64-linux emacsPackages.anakondo | |
x86_64-darwin emacsPackages.pinyin | |
aarch64-darwin rubyPackages_3_2.cairo-gobject | |
x86_64-linux darling | |
aarch64-darwin python311Packages.bluetooth-auto-recovery | |
aarch64-darwin python312Packages.psrpcore | |
aarch64-darwin python312Packages.ultraheat-api | |
aarch64-linux linuxKernel.packages.linux_libre.veikk-linux-driver | |
aarch64-linux haskellPackages.acme-omitted | |
aarch64-linux haskellPackages.hsndfile-vector | |
aarch64-darwin errands | |
x86_64-linux emacsPackages.fortune-cookie | |
x86_64-darwin lua52Packages.mediator_lua | |
aarch64-linux linuxPackages.rtl8192eu | |
x86_64-linux emacsPackages.cask | |
aarch64-linux nurl | |
aarch64-linux qmplay2 | |
x86_64-darwin frei | |
x86_64-linux haskellPackages.text-ldap | |
aarch64-darwin python312Packages.ifaddr | |
aarch64-darwin haskellPackages.byte-count-reader | |
aarch64-linux wl-screenrec | |
x86_64-darwin perl538Packages.CryptDH | |
x86_64-darwin easypdkprog | |
x86_64-linux gnomeExtensions.floating-dock-2 | |
x86_64-linux python311Packages.types-aiobotocore-dms | |
x86_64-darwin python312Packages.class-doc | |
x86_64-darwin cjose | |
x86_64-darwin pngcrush | |
aarch64-darwin matrix-sdk-crypto-nodejs-0_1_0-beta_3 | |
x86_64-linux python311Packages.starline | |
aarch64-linux python312Packages.boilerpy3 | |
aarch64-linux python312Packages.types-aiobotocore-sms-voice | |
aarch64-darwin perl538Packages.DBIxClassCandy | |
aarch64-darwin rubyPackages.rack | |
x86_64-linux rubyPackages_3_2.prettier | |
aarch64-darwin emacsPackages.flymake-python-pyflakes | |
aarch64-darwin python312Packages.glfw | |
x86_64-linux python312Packages.mypy-boto3-mediastore-data | |
x86_64-darwin postgresqlJitPackages.tds_fdw | |
aarch64-linux emacsPackages.blamer | |
aarch64-darwin rubyPackages_3_3.erubi | |
x86_64-linux emacsPackages.company-ctags | |
x86_64-darwin python312Packages.mypy-boto3-neptune | |
x86_64-linux rust-analyzer-unwrapped | |
aarch64-linux calico-app-policy | |
aarch64-darwin emacsPackages.fmo-mode | |
aarch64-darwin haskellPackages.markdown-unlit | |
x86_64-linux postgresql14JitPackages.cstore_fdw | |
x86_64-darwin haskellPackages.amazonka-mediastore-dataplane | |
x86_64-linux monotoneViz | |
x86_64-linux kdePackages.waylib | |
x86_64-linux emacsPackages.swift3-mode | |
aarch64-linux bibtex-tidy | |
x86_64-darwin exportarr | |
aarch64-darwin haskellPackages.neononempty | |
x86_64-linux xfontsel | |
aarch64-darwin python311Packages.b2sdk | |
aarch64-darwin u9fs | |
x86_64-linux qtemu | |
aarch64-linux python311Packages.worldengine | |
aarch64-darwin hivex | |
x86_64-linux minecraftServers.vanilla-1-8 | |
x86_64-darwin haskellPackages.cabal-pkg-config-version-hook | |
x86_64-darwin libsvm | |
x86_64-darwin python312Packages.pdfrw | |
aarch64-darwin python311Packages.types-aiobotocore-appflow | |
aarch64-linux haskellPackages.phonetic-code | |
x86_64-linux python311Packages.graphql-server-core | |
aarch64-darwin haskellPackages.t3-game | |
aarch64-darwin librealsenseWithoutCuda | |
aarch64-darwin perl536Packages.MathBigIntLite | |
aarch64-darwin perl536Packages.ClassTiny | |
x86_64-darwin python312Packages.msal | |
aarch64-darwin python311Packages.types-aiobotocore-codebuild | |
x86_64-darwin python312Packages.faraday-agent-parameters-types | |
x86_64-darwin perl538Packages.LocaleGettext | |
aarch64-darwin emacsPackages.flymake-solidity | |
x86_64-linux python311Packages.binwalk | |
x86_64-darwin haskellPackages.libssh2-conduit | |
aarch64-darwin python312Packages.iwlib | |
x86_64-linux linuxPackages_6_1_hardened.r8125 | |
aarch64-linux python312Packages.fastjsonschema | |
aarch64-linux haskellPackages.advent-of-code-ocr | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-171 | |
x86_64-linux gen-license | |
aarch64-darwin python312Packages.types-aiobotocore-ec2-instance-connect | |
x86_64-linux linuxPackages_latest-libre.nvidia_x11_production_open | |
aarch64-linux xfce.xfce4-mailwatch-plugin | |
x86_64-linux emacsPackages.persistent-overlays | |
aarch64-darwin mubeng | |
x86_64-linux python311Packages.jsonpath-rw | |
aarch64-linux python312Packages.clickhouse-cityhash | |
x86_64-linux emacsPackages.minimal-theme | |
x86_64-darwin chickenPackages_5.chickenEggs.gnuplot-pipe | |
aarch64-darwin dialogbox | |
aarch64-darwin haskellPackages.multiset | |
aarch64-darwin perl536Packages.LWPMediaTypes | |
aarch64-darwin haskellPackages.amazonka-quicksight | |
aarch64-darwin emacsPackages.sql-presto | |
aarch64-linux kube-bench | |
x86_64-darwin findutils | |
aarch64-linux linuxKernel.packages.linux_latest_libre.apfs | |
aarch64-linux emacsPackages.vdiff-magit | |
aarch64-linux python312Packages.twitch-python | |
x86_64-linux bluez | |
x86_64-darwin haskellPackages.goldplate | |
aarch64-linux usbguard-notifier | |
x86_64-darwin python311Packages.macfsevents | |
x86_64-darwin netbox_3_7 | |
x86_64-linux vault-medusa | |
x86_64-linux python311Packages.aiohttp-wsgi | |
x86_64-linux home-assistant-component-tests.dnsip | |
x86_64-darwin geek-life | |
x86_64-linux python312Packages.pyqt5 | |
x86_64-darwin perl538Packages.FCGIClient | |
aarch64-darwin python311Packages.python-ecobee-api | |
x86_64-linux haskellPackages.binary-generic-combinators | |
aarch64-linux python311Packages.multidict | |
aarch64-linux python311Packages.pyyardian | |
x86_64-darwin haskellPackages.users-test | |
x86_64-linux linuxPackages_latest-libre.ena | |
x86_64-darwin cargo-mutants | |
x86_64-linux haskellPackages.dataurl | |
aarch64-darwin python311Packages.pyperscan | |
x86_64-linux darkice | |
x86_64-linux python311Packages.ropper | |
aarch64-linux dgen-sdl | |
x86_64-darwin emacsPackages.ibuffer-tramp | |
x86_64-linux haskellPackages.DCFL | |
x86_64-linux haskellPackages.uulib | |
x86_64-linux alarm-clock-applet | |
x86_64-linux gnomeExtensions.monitor-window-switcher-2 | |
aarch64-linux luaPackages.fzf-lua | |
aarch64-darwin haskellPackages.protolude | |
x86_64-linux python311Packages.types-aiobotocore-connectcampaigns | |
aarch64-darwin perl538Packages.Redis | |
x86_64-linux haskellPackages.amrun | |
x86_64-linux pantheon.elementary-screenshot | |
aarch64-darwin haskellPackages.text-icu | |
aarch64-linux enlightenment.efl | |
x86_64-darwin emacsPackages.beeminder | |
x86_64-linux python312Packages.xmldiff | |
aarch64-darwin haskellPackages.redis-glob | |
aarch64-linux python312Packages.httpagentparser | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.ax99100 | |
x86_64-linux emacsPackages.flycheck-phpstan | |
x86_64-darwin python311Packages.imagededup | |
x86_64-darwin pycritty | |
x86_64-darwin efm-langserver | |
x86_64-darwin python311Packages.lxml | |
aarch64-linux linuxPackages_latest.evdi | |
x86_64-linux python312Packages.keras-applications | |
x86_64-darwin plasma5Packages.kxmlgui | |
aarch64-darwin emacsPackages.color-theme-sanityinc-solarized | |
x86_64-linux frei0r | |
aarch64-linux python311Packages.mypy-boto3-apigateway | |
x86_64-linux haskellPackages.classy-prelude | |
aarch64-linux linuxKernel.packages.linux_6_8.r8168 | |
x86_64-linux bilibili | |
aarch64-darwin dovecot_pigeonhole | |
x86_64-linux klipper-estimator | |
x86_64-linux python311Packages.esphome-dashboard-api | |
x86_64-darwin python312Packages.pox | |
x86_64-darwin emacsPackages.git-cliff | |
x86_64-darwin emacsPackages.habitica | |
aarch64-linux lua54Packages.luadbi-mysql | |
aarch64-darwin patsh | |
x86_64-darwin emacsPackages.abridge-diff | |
x86_64-darwin python312Packages.stringcase | |
x86_64-linux linuxPackages_5_15_hardened.zenpower | |
aarch64-linux python311Packages.mypy-boto3-cloudtrail | |
x86_64-linux python311Packages.types-aiobotocore-devops-guru | |
aarch64-darwin emacsPackages.kmacro-x | |
aarch64-linux python312Packages.dyn | |
aarch64-linux vimPlugins.vim-bookmarks | |
aarch64-linux python312Packages.screed | |
x86_64-linux armcord | |
aarch64-linux haskellPackages.HsYAML-aeson | |
x86_64-darwin emacsPackages.region-occurrences-highlighter | |
x86_64-linux perl536Packages.BarcodeZBar | |
aarch64-linux sirius | |
x86_64-darwin leo2 | |
x86_64-darwin python312Packages.flask-mailman | |
x86_64-darwin python311Packages.certipy | |
aarch64-linux libsForQt5.kxmlgui | |
x86_64-linux postgresql14Packages.apache_datasketches | |
aarch64-darwin perl536Packages.PDFAPI2 | |
x86_64-linux python312Packages.kaitaistruct | |
x86_64-darwin python311Packages.types-aiobotocore-sms | |
x86_64-darwin emacsPackages.log4e | |
x86_64-darwin chickenPackages_5.chickenEggs.opengl | |
x86_64-darwin tmuxPlugins.session-wizard | |
aarch64-linux emacsPackages.urgrep | |
aarch64-darwin perl538Packages.ClassC3AdoptNEXT | |
aarch64-linux python311Packages.teslajsonpy | |
aarch64-linux haskellPackages.amazonka-kinesis-video-media | |
x86_64-linux python311Packages.smmap | |
x86_64-darwin dwarf-fortress-packages.dwarf-fortress_0_47_02 | |
x86_64-linux hash-slinger | |
aarch64-darwin polylux2pdfpc | |
x86_64-darwin python312Packages.meross-iot | |
aarch64-linux haskellPackages.darcs-scripts | |
aarch64-linux libsForQt5.kldap | |
aarch64-darwin perl536Packages.CompressRawLzma | |
aarch64-darwin python312Packages.databases | |
x86_64-linux python312Packages.pyjwkest | |
aarch64-linux gitqlient | |
x86_64-darwin haskellPackages.reflection | |
aarch64-linux haskellPackages.fair-predicates | |
x86_64-linux haskellPackages.hmatrix-quadprogpp | |
aarch64-darwin azure-cli-extensions.dynatrace | |
x86_64-linux pekwm | |
x86_64-darwin perl538Packages.BoostGeometryUtils | |
aarch64-linux postgresql12JitPackages.pg_hint_plan | |
aarch64-darwin emacsPackages.lms | |
aarch64-linux libsForQt5.baloo-widgets | |
x86_64-darwin perl536Packages.Gtk2TrayIcon | |
aarch64-darwin rubyPackages_3_1.connection_pool | |
x86_64-linux emacsPackages.tldr | |
aarch64-linux xorg.libXtst | |
x86_64-darwin memorymapping | |
x86_64-linux vimPlugins.eyeliner-nvim | |
aarch64-linux xplorer | |
x86_64-darwin python311Packages.aioimaplib | |
aarch64-linux linuxKernel.packages.linux_xanmod.ch9344 | |
x86_64-darwin emacsPackages.concurrent | |
aarch64-darwin groestlcoin | |
x86_64-darwin python312Packages.quantiphy-eval | |
aarch64-linux python311Packages.robomachine | |
aarch64-darwin loupe | |
x86_64-linux python311Packages.django-rosetta | |
aarch64-darwin python311Packages.nplusone | |
aarch64-linux haskellPackages.finite-typelits | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.pug | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.tmux | |
x86_64-darwin chickenPackages_5.chickenEggs.bloom-filter | |
x86_64-darwin emacsPackages.magit-delta | |
aarch64-linux pantheon.wingpanel-with-indicators | |
aarch64-linux linuxKernel.packages.linux_xanmod.lkrg | |
aarch64-darwin erlang_24 | |
x86_64-linux python311Packages.flask-mailman | |
aarch64-darwin haskellPackages.sorting-network | |
aarch64-darwin perl538Packages.LinguaStemFr | |
aarch64-linux python312Packages.roku | |
aarch64-linux rubyPackages_3_2.excon | |
x86_64-linux dtool | |
x86_64-darwin haskellPackages.bluefin-internal | |
aarch64-linux python311Packages.jsonnet | |
aarch64-linux emacsPackages.opencl-mode | |
x86_64-darwin python312Packages.mypy-boto3-sdb | |
x86_64-darwin python312Packages.textual-dev | |
aarch64-darwin python311Packages.mechanize | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.janet_simple | |
aarch64-darwin perl538Packages.Test2PluginUUID | |
x86_64-linux python311Packages.ipwhois | |
aarch64-linux libwtk-sdl2 | |
aarch64-darwin annextimelog | |
aarch64-linux emacsPackages.vc-got | |
x86_64-linux linuxPackages_5_10_hardened.kvdo | |
x86_64-darwin python312Packages.adguardhome | |
x86_64-darwin rubyPackages_3_3.pcaprub | |
aarch64-darwin cambalache | |
aarch64-darwin perl536Packages.DataStreamBulk | |
x86_64-linux python311Packages.mccabe | |
x86_64-linux python312Packages.waqiasync | |
x86_64-linux linuxPackages_xanmod_latest.phc-intel | |
x86_64-darwin emacsPackages.symbol-navigation-hydra | |
x86_64-linux linuxKernel.packages.linux_5_4.phc-intel | |
aarch64-linux rubyPackages_3_2.curses | |
aarch64-darwin python311Packages.odp-amsterdam | |
aarch64-darwin sl1-to-photon | |
aarch64-linux haskellPackages.Hastodon | |
aarch64-linux python312Packages.mypy-boto3-iotfleetwise | |
aarch64-linux haskellPackages.retrie | |
x86_64-darwin emacsPackages.railscasts-theme | |
x86_64-darwin python311Packages.ansi2html | |
x86_64-darwin emacsPackages.weechat | |
aarch64-darwin perl536Packages.CryptRandomSource | |
aarch64-darwin python311Packages.elgato | |
aarch64-darwin ante | |
aarch64-darwin evolution-data-server-gtk4 | |
aarch64-darwin python312Packages.cvelib | |
x86_64-linux home-assistant-component-tests.airthings_ble | |
aarch64-linux emacsPackages.mozc | |
x86_64-darwin python311Packages.umodbus | |
aarch64-linux emacsPackages.rmsbolt | |
x86_64-linux rubyPackages.gtk3 | |
x86_64-darwin hunspellDicts.es-uy | |
x86_64-darwin perl538Packages.GD | |
aarch64-linux bambootracker-qt6 | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.rtl8821cu | |
x86_64-linux leftwm | |
aarch64-darwin haskellPackages.distribution-opensuse | |
aarch64-darwin pgo-client | |
aarch64-linux emacsPackages.leanote | |
aarch64-darwin emacsPackages.old-norse-input | |
x86_64-linux haskellPackages.numeric-optimization-ad | |
x86_64-darwin emacsPackages.github-theme | |
x86_64-linux lapack-ilp64 | |
x86_64-darwin haskellPackages.shake-literate | |
x86_64-linux tome4 | |
aarch64-darwin emacsPackages.ace-jump-helm-line | |
aarch64-linux python311Packages.qtawesome | |
x86_64-linux linuxKernel.packages.linux_4_19.r8125 | |
aarch64-darwin haskellPackages.proquint | |
x86_64-linux python311Packages.pegen | |
aarch64-linux emacsPackages.zones | |
x86_64-linux haskellPackages.Histogram | |
aarch64-darwin texinfo | |
aarch64-darwin haskellPackages.hs-opentelemetry-propagator-w3c | |
aarch64-linux haskellPackages.prettyprinter-lucid | |
x86_64-darwin iceshelf | |
aarch64-linux python311Packages.recurring-ical-events | |
aarch64-linux hotdoc | |
aarch64-darwin perl538Packages.NetIDNEncode | |
x86_64-linux emacsPackages.font-utils | |
x86_64-darwin python312Packages.dacite | |
aarch64-linux haskellPackages.option | |
x86_64-darwin haskellPackages.amazonka-rds | |
x86_64-linux emacsPackages.jmt-mode | |
x86_64-linux trashy | |
aarch64-linux urh | |
x86_64-darwin emacsPackages.ivy-emoji | |
x86_64-linux home-assistant-component-tests.fritz | |
aarch64-linux haskellPackages.postgresql-error-codes | |
aarch64-darwin haskellPackages.bitstring | |
aarch64-linux gitlab-workhorse | |
x86_64-linux arsenal | |
aarch64-darwin python311Packages.georss-wa-dfes-client | |
x86_64-darwin haskellPackages.commutative-semigroups | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.tp_smapi | |
x86_64-linux cudaPackagesGoogle.cudnn | |
aarch64-linux armadillo | |
x86_64-darwin python311Packages.aiohttp-cors | |
x86_64-linux python311Packages.devito | |
x86_64-darwin haskellPackages.amazonka-mediapackage-vod | |
x86_64-linux nix-search-cli | |
x86_64-darwin python311Packages.linode | |
aarch64-linux meilisearch | |
x86_64-linux cudaPackages_11.nccl-tests | |
x86_64-linux python311Packages.drf-spectacular | |
aarch64-linux python312Packages.time-machine | |
x86_64-darwin terraform-providers.gitlab | |
x86_64-darwin cargo-semver-checks | |
aarch64-darwin vimPlugins.MatchTagAlways | |
x86_64-linux haskellPackages.purescheme-wai-routing-core | |
aarch64-darwin python311Packages.pyfttt | |
aarch64-linux patray | |
x86_64-linux python312Packages.types-urllib3 | |
x86_64-darwin perl536Packages.BusinessISMN | |
x86_64-linux python312Packages.pyfttt | |
x86_64-linux glee | |
aarch64-darwin openmsx | |
aarch64-darwin haskellPackages.riak-protobuf | |
aarch64-darwin python312Packages.stringly | |
aarch64-darwin edwin | |
x86_64-darwin python312Packages.should-dsl | |
x86_64-linux kdePackages.kapptemplate | |
x86_64-darwin haskellPackages.sdl-try-drivers | |
aarch64-linux gst | |
aarch64-linux python311Packages.pweave | |
aarch64-darwin browsh | |
x86_64-darwin emacsPackages.elog | |
aarch64-darwin rubyPackages.mini_magick | |
x86_64-linux luajitPackages.plenary-nvim | |
aarch64-linux quicktemplate | |
aarch64-linux python311Packages.python-heatclient | |
x86_64-darwin llvmPackages_18.mlir | |
x86_64-linux python311Packages.lazy | |
x86_64-linux haskellPackages.fakedata | |
x86_64-linux python311Packages.wn | |
x86_64-darwin haskellPackages.spherical | |
aarch64-linux emacsPackages.dracula-theme | |
aarch64-darwin emacsPackages.dockerfile-mode | |
x86_64-darwin python311Packages.tldextract | |
x86_64-darwin haskellPackages.annotated-wl-pprint | |
aarch64-linux home-assistant-component-tests.motionmount | |
x86_64-linux xmr-stak | |
aarch64-linux haskellPackages.si-timers | |
aarch64-darwin stackit-cli | |
x86_64-linux python311Packages.pysatochip | |
aarch64-linux python311Packages.pivy | |
x86_64-linux lerpn | |
x86_64-linux haskellPackages.network-bsd | |
x86_64-linux postgresql12Packages.pg_roaringbitmap | |
aarch64-darwin oldsindhi | |
aarch64-linux amp | |
aarch64-darwin python312Packages.jira | |
x86_64-darwin lemmeknow | |
x86_64-darwin mozphab | |
aarch64-linux linuxKernel.packages.linux_6_1.trelay | |
aarch64-darwin tuptime | |
x86_64-darwin python311Packages.univers | |
aarch64-darwin emacsPackages.epoch-view | |
aarch64-darwin haskellPackages.amazonka-robomaker | |
x86_64-darwin emacsPackages.lyrics | |
aarch64-linux soft-serve | |
x86_64-linux python311Packages.extractcode-7z | |
aarch64-linux python312Packages.pytest-xdist | |
aarch64-darwin tabula-java | |
x86_64-darwin python311Packages.fschat | |
x86_64-linux python312Packages.type-infer | |
x86_64-darwin vimPlugins.vim-easyescape | |
aarch64-linux python311Packages.schemdraw | |
aarch64-linux rubyPackages_3_3.mini_magick | |
x86_64-darwin xorg.fontbhlucidatypewriter100dpi | |
aarch64-darwin coqPackages.paco | |
aarch64-linux python311Packages.google-pasta | |
x86_64-darwin python311Packages.pysyncobj | |
x86_64-darwin reno | |
aarch64-linux linuxKernel.packages.linux_4_19.netatop | |
aarch64-darwin haskellPackages.text-show-instances | |
aarch64-darwin tlrc | |
x86_64-darwin php82Packages.box | |
aarch64-linux python311Packages.pyads | |
aarch64-darwin emacsPackages.ert-results | |
aarch64-darwin emacsPackages.ox-slack | |
aarch64-linux haskellPackages.csv | |
aarch64-darwin haskellPackages.amazonka-kafka | |
x86_64-darwin python312Packages.preprocess-cancellation | |
x86_64-linux haskellPackages.amazonka-iotthingsgraph | |
x86_64-darwin sayonara | |
x86_64-linux crack_attack | |
aarch64-darwin php81Packages.deployer | |
x86_64-linux keepass-otpkeyprov | |
x86_64-darwin haskellPackages.memoize | |
x86_64-linux ssmsh | |
x86_64-linux archivemount | |
x86_64-linux linuxKernel.packages.linux_latest_libre.bcc | |
aarch64-darwin vimPlugins.vim-rsi | |
aarch64-linux azure-cli-extensions.dns-resolver | |
x86_64-darwin haskellPackages.doclayout | |
x86_64-linux driftctl | |
aarch64-darwin python311Packages.ufo2ft | |
aarch64-linux lua51Packages.luadbi-mysql | |
aarch64-darwin pngcrush | |
aarch64-linux python311Packages.brelpy | |
aarch64-darwin chickenPackages_5.chickenEggs.shen | |
aarch64-linux python311Packages.dissect-ffs | |
aarch64-linux pop | |
x86_64-linux python312Packages.gpxpy | |
aarch64-linux python311Packages.goocalendar | |
aarch64-darwin haskellPackages.timespan | |
x86_64-darwin qtox | |
aarch64-linux haskellPackages.dhall-nix | |
x86_64-darwin haskellPackages.elf | |
x86_64-linux noto-fonts-color-emoji | |
aarch64-linux arc_unpacker | |
x86_64-linux innernet | |
aarch64-linux haskellPackages.amazonka-cloud9 | |
aarch64-linux serf | |
aarch64-darwin haskellPackages.wai-middleware-gunzip | |
x86_64-darwin emacsPackages.xwwp-follow-link-ivy | |
x86_64-linux python312Packages.mypy-boto3-redshift-serverless | |
x86_64-darwin jose | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.rtl8723ds | |
aarch64-linux python311Packages.baycomp | |
x86_64-darwin perl538Packages.NetIMAPClient | |
x86_64-linux haskellPackages.gdo | |
aarch64-linux emacsPackages.org-clock-reminder | |
x86_64-darwin python312Packages.plyfile | |
aarch64-linux emacsPackages.turing-machine | |
aarch64-linux xfce.xfce4-i3-workspaces-plugin | |
x86_64-linux python311Packages.types-aiobotocore-mediastore | |
x86_64-darwin aspellDicts.az | |
x86_64-linux python312Packages.nebula3-python | |
aarch64-linux haskellPackages.free-categories | |
x86_64-darwin qt6.qtconnectivity | |
x86_64-linux perl538Packages.DistZillaPluginReadmeMarkdownFromPod | |
aarch64-linux python312Packages.sphinxcontrib-websupport | |
x86_64-darwin elmPackages.elm-live | |
aarch64-darwin emacsPackages.enlive | |
x86_64-linux glpaper | |
x86_64-darwin python312Packages.jsonmerge | |
aarch64-linux home-assistant-component-tests.aladdin_connect | |
aarch64-linux autotiling | |
x86_64-linux emacsPackages.semantic-thrift | |
x86_64-linux haskellPackages.renderable | |
aarch64-linux mqttui | |
x86_64-linux python311Packages.ipyxact | |
x86_64-darwin python312Packages.aiomysensors | |
aarch64-linux linuxKernel.packages.linux_zen.digimend | |
aarch64-linux haskellPackages.language-c99-simple | |
aarch64-darwin python311Packages.oscpy | |
aarch64-linux python312Packages.yamllint | |
x86_64-darwin emacsPackages.spacegray-theme | |
x86_64-linux cargo-public-api | |
aarch64-linux libsegfault | |
aarch64-darwin perl536Packages.LocaleTextDomainOO | |
aarch64-darwin scalpel | |
aarch64-darwin darwin.stdenvNoCF | |
x86_64-darwin emacsPackages.proof-general | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.gcadapter-oc-kmod | |
x86_64-linux prowler | |
aarch64-darwin emacsPackages.org-epa-gpg | |
x86_64-darwin pyupgrade | |
aarch64-linux haskellPackages.distribution-nixpkgs | |
aarch64-linux llvmPackages_18.libunwind | |
aarch64-darwin emacsPackages.ox-tufte | |
x86_64-linux haskellPackages.haeredes | |
aarch64-darwin snooze | |
x86_64-linux linuxPackages.rtl8189fs | |
aarch64-linux python311Packages.myst-nb | |
aarch64-linux haskellPackages.ap-normalize | |
aarch64-darwin emacsPackages.cljr-ivy | |
aarch64-linux emacsPackages.tzc | |
x86_64-linux emacsPackages.avy-migemo | |
aarch64-linux linuxKernel.packages.linux_4_19.mba6x_bl | |
x86_64-darwin vimPlugins.vim-racket | |
x86_64-darwin gnirehtet | |
aarch64-linux emacsPackages.bar-cursor | |
x86_64-linux linuxPackages_hardened.mwprocapture | |
x86_64-linux python311Packages.allure-python-commons-test | |
aarch64-darwin python311Packages.wheel | |
aarch64-darwin menumaker | |
x86_64-linux protoc-gen-prost | |
aarch64-linux home-assistant-component-tests.sensibo | |
aarch64-linux lightspark | |
x86_64-linux python312Packages.clf | |
aarch64-linux emacsPackages.org-projectile | |
x86_64-linux perl538Packages.Gnome2Wnck | |
aarch64-darwin python312Packages.regex | |
aarch64-linux freqtweak | |
x86_64-darwin python311Packages.bleach | |
aarch64-linux haskellPackages.ghcide | |
aarch64-linux haskellPackages.aeson-optics | |
aarch64-linux python311Packages.pytest-timeout | |
aarch64-darwin python312Packages.types-aiobotocore-ecs | |
aarch64-linux python312Packages.zha-quirks | |
x86_64-darwin emacsPackages.image-dired+ | |
x86_64-darwin emacsPackages.idomenu | |
aarch64-linux emacsPackages.orglink | |
x86_64-linux haskellPackages.HaXml | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.rust | |
aarch64-darwin zsh-history | |
x86_64-linux linuxKernel.packages.linux_xanmod.mba6x_bl | |
aarch64-linux vimPlugins.vim-airline | |
aarch64-darwin ogre_14 | |
x86_64-linux osv-scanner | |
x86_64-darwin perl538Packages.TestFutureIOImpl | |
x86_64-darwin nixops_unstablePlugins.nixopsvbox | |
aarch64-darwin python311Packages.mypy-boto3-healthlake | |
x86_64-linux linuxKernel.packages.linux_6_6.rtl8189es | |
x86_64-linux home-assistant-component-tests.persistent_notification | |
x86_64-linux python312Packages.ufonormalizer | |
aarch64-darwin emacsPackages.ignoramus | |
x86_64-linux caerbannog | |
aarch64-linux heimer | |
aarch64-darwin python312Packages.django-tagging | |
aarch64-linux python311Packages.random2 | |
aarch64-linux tabby | |
aarch64-darwin emacsPackages.dtext-mode | |
aarch64-darwin python311Packages.pyworld | |
aarch64-linux kodiPackages.visualization-spectrum | |
aarch64-linux vimPlugins.cmd-parser-nvim | |
aarch64-linux opentelemetry-collector-contrib | |
x86_64-linux home-assistant-component-tests.spotify | |
x86_64-linux gnome.gvfs | |
aarch64-linux emacsPackages.diffpdf | |
aarch64-linux catch2_3 | |
x86_64-darwin emacsPackages.hercules | |
aarch64-darwin rubyPackages_3_1.elftools | |
x86_64-linux lxde.lxappearance-gtk2 | |
x86_64-darwin python312Packages.aiodhcpwatcher | |
x86_64-linux home-assistant-component-tests.august | |
aarch64-linux python312Packages.llama-index-vector-stores-google | |
x86_64-linux python312Packages.pyrfc3339 | |
x86_64-linux giph | |
aarch64-linux python311Packages.leb128 | |
x86_64-darwin python312Packages.meson-python | |
x86_64-darwin xeve | |
x86_64-darwin rubyPackages_3_1.mab | |
x86_64-darwin aspellDicts.ar | |
x86_64-linux rust-petname | |
x86_64-linux haskellPackages.gdiff | |
x86_64-darwin python311Packages.django-model-utils | |
aarch64-darwin perl536Packages.CLASS | |
x86_64-linux python312Packages.humanize | |
aarch64-darwin azure-cli-extensions.network-analytics | |
x86_64-darwin mpvScripts.acompressor | |
aarch64-darwin python312Packages.chainmap | |
x86_64-darwin hugs | |
aarch64-linux rubyPackages_3_2.bacon | |
x86_64-darwin emacsPackages.ialign | |
x86_64-linux python312Packages.pypng | |
x86_64-linux emacsPackages.osx-pseudo-daemon | |
aarch64-linux haskellPackages.language-css | |
x86_64-linux linuxPackages_6_6_hardened.rtl8814au | |
aarch64-darwin haskellPackages.logging-effect-colors | |
aarch64-linux python312Packages.skia-pathops | |
x86_64-linux linuxPackages_latest-libre.evdi | |
x86_64-darwin python312Packages.plum-py | |
aarch64-linux emacsPackages.org-side-tree | |
aarch64-darwin rubyPackages.actionmailbox | |
x86_64-darwin python311Packages.distorm3 | |
x86_64-darwin gtkmm4 | |
x86_64-linux void | |
x86_64-linux gst_all_1.gstreamer | |
aarch64-darwin jp | |
x86_64-darwin vimPlugins.palenightfall-nvim | |
x86_64-linux python311Packages.pycsdr | |
aarch64-darwin vimPlugins.context-vim | |
aarch64-darwin perl536Packages.CryptPassphrase | |
x86_64-darwin haskellPackages.schema | |
aarch64-darwin python311Packages.pyvisa-py | |
aarch64-linux professor | |
x86_64-linux swaymux | |
x86_64-linux systemdLibs | |
aarch64-darwin python312Packages.munkres | |
x86_64-linux home-assistant-component-tests.yeelight | |
x86_64-darwin haskellPackages.hgdal | |
aarch64-darwin python312Packages.types-html5lib | |
x86_64-darwin graylogPlugins.spaceweather | |
aarch64-linux haskellPackages.hedgehog | |
x86_64-linux luajitPackages.luassert | |
x86_64-darwin uair | |
x86_64-linux sbclPackages.cl-cffi-gtk-gdk-pixbuf | |
x86_64-darwin python312Packages.rnc2rng | |
aarch64-linux emacsPackages.xref-js2 | |
aarch64-darwin python311Packages.mypy-boto3-qldb-session | |
x86_64-darwin haskellPackages.plaid | |
aarch64-darwin emacsPackages.tiny | |
aarch64-darwin elasticsearchPlugins.analysis-phonetic | |
aarch64-linux emacsPackages.run-stuff | |
x86_64-darwin emacsPackages.v-mode | |
aarch64-darwin python312Packages.zope-size | |
x86_64-darwin passwdqc | |
aarch64-darwin python312Packages.google-crc32c | |
x86_64-darwin perl536Packages.NetOpenIDCommon | |
x86_64-linux haskellPackages.hschema-quickcheck | |
aarch64-darwin libsForQt5.qtdatavis3d | |
x86_64-darwin python311Packages.mypy-boto3-backupstorage | |
aarch64-linux plasma5Packages.libqofono | |
x86_64-darwin rubyPackages.execjs | |
aarch64-linux linuxKernel.packages.linux_hardened.zfs | |
aarch64-darwin haskellPackages.ast-path | |
x86_64-darwin kcc | |
x86_64-linux python312Packages.python-datemath | |
aarch64-darwin python312Packages.pure-protobuf | |
x86_64-linux kmsxx | |
x86_64-linux home-assistant-component-tests.foscam | |
x86_64-darwin python311Packages.mypy-boto3-rum | |
x86_64-linux haskellPackages.resolv | |
x86_64-darwin perl538Packages.MooX | |
x86_64-darwin libsForQt5.kbookmarks | |
x86_64-linux plasma5Packages.kio-gdrive | |
aarch64-darwin gawkextlib.pgsql | |
x86_64-darwin python312Packages.twitchapi | |
aarch64-linux emacsPackages.xwwp-follow-link-ivy | |
x86_64-linux vimPlugins.zeavim-vim | |
aarch64-darwin haskellPackages.oidc-client | |
aarch64-linux emacsPackages.java-snippets | |
aarch64-linux libsForQt5.layer-shell-qt | |
aarch64-darwin python312Packages.anyascii | |
x86_64-darwin python311Packages.pluthon | |
x86_64-linux python312Packages.structlog | |
x86_64-linux mcomix | |
x86_64-darwin python312Packages.pyopencl | |
aarch64-linux emacsPackages.project-shells | |
aarch64-darwin python311Packages.llama-index-readers-llama-parse | |
aarch64-linux dblab | |
aarch64-darwin python311Packages.gpytorch | |
aarch64-darwin guile-lzma | |
aarch64-darwin python312Packages.localzone | |
x86_64-darwin haskellPackages.graph-trace-dot | |
x86_64-linux python311Packages.littleutils | |
aarch64-linux python311Packages.cmdline | |
aarch64-darwin signal-cli | |
aarch64-darwin terraform-providers.vcd | |
aarch64-darwin vkbasalt-cli | |
x86_64-linux emacsPackages.buttercup | |
x86_64-linux python311Packages.trimesh | |
x86_64-linux luaPackages.lualogging | |
x86_64-darwin python311Packages.pytest-tap | |
x86_64-linux vimPlugins.gitv | |
aarch64-linux python312Packages.fuzzytm | |
x86_64-linux llvmPackages_15.lldb | |
x86_64-linux kdePackages.ffmpegthumbs | |
aarch64-linux python311Packages.robotframework-databaselibrary | |
x86_64-darwin vimPlugins.vimade | |
aarch64-linux lua54Packages.rocks-git-nvim | |
x86_64-linux postfix | |
x86_64-darwin python312Packages.pygount | |
aarch64-linux rubyPackages_3_2.re2 | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.luadoc | |
x86_64-linux emacsPackages.xcscope | |
x86_64-linux plasma5Packages.partitionmanager | |
x86_64-linux linuxKernel.packages.linux_6_6.usbip | |
aarch64-darwin python311Packages.asn1ate | |
x86_64-linux postgresql13Packages.hypopg | |
x86_64-linux emacsPackages.mermaid-docker-mode | |
aarch64-linux python311Packages.stemming | |
aarch64-linux python311Packages.gtfs-realtime-bindings | |
aarch64-darwin rubyPackages_3_3.railties | |
aarch64-darwin todo-txt-cli | |
x86_64-darwin python311Packages.posix-ipc | |
aarch64-darwin luajitPackages.lua-cmsgpack | |
x86_64-darwin hexbinhex | |
aarch64-darwin vimPlugins.vim-signature | |
x86_64-linux h2o | |
aarch64-linux perl536Packages.NetNVD | |
x86_64-darwin twitch-tui | |
aarch64-darwin charls | |
x86_64-linux keycard-cli | |
aarch64-darwin haskellPackages.SQLDeps | |
aarch64-darwin rubyPackages.pry-doc | |
aarch64-darwin haskellPackages.ix-shapable | |
aarch64-linux rubyPackages.glib2 | |
x86_64-linux python311Packages.types-aiobotocore-codebuild | |
aarch64-darwin perl538Packages.ClassAutouse | |
x86_64-darwin python311Packages.sisyphus-control | |
x86_64-linux python312Packages.pdoc | |
x86_64-linux libsForQt5.kio-extras | |
x86_64-darwin python311Packages.csscompressor | |
x86_64-darwin perl536Packages.PerlMinimumVersion | |
aarch64-linux boxxy | |
x86_64-linux emacsPackages.yaml-mode | |
x86_64-linux libsForQt5.fcitx5-skk-qt | |
x86_64-linux python312Packages.loopy | |
x86_64-darwin haskellPackages.little-rio | |
aarch64-darwin sha2wordlist | |
aarch64-darwin sing-box | |
aarch64-darwin bsync | |
aarch64-linux ffmpeg-headless | |
aarch64-linux home-assistant-component-tests.squeezebox | |
aarch64-linux python311Packages.fake-useragent | |
aarch64-darwin perl536Packages.MooseXTypesStringlike | |
x86_64-darwin haskellPackages.ptr-poker | |
aarch64-linux gnome2.GConf | |
aarch64-linux python311Packages.html-tag-names | |
aarch64-darwin python312Packages.cgen | |
x86_64-darwin haskellPackages.dtrace | |
x86_64-darwin ecmtools | |
x86_64-darwin python311Packages.junit-xml | |
aarch64-linux qbittorrent-nox | |
aarch64-linux emacsPackages.elm-mode | |
x86_64-darwin newsraft | |
x86_64-linux python311Packages.mypy-boto3-appsync | |
aarch64-linux ultrastardx | |
x86_64-darwin python311Packages.zope-configuration | |
aarch64-darwin python311Packages.albumentations | |
aarch64-linux emacsPackages.git-gutter | |
aarch64-darwin python311Packages.cfgv | |
aarch64-linux python311Packages.spdx | |
aarch64-linux haskellPackages.concurrent-dns-cache | |
x86_64-darwin phpactor | |
x86_64-linux rubyPackages_3_2.kramdown-parser-gfm | |
x86_64-linux python311Packages.pdoc3 | |
aarch64-darwin vimPlugins.nvim-jdtls | |
x86_64-darwin skawarePackages.s6-rc-man-pages | |
x86_64-darwin perl536Packages.Testmysqld | |
aarch64-darwin dsd | |
x86_64-linux octavePackages.splines | |
aarch64-linux home-assistant-component-tests.emonitor | |
x86_64-linux melange | |
aarch64-linux postgresql14Packages.timescaledb | |
aarch64-linux haskellPackages.crypto-cipher-tests | |
aarch64-linux vimPlugins.vim-bufkill | |
x86_64-linux vimPlugins.goyo-vim | |
aarch64-darwin libutp | |
x86_64-linux haskellPackages.ghc-call-stack-extras | |
x86_64-linux gnome.networkmanager-fortisslvpn | |
aarch64-linux python311Packages.hpp-fcl | |
aarch64-linux emacsPackages.mini-header-line | |
x86_64-linux python311Packages.fordpass | |
aarch64-darwin vimPlugins.syntastic | |
x86_64-darwin yatas | |
x86_64-darwin python312Packages.openapi-schema-validator | |
aarch64-darwin haskellPackages.recommender-als | |
x86_64-linux slock | |
aarch64-linux emacsPackages.github-stars | |
x86_64-darwin perl536Packages.TieHandleOffset | |
x86_64-darwin python311Packages.ledgerwallet | |
aarch64-darwin aspellDicts.nb | |
x86_64-darwin python311Packages.pytube | |
x86_64-darwin python312Packages.python-louvain | |
aarch64-darwin python312Packages.pytimeparse | |
x86_64-linux wavm | |
x86_64-darwin quilt | |
x86_64-darwin emacsPackages.flymake-haskell-multi | |
aarch64-darwin python311Packages.kiwiki-client | |
x86_64-linux python311Packages.pastel | |
aarch64-linux haskellPackages.monadLib | |
x86_64-darwin vimPlugins.SudoEdit-vim | |
aarch64-linux idrisPackages.cube | |
aarch64-linux python312Packages.fs | |
x86_64-linux linuxKernel.packages.linux_6_6.zfs | |
aarch64-linux frida-tools | |
aarch64-darwin handbrake | |
x86_64-darwin python311Packages.pulumi | |
x86_64-linux linuxKernel.packages.linux_6_8.system76 | |
aarch64-darwin rubyPackages_3_2.snmp | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.elsa | |
aarch64-darwin cpptoml | |
x86_64-linux emacsPackages.coterm | |
x86_64-linux mpvScripts.webtorrent-mpv-hook | |
aarch64-linux haskellPackages.hsConfigure | |
aarch64-linux ddcutil | |
aarch64-linux gmrun | |
x86_64-darwin inform7 | |
aarch64-linux haskellPackages.deriving-show-simple | |
x86_64-linux mate.mate-netbook | |
x86_64-darwin rubyPackages_3_1.rpam2 | |
aarch64-darwin perl536Packages.AppFatPacker | |
x86_64-linux emacsPackages.exotica-theme | |
x86_64-linux python312Packages.pytestCheckHook | |
aarch64-darwin python311Packages.nbconvert | |
aarch64-darwin libidn | |
aarch64-darwin emacsPackages.fira-code-mode | |
x86_64-linux xfce.xfce4-appfinder | |
aarch64-darwin vimPlugins.vim-bbye | |
x86_64-linux python311Packages.pandas | |
aarch64-linux extrude | |
aarch64-darwin python312Packages.asciimatics | |
x86_64-linux haskellPackages.amazonka-efs | |
x86_64-linux matcha-rss-digest | |
x86_64-darwin python311Packages.skytemple-eventserver | |
x86_64-darwin perl538Packages.PSGI | |
aarch64-darwin ytcc | |
x86_64-linux qt5.qtmultimedia | |
aarch64-darwin neuron-full | |
aarch64-linux emacsPackages.jack-connect | |
x86_64-darwin postgresqlPackages.pg_cron | |
aarch64-darwin libodb | |
x86_64-linux gnomeExtensions.undecorate-window-for-wayland | |
aarch64-linux libtorrent-rasterbar-2_0_x | |
x86_64-linux stardust | |
aarch64-darwin haskellPackages.dhall-lsp-server | |
aarch64-darwin python311Packages.types-aiobotocore-resource-groups | |
aarch64-darwin haskellPackages.data-array-byte | |
x86_64-linux emacsPackages.geeknote | |
aarch64-linux qemu_test | |
aarch64-linux llvmPackages_12.libunwind | |
x86_64-linux haskellPackages.flip-cmd | |
x86_64-darwin python312Packages.twentemilieu | |
x86_64-darwin emacsPackages.gle-mode | |
x86_64-linux toppler | |
aarch64-darwin chickenPackages_5.chickenEggs.zxcvbn | |
x86_64-darwin emacsPackages.org-custom-cookies | |
x86_64-linux lua51Packages.tiktoken_core | |
aarch64-linux xkb-switch-i3 | |
aarch64-linux emacsPackages.universal-sidecar-citeproc | |
x86_64-darwin csharp-ls | |
x86_64-darwin python311Packages.meshcat | |
x86_64-darwin aspellDicts.de-alt | |
x86_64-linux gnomeExtensions.rdesktop-launcher | |
aarch64-linux jotdown | |
x86_64-darwin python311Packages.openvino | |
aarch64-linux linuxKernel.packages.linux_zen.pktgen | |
aarch64-linux haskellPackages.om-time | |
aarch64-linux emacsPackages.handle | |
aarch64-linux lua54Packages.libluv | |
x86_64-linux python312Packages.pyserial-asyncio | |
aarch64-darwin emacsPackages.s12cpuv2-mode | |
aarch64-linux mycli | |
aarch64-darwin mariadb-embedded | |
aarch64-darwin vobsub2srt | |
x86_64-linux joystickwake | |
aarch64-linux python312Packages.dawg-python | |
aarch64-linux emacsPackages.flymake-quickdef | |
aarch64-linux python311Packages.hg-git | |
aarch64-linux kdePackages.kbreakout | |
x86_64-linux python311Packages.chex | |
x86_64-darwin qpaeq | |
x86_64-linux vimPlugins.formatter-nvim | |
x86_64-linux python312Packages.pysbd | |
x86_64-darwin emacsPackages.oer-reveal | |
x86_64-linux linuxKernel.packages.linux_5_15.openrazer | |
x86_64-darwin kdePackages.qtvirtualkeyboard | |
aarch64-linux libcryptui | |
x86_64-linux cacert | |
aarch64-darwin python311Packages.influxdb3-python | |
x86_64-linux python312Packages.mypy-boto3-codestar | |
x86_64-darwin mplus-outline-fonts.githubRelease | |
x86_64-darwin perl538Packages.ModuleBuildPluggablePPPort | |
aarch64-darwin rubyPackages_3_1.pathutil | |
aarch64-darwin python312Packages.py3status | |
x86_64-darwin llvmPackages_12.libstdcxxClang | |
x86_64-linux python311Packages.mypy-boto3-sagemaker-a2i-runtime | |
x86_64-darwin wslay | |
aarch64-linux linuxPackages.v4l2loopback | |
aarch64-darwin python312Packages.lmfit | |
aarch64-linux haskellPackages.uniform-shake | |
aarch64-darwin oksh | |
aarch64-linux kdePackages.libkdcraw | |
x86_64-linux kitti3 | |
aarch64-linux python311Packages.zope-size | |
aarch64-linux xorg-rgb | |
x86_64-darwin perl536Packages.FilesysNotifySimple | |
aarch64-darwin python312Packages.mpmath | |
x86_64-linux python312Packages.itemadapter | |
aarch64-darwin lua54Packages.luaepnf | |
x86_64-linux haskellPackages.uuid-le | |
aarch64-linux tilemaker | |
x86_64-linux vimPlugins.neotest-deno | |
aarch64-darwin vimPlugins.ncm2-html-subscope | |
aarch64-darwin lima-bin | |
aarch64-linux xorg.libpciaccess | |
aarch64-linux emacsPackages.bind | |
aarch64-darwin python312Packages.mypy-boto3-workmail | |
x86_64-linux python312Packages.rich-argparse-plus | |
x86_64-darwin dutree | |
x86_64-linux emacsPackages.cpputils-cmake | |
aarch64-linux haskellPackages.exp-pairs | |
aarch64-darwin emacsPackages.consult-ls-git | |
aarch64-linux python312Packages.py-desmume | |
aarch64-linux haskellPackages.genvalidity-hspec-hashable | |
aarch64-linux nvpy | |
x86_64-darwin doit | |
x86_64-linux python311Packages.craft-parts | |
aarch64-linux python311Packages.xiaomi-ble | |
x86_64-darwin emacsPackages.daemons | |
aarch64-linux lapack | |
x86_64-darwin libbraiding | |
aarch64-linux haskellPackages.naturals | |
x86_64-linux rubyPackages_3_3.colored | |
aarch64-linux nyx | |
aarch64-linux freetype | |
aarch64-linux python311Packages.types-aiobotocore-cognito-idp | |
aarch64-linux python311Packages.python-ulid | |
x86_64-darwin python312Packages.graphql-relay | |
x86_64-darwin haskellPackages.elm-street | |
x86_64-darwin vitess | |
x86_64-linux vimPlugins.vim-move | |
x86_64-linux lua51Packages.lua-rtoml | |
aarch64-darwin aspellDicts.la | |
aarch64-darwin python311Packages.patch-ng | |
x86_64-linux python312Packages.pyiqvia | |
aarch64-darwin python311Packages.oath | |
x86_64-darwin python311Packages.soapysdr | |
x86_64-darwin haskellPackages.pptable | |
x86_64-linux home-assistant-component-tests.ifttt | |
x86_64-darwin emacsPackages.pasp-mode | |
aarch64-darwin python312Packages.obspy | |
x86_64-darwin rubyPackages_3_1.rake | |
aarch64-darwin libwpg | |
x86_64-darwin python312Packages.mypy-boto3-lambda | |
aarch64-linux python312Packages.faster-fifo | |
aarch64-darwin djview | |
aarch64-linux python312Packages.duet | |
aarch64-linux python312Packages.flask-babelex | |
x86_64-darwin sec | |
aarch64-darwin antiword | |
x86_64-darwin ruby-lsp | |
aarch64-linux python312Packages.curtsies | |
aarch64-darwin python311Packages.lnkparse3 | |
x86_64-linux python312Packages.lxmf | |
aarch64-linux emacsPackages.ninja-mode | |
aarch64-darwin emacsPackages.bifocal | |
x86_64-darwin emacsPackages.selcand | |
aarch64-linux haskellPackages.amazonka-braket | |
x86_64-linux python311Packages.icalendar | |
aarch64-darwin python311Packages.locationsharinglib | |
x86_64-darwin emacsPackages.treemacs-perspective | |
x86_64-darwin emacsPackages.plantuml-mode | |
aarch64-darwin perl536Packages.TermProgressBar | |
x86_64-darwin python311Packages.snowflake-sqlalchemy | |
x86_64-linux python312Packages.logster | |
x86_64-linux world-serpant-search | |
aarch64-linux plasma5Packages.calendarsupport | |
x86_64-darwin rubyPackages_3_1.zeitwerk | |
x86_64-linux php82Extensions.memcache | |
x86_64-darwin rubyPackages_3_2.pry-byebug | |
aarch64-darwin emacsPackages.django-snippets | |
x86_64-linux haskellPackages.hex | |
x86_64-linux open-policy-agent | |
x86_64-darwin haskellPackages.batch-rename | |
x86_64-darwin python311Packages.halo | |
x86_64-linux CuboCore.coregarage | |
aarch64-darwin azure-cli-extensions.staticwebapp | |
x86_64-darwin python312Packages.taskw | |
x86_64-darwin python312Packages.twilio | |
x86_64-darwin gecode_6 | |
x86_64-linux emacsPackages.flycheck-cstyle | |
aarch64-darwin hexyl | |
aarch64-darwin python311Packages.dissect-eventlog | |
aarch64-darwin gohai | |
x86_64-darwin python312Packages.aesedb | |
aarch64-darwin python312Packages.clarabel | |
aarch64-linux uwufetch | |
aarch64-darwin python311Packages.mypy-boto3-iam | |
aarch64-linux python311Packages.pyfronius | |
x86_64-darwin haskellPackages.alex-tools | |
aarch64-linux linuxPackages_hardened.nvidia_x11 | |
aarch64-darwin perl536Packages.LocaleUtilsPlaceholderNamed | |
aarch64-linux plasma5Packages.qt5.qtx11extras | |
x86_64-darwin haskellPackages.hslua-cli | |
aarch64-linux debugedit | |
aarch64-darwin rubyPackages_3_1.rbnacl | |
aarch64-linux llpp | |
aarch64-darwin cargo-bloat | |
x86_64-darwin python311Packages.babelfont | |
aarch64-darwin llvmPackages_12.clangNoCompilerRtWithLibc | |
x86_64-linux plasma5Packages.qtpim | |
aarch64-linux vectoroids | |
aarch64-darwin perl536Packages.GraphViz2 | |
x86_64-linux vassal | |
aarch64-darwin python312Packages.gamble | |
x86_64-darwin rubyPackages.activejob | |
aarch64-darwin haskellPackages.snap-templates | |
x86_64-darwin emacsPackages.brutalist-theme | |
x86_64-linux cockroachdb-bin | |
x86_64-darwin python312Packages.dask-gateway-server | |
x86_64-darwin python311Packages.enzyme | |
aarch64-linux python312Packages.django-paintstore | |
x86_64-linux haskellPackages.deriving-aeson | |
x86_64-darwin rss2email | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.wayland-scanner | |
x86_64-darwin python312Packages.pyrfxtrx | |
x86_64-darwin aspellDicts.mt | |
aarch64-darwin emacsPackages.helm-gitignore | |
aarch64-darwin python311Packages.jsonfield | |
aarch64-darwin python312Packages.pypykatz | |
x86_64-linux rpcemu | |
x86_64-linux feh | |
aarch64-linux python311Packages.flask-themes2 | |
x86_64-darwin haskellPackages.skew-list | |
aarch64-linux openimageio | |
x86_64-linux orocos-kdl | |
x86_64-linux python311Packages.simpy | |
x86_64-linux haskellPackages.microlens | |
aarch64-darwin python311Packages.m2crypto | |
aarch64-darwin python311Packages.trezor | |
x86_64-linux python312Packages.fastecdsa | |
aarch64-linux python312Packages.dnf4 | |
x86_64-darwin xorg.mkfontdir | |
aarch64-darwin nixVersions.stable | |
x86_64-darwin python311Packages.mdformat | |
aarch64-darwin weechat-unwrapped | |
x86_64-linux linuxPackages_5_4_hardened.virtualbox | |
aarch64-darwin emacsPackages.prisma-ts-mode | |
x86_64-darwin freeze | |
x86_64-darwin emacsPackages.look-dired | |
aarch64-darwin gentium-book-basic | |
aarch64-linux python312Packages.parse | |
x86_64-darwin openai | |
x86_64-linux emacsPackages.ids-edit | |
aarch64-darwin radicale2 | |
x86_64-darwin python311Packages.mypy-boto3-sagemaker-geospatial | |
x86_64-linux python311Packages.nextdns | |
x86_64-linux python312Packages.mypy-boto3-payment-cryptography | |
x86_64-linux python311Packages.hetzner | |
x86_64-darwin python312Packages.types-aiobotocore-sesv2 | |
aarch64-linux haskellPackages.timelike-time | |
aarch64-linux vimPlugins.nvterm | |
x86_64-darwin python311Packages.colorthief | |
x86_64-linux espanso | |
aarch64-linux python311Packages.types-aiobotocore-sagemaker-featurestore-runtime | |
aarch64-linux python312Packages.curve25519-donna | |
aarch64-darwin terraform-providers.ssh | |
x86_64-linux i3-cycle-focus | |
x86_64-darwin python312Packages.types-aiobotocore-cognito-identity | |
aarch64-darwin megam | |
x86_64-linux python311Packages.opencensus | |
aarch64-darwin emacsPackages.soccer | |
aarch64-linux haskellPackages.ghc-check | |
aarch64-darwin openntpd_nixos | |
aarch64-linux xxv | |
x86_64-linux mctc-lib | |
aarch64-linux wifi-qr | |
x86_64-darwin emacsPackages.pinyin-isearch | |
aarch64-darwin mapnik | |
aarch64-linux haskellPackages.atrans | |
x86_64-darwin azure-cli-extensions.azure-firewall | |
x86_64-darwin haskellPackages.text-metrics | |
aarch64-linux haskellPackages.vcs-revision | |
aarch64-linux sourcehut.pagessrht | |
aarch64-linux python312Packages.eagle100 | |
x86_64-linux oras | |
aarch64-darwin rubyPackages_3_2.rouge | |
x86_64-darwin kittysay | |
x86_64-darwin google-java-format | |
aarch64-darwin python312Packages.thermobeacon-ble | |
aarch64-linux haskellPackages.javelin | |
x86_64-darwin vimPlugins.gruvbox-baby | |
x86_64-linux home-manager | |
aarch64-linux snis | |
aarch64-linux vimPlugins.spacevim | |
aarch64-linux haskellPackages.magmas | |
x86_64-darwin aspellDicts.ru | |
aarch64-darwin emacsPackages.org-notebook | |
aarch64-linux terraform-plugin-docs | |
aarch64-darwin emacsPackages.camcorder | |
aarch64-linux mustache-go | |
aarch64-darwin scala_2_11 | |
x86_64-darwin haskellPackages.strict-optics | |
aarch64-linux home-assistant-component-tests.nobo_hub | |
aarch64-linux gomapenum | |
x86_64-linux mpremote | |
x86_64-darwin perl536Packages.XMLNamespaceSupport | |
x86_64-darwin perl536Packages.JSONValidator | |
aarch64-darwin geomyidae | |
aarch64-darwin python311Packages.py-lru-cache | |
x86_64-linux emacsPackages.markup | |
x86_64-linux haskellPackages.record-operations | |
x86_64-linux vimPlugins.autoload_cscope-vim | |
x86_64-darwin perl538Packages.GDGraph | |
aarch64-darwin synapse | |
x86_64-darwin perl538Packages.DateExtract | |
x86_64-darwin vimPlugins.vim-addon-sql | |
aarch64-darwin python312Packages.vdf | |
aarch64-linux python311Packages.vega | |
aarch64-darwin haskellPackages.minimal-configuration | |
aarch64-linux haskellPackages.solana-staking-csvs | |
x86_64-linux tinyxml-2 | |
aarch64-linux mdadm | |
x86_64-darwin python312Packages.azure-mgmt-redhatopenshift | |
x86_64-darwin haskellPackages.katip-effectful | |
x86_64-darwin emacsPackages.wfnames | |
aarch64-darwin python311Packages.types-aiobotocore-lex-models | |
aarch64-linux lwm | |
aarch64-linux yaru-remix-theme | |
x86_64-darwin python311Packages.aiopvapi | |
aarch64-darwin timelapse-deflicker | |
aarch64-darwin python311Packages.mypy-boto3-codeguru-security | |
x86_64-darwin haskellPackages.verset | |
aarch64-linux lanraragi | |
aarch64-darwin haskellPackages.lvar | |
x86_64-linux haskellPackages.tao-example | |
x86_64-linux obsidian-export | |
x86_64-linux python311Packages.torch-tb-profiler | |
x86_64-linux haskellPackages.list-filter | |
x86_64-darwin perl538Packages.OpenGL | |
aarch64-linux python312Packages.patool | |
x86_64-linux python312Packages.slackclient | |
x86_64-linux wimlib | |
x86_64-darwin emacsPackages.e2wm-direx | |
x86_64-linux linuxPackages_5_4_hardened.rtl8189fs | |
aarch64-linux emacsPackages.git-undo | |
aarch64-linux colmena | |
aarch64-linux lua54Packages.vusted | |
aarch64-darwin opencl-clhpp | |
aarch64-linux xorg.xf86videor128 | |
x86_64-linux haskellPackages.stateref | |
x86_64-darwin webmetro | |
aarch64-linux haskellPackages.shh-extras | |
x86_64-darwin perl538Packages.StructDumb | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.nasm | |
aarch64-linux texliveInfraOnly | |
aarch64-linux haskellPackages.amazonka-gamesparks | |
aarch64-darwin coqPackages.addition-chains | |
aarch64-darwin python312Packages.mindsdb-evaluator | |
x86_64-linux python312Packages.policy-sentry | |
x86_64-darwin perl538Packages.ArrayDiff | |
x86_64-darwin python311Packages.kconfiglib | |
x86_64-linux haskellPackages.rrb-vector | |
aarch64-darwin emacsPackages.project-tab-groups | |
aarch64-linux beautysh | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.zig | |
x86_64-linux libsForQt5.eventviews | |
aarch64-linux oras | |
aarch64-darwin python311Packages.orvibo | |
x86_64-linux emacsPackages.msvc | |
aarch64-linux idrisPackages.hrtime | |
x86_64-darwin emacsPackages.evil-tutor | |
aarch64-linux python311Packages.reportlab | |
aarch64-linux python311Packages.goodwe | |
aarch64-darwin python312Packages.rpdb | |
x86_64-linux dnglab | |
x86_64-darwin smtprelay | |
x86_64-darwin emacsPackages.modtime-skip-mode | |
x86_64-linux haskellPackages.HMock | |
aarch64-darwin python311Packages.gpsoauth | |
aarch64-linux python312Packages.bunch | |
x86_64-darwin perl538Packages.PodSection | |
aarch64-linux haskellPackages.NoHoed | |
x86_64-darwin python312Packages.pydub | |
x86_64-linux linuxPackages_xanmod_latest.can-isotp | |
x86_64-darwin gitfs | |
x86_64-linux python312Packages.jupyter-highlight-selected-word | |
aarch64-darwin python311Packages.pycflow2dot | |
x86_64-darwin aspellDicts.af | |
x86_64-linux eidolon | |
x86_64-linux lnx | |
x86_64-linux rubyPackages_3_1.snappy | |
x86_64-linux linuxPackages_4_19_hardened.zfs_2_1 | |
x86_64-linux haskellPackages.EEConfig | |
aarch64-linux grub2_xen | |
aarch64-linux python312Packages.types-aiobotocore-evidently | |
aarch64-linux linuxKernel.packages.linux_4_19.ax99100 | |
aarch64-linux libraqm | |
aarch64-darwin emacsPackages.xapian-lite | |
x86_64-linux emacsPackages.smmry | |
aarch64-linux osinfo-db | |
aarch64-linux emacsPackages.evalator-clojure | |
aarch64-darwin perl538Packages.TestRunPluginAlternateInterpreters | |
x86_64-linux linuxKernel.packages.linux_hardened.drbd | |
x86_64-darwin python312Packages.pyschemes | |
aarch64-darwin haskellPackages.toml-parser | |
aarch64-darwin haskellPackages.dwarf-el | |
aarch64-linux emacsPackages.kkp | |
aarch64-linux linuxKernel.packages.linux_6_1.hid-tmff2 | |
x86_64-linux python311Packages.mergedict | |
aarch64-darwin imagelol | |
aarch64-darwin kops_1_28 | |
x86_64-darwin plasma5Packages.telepathy | |
x86_64-linux linux-router | |
aarch64-linux python311Packages.openpaperwork-gtk | |
x86_64-linux libsForQt5.ktnef | |
aarch64-darwin retool | |
x86_64-linux haskellPackages.twain | |
x86_64-darwin python312Packages.deep-chainmap | |
x86_64-linux obexfs | |
aarch64-linux ccacheStdenv | |
aarch64-linux scdl | |
x86_64-darwin tlaplus18 | |
x86_64-linux freemind | |
aarch64-linux python312Packages.idasen | |
aarch64-darwin emacsPackages.helm-dired-history | |
x86_64-darwin python312Packages.pyradios | |
x86_64-linux linuxKernel.packages.linux_6_6.linux-gpib | |
aarch64-darwin cargo-nextest | |
aarch64-linux haskellPackages.prettyprinter-compat-annotated-wl-pprint | |
x86_64-darwin emacsPackages.inline-docs | |
x86_64-darwin emacsPackages.opencc | |
aarch64-linux emacsPackages.recentf-remove-sudo-tramp-prefix | |
x86_64-darwin emacsPackages.ue | |
aarch64-darwin python311Packages.bonsai | |
aarch64-linux sqlboiler | |
aarch64-darwin abcl | |
x86_64-darwin xkeyboard_config | |
x86_64-linux python311Packages.rpy2 | |
aarch64-linux interactsh | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.virtualboxGuestAdditions | |
aarch64-linux bcachefs-tools | |
x86_64-linux tests.hardeningFlags-clang.fortify3ExplicitEnabledExecTest | |
x86_64-darwin android-file-transfer | |
x86_64-darwin python312Packages.bucketstore | |
aarch64-darwin pax | |
x86_64-darwin chickenPackages_5.chickenEggs.simple-sha1 | |
x86_64-linux qt6.qtquicktimeline | |
aarch64-darwin haskellPackages.hlist | |
x86_64-linux curl-impersonate-chrome | |
aarch64-linux home-assistant-component-tests.mazda | |
x86_64-linux emacsPackages.rails-log-mode | |
x86_64-linux haskellPackages.GLURaw | |
aarch64-darwin emacsPackages.bpftrace-mode | |
aarch64-darwin llvmPackages_13.clang-manpages | |
aarch64-darwin chickenPackages_5.chickenEggs.posix-groups | |
x86_64-linux python311Packages.pixel-ring | |
aarch64-linux python312Packages.ripe-atlas-sagan | |
aarch64-darwin lua54Packages.digestif | |
aarch64-linux linuxPackages.system76-scheduler | |
x86_64-darwin python311Packages.hatch-jupyter-builder | |
x86_64-darwin python311Packages.python-rapidjson | |
x86_64-linux anki-bin | |
x86_64-linux haskellPackages.tagged-identity | |
x86_64-linux emacsPackages.sysctl | |
aarch64-darwin python312Packages.imread | |
aarch64-darwin haxePackages.format | |
aarch64-darwin libretro.mgba | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.Qt5OpenGLExtensions | |
aarch64-darwin emacsPackages.svelte-mode | |
aarch64-darwin python311Packages.graphqlclient | |
x86_64-darwin emacsPackages.pikchr-mode | |
x86_64-linux python311Packages.feedfinder2 | |
x86_64-linux linuxPackages_xanmod_stable.lttng-modules | |
x86_64-darwin python311Packages.types-aiobotocore-wellarchitected | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.nvidia_x11_beta | |
aarch64-linux libsForQt5.kjsembed | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.lenovo-legion-module | |
x86_64-linux llvmPackages_16.clang | |
x86_64-linux python312Packages.pyspcwebgw | |
x86_64-linux emacsPackages.elisp-def | |
x86_64-linux python312Packages.python-jsonrpc-server | |
aarch64-darwin python312Packages.morfessor | |
aarch64-darwin python312Packages.pywerview | |
x86_64-linux rubyPackages_3_2.rugged | |
x86_64-linux kubecfg | |
x86_64-darwin python311Packages.gradio-client | |
x86_64-darwin emacsPackages.rtags | |
x86_64-linux xorg.xf86videoark | |
x86_64-darwin python312Packages.epion | |
x86_64-linux vimPlugins.improved-search-nvim | |
x86_64-linux azure-cli-extensions.footprint | |
aarch64-linux cartridges | |
aarch64-darwin emacsPackages.org-mru-clock | |
aarch64-darwin emacsPackages.org-tfl | |
aarch64-darwin haskellPackages.genvalidity-unordered-containers | |
x86_64-linux qdjango | |
x86_64-linux emacsPackages.wat-mode | |
aarch64-darwin python312Packages.firebase-messaging | |
aarch64-darwin randomx | |
aarch64-darwin haskellPackages.reflex-ghci | |
x86_64-linux linuxPackages_latest-libre.system76-io | |
aarch64-linux home-assistant-component-tests.scene | |
aarch64-linux postgresql15JitPackages.pg_cron | |
x86_64-darwin ttdl | |
aarch64-linux python311Packages.scapy | |
aarch64-linux pcapc | |
aarch64-darwin emacsPackages.go-expr-completion | |
aarch64-darwin haskellPackages.hspr-sh | |
aarch64-linux spnavcfg | |
aarch64-darwin perl536Packages.AuthenDecHpwd | |
aarch64-linux smartdeblur | |
x86_64-darwin emacsPackages.fussy | |
aarch64-darwin vimPlugins.vim-erlang-compiler | |
x86_64-darwin vimPlugins.nvim-highlite | |
x86_64-darwin catdoc | |
x86_64-linux terraform-providers.virtualbox | |
aarch64-darwin verilog | |
aarch64-darwin python311Packages.nbsphinx | |
x86_64-linux haskellPackages.amazonka-xray | |
x86_64-darwin lxqt.libfm-qt | |
x86_64-darwin python312Packages.mypy-boto3-license-manager-user-subscriptions | |
x86_64-linux haskellPackages.commandert | |
x86_64-linux gremlin-server | |
aarch64-darwin python311Packages.ezyrb | |
aarch64-linux sway | |
aarch64-linux cudaPackages.cutensor_1_5 | |
aarch64-linux prometheus-sachet | |
aarch64-darwin emacsPackages.org2elcomment | |
x86_64-linux dotnetCorePackages.dotnet_8.runtime | |
aarch64-linux lilypond-with-fonts | |
aarch64-linux python312Packages.ansible-compat | |
x86_64-linux emacsPackages.wwtime | |
aarch64-darwin python311Packages.sense-energy | |
aarch64-darwin haskellPackages.LambdaDB | |
x86_64-darwin clang_16 | |
aarch64-darwin python311Packages.flit-core | |
x86_64-darwin python312Packages.types-aiobotocore-ram | |
aarch64-darwin rubyPackages_3_2.idn-ruby | |
x86_64-linux vimPlugins.vim-fugitive | |
x86_64-linux chromedriver | |
x86_64-darwin haskellPackages.inline-c | |
x86_64-darwin vimPlugins.coq_nvim | |
x86_64-darwin azure-functions-core-tools | |
aarch64-linux python311Packages.h5netcdf | |
aarch64-linux haskellPackages.List | |
x86_64-linux python312Packages.pubnubsub-handler | |
x86_64-darwin python312Packages.aioopenssl | |
x86_64-darwin emacsPackages.chronos | |
aarch64-darwin python312Packages.pytest-freezegun | |
x86_64-linux prometheus-dovecot-exporter | |
aarch64-linux python312Packages.libcomps | |
x86_64-darwin emacsPackages.basic-mode | |
aarch64-darwin emacsPackages.sokoban | |
x86_64-darwin gnome.libchamplain | |
x86_64-darwin python311Packages.agate | |
aarch64-darwin luajitPackages.luadbi | |
aarch64-darwin python312Packages.symengine | |
aarch64-linux haskellPackages.phladiprelio-tests | |
aarch64-darwin python312Packages.beartype | |
aarch64-linux emacsPackages.fiplr | |
aarch64-linux python312Packages.hdbscan | |
aarch64-darwin russ | |
x86_64-linux python311Packages.pyspf | |
aarch64-linux rubyPackages_3_2.ruby-libvirt | |
x86_64-linux coq_8_15 | |
aarch64-linux re-flex | |
aarch64-darwin haskellPackages.lax | |
x86_64-darwin python311Packages.pysendfile | |
x86_64-darwin emacsPackages.alt-codes | |
aarch64-darwin python312Packages.dvc-ssh | |
aarch64-linux license-generator | |
x86_64-darwin aspellDicts.en | |
x86_64-linux lxqt.lxqt-openssh-askpass | |
x86_64-darwin haskellPackages.gcodehs | |
x86_64-linux linuxPackages_hardened.nvidia_x11_vulkan_beta | |
x86_64-darwin ttygif | |
x86_64-linux azure-cli-extensions.kusto | |
aarch64-linux haskellPackages.sampling | |
x86_64-darwin python312Packages.pyre-extensions | |
aarch64-darwin hunspellDicts.en_US-large | |
aarch64-linux emacsPackages.company-ledger | |
x86_64-darwin haskellPackages.avif | |
aarch64-darwin vimPlugins.vim-pencil | |
aarch64-linux dmensamenu | |
aarch64-linux rubyPackages_3_2.psych | |
aarch64-linux haskellPackages.hs-openmoji-data | |
aarch64-linux python312Packages.fakeredis | |
x86_64-linux python312Packages.types-aiobotocore-resiliencehub | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.gasket | |
x86_64-darwin emacsPackages.hamburger-menu | |
x86_64-darwin chickenPackages_5.chickenEggs.callable-sequences | |
x86_64-linux python311Packages.ndindex | |
x86_64-linux libsForQt5.qt3d | |
aarch64-darwin python312Packages.pyring-buffer | |
aarch64-linux python311Packages.boschshcpy | |
aarch64-linux postgresql12JitPackages.smlar | |
aarch64-linux indicator-application-gtk3 | |
x86_64-linux emacsPackages.seq | |
x86_64-linux haskellPackages.hasql-th | |
aarch64-linux linuxKernel.packages.linux_5_4.nvidia_x11_stable_open | |
x86_64-darwin python311Packages.stringly | |
aarch64-darwin haskellPackages.haskell-lexer | |
x86_64-darwin haskellPackages.hxt-charproperties | |
x86_64-darwin k0sctl | |
aarch64-linux libspng | |
x86_64-linux irrlichtmt | |
aarch64-linux luajitPackages.std-normalize | |
aarch64-darwin s4cmd | |
x86_64-darwin vimPlugins.vim-localvimrc | |
x86_64-linux tests.cc-multilib-clang | |
x86_64-linux python311Packages.monosat | |
x86_64-darwin emacsPackages.rubocop | |
x86_64-linux haskellPackages.prelude-compat | |
x86_64-darwin vimPlugins.vimoutliner | |
aarch64-darwin perl538Packages.SyntaxKeywordJunction | |
aarch64-darwin chickenPackages_5.chickenEggs.args | |
x86_64-linux haskellPackages.io-streams-http | |
x86_64-darwin python312Packages.pillow | |
x86_64-linux linuxPackages_hardened.zenpower | |
x86_64-darwin haskellPackages.snappy-framing | |
aarch64-darwin emacsPackages.ewal-spacemacs-themes | |
aarch64-darwin plasma5Packages.libqglviewer | |
x86_64-darwin python312Packages.azure-cosmosdb-nspkg | |
x86_64-linux python312Packages.django-maintenance-mode | |
aarch64-linux linuxPackages_4_19_hardened.rtl88xxau-aircrack | |
aarch64-linux libsForQt5.qtsvg | |
aarch64-linux emacsPackages.org-edit-latex | |
x86_64-linux linuxPackages-libre.acpi_call | |
aarch64-darwin libsass | |
x86_64-darwin perl536Packages.AuthenHtpasswd | |
aarch64-linux emacsPackages.with-simulated-input | |
x86_64-linux dell-530cdn | |
x86_64-darwin darwin.bsdmake | |
x86_64-linux mprime | |
x86_64-darwin python312Packages.types-aiobotocore-iotsecuretunneling | |
x86_64-linux python312Packages.django-treebeard | |
aarch64-darwin python311Packages.dataclass-factory | |
aarch64-darwin nats-top | |
aarch64-darwin emacsPackages.eslintd-fix | |
x86_64-darwin python311Packages.whoosh | |
aarch64-darwin python312Packages.shapely_1_8 | |
x86_64-darwin python311Packages.mcstatus | |
aarch64-darwin emacsPackages.blox | |
x86_64-darwin stayrtr | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.rtl8821cu | |
x86_64-linux python311Packages.jsonfeed | |
x86_64-linux python311Packages.multidict | |
aarch64-darwin python311Packages.pydeck | |
aarch64-darwin azure-cli-extensions.devcenter | |
x86_64-linux python312Packages.mypy-boto3-codecatalyst | |
aarch64-darwin python312Packages.camel-converter | |
aarch64-linux python311Packages.arelle | |
aarch64-darwin haskellPackages.elynx-tools | |
x86_64-linux minecraftServers.vanilla-1-2 | |
x86_64-linux python312Packages.numdifftools | |
x86_64-linux rambox | |
aarch64-darwin rubyPackages_3_1.kramdown | |
x86_64-darwin perl538Packages.DateTimeEventICal | |
x86_64-darwin haskellPackages.uniform-pair | |
aarch64-linux haskellPackages.bit-protocol | |
x86_64-linux martin | |
x86_64-darwin vimPlugins.surround-nvim | |
aarch64-linux emacsPackages.raycast-mode | |
x86_64-darwin lua52Packages.rustaceanvim | |
x86_64-linux python312Packages.llama-index | |
aarch64-darwin perl538Packages.NetHTTP | |
x86_64-darwin emacsPackages.afternoon-theme | |
x86_64-darwin haskellPackages.cursor-fuzzy-time | |
x86_64-linux bicgl | |
aarch64-linux emacsPackages.age | |
x86_64-linux llvmPackages_17.lld | |
x86_64-linux home-assistant-component-tests.modbus | |
x86_64-darwin coqPackages.coq | |
x86_64-linux license-cli | |
x86_64-darwin libretro.beetle-ngp | |
aarch64-linux lua54Packages.funnyfiles-nvim | |
aarch64-linux emacsPackages.mlscroll | |
x86_64-linux python311Packages.kerberos | |
aarch64-darwin python311Packages.isodate | |
x86_64-linux python312Packages.thttp | |
aarch64-darwin nix-plugins | |
aarch64-linux emacsPackages.namespaces | |
aarch64-darwin azure-cli-extensions.kusto | |
x86_64-linux cargo-swift | |
x86_64-darwin terraform-providers.vcd | |
aarch64-linux python311Packages.sqlalchemy-continuum | |
aarch64-darwin python312Packages.cached-ipaddress | |
x86_64-linux haskellPackages.row-types-barbies | |
x86_64-darwin timelimit | |
x86_64-darwin python311Packages.timeslot | |
aarch64-linux wgpu-utils | |
aarch64-linux gusb | |
x86_64-darwin emacsPackages.whois | |
aarch64-darwin python311Packages.hiro | |
aarch64-darwin slack-term | |
x86_64-linux perl538Packages.ArchiveLibarchiveExtract | |
x86_64-linux nfs-ganesha | |
x86_64-darwin python311Packages.llama-index-embeddings-huggingface | |
aarch64-darwin tig | |
aarch64-darwin xtris | |
aarch64-linux linuxKernel.packages.linux_4_19.rtl88x2bu | |
aarch64-linux python312Packages.fabric | |
aarch64-linux sweet | |
x86_64-darwin haskellPackages.torsor | |
x86_64-linux emacsPackages.string-utils | |
x86_64-linux python312Packages.pythonnet | |
aarch64-linux dodgy | |
x86_64-darwin python312Packages.annoy | |
x86_64-linux vimPlugins.vim-vue | |
x86_64-linux vcard | |
aarch64-darwin twtxt | |
x86_64-darwin emacsPackages.dired-toggle-sudo | |
aarch64-linux python311Packages.immutabledict | |
x86_64-darwin plasma5Packages.qt5.qtwebview | |
x86_64-linux tsung | |
aarch64-darwin nixops_unstablePlugins.nixops-hercules-ci | |
x86_64-linux python311Packages.karton-mwdb-reporter | |
aarch64-darwin aws-assume-role | |
aarch64-darwin python311Packages.types-aiobotocore-signer | |
aarch64-linux rubyPackages_3_2.jekyll-swiss | |
x86_64-linux rubyPackages_3_2.redis-client | |
aarch64-darwin libspnav | |
x86_64-darwin haskellPackages.opensource | |
x86_64-linux linuxPackages_zen.jool | |
x86_64-darwin python312Packages.apcaccess | |
x86_64-linux llvmPackages.bintools | |
aarch64-linux haskellPackages.atomic-write | |
aarch64-darwin emacsPackages.cilk-mode | |
x86_64-linux rocmPackages_6.hipsparse | |
aarch64-linux python311Packages.hdfs | |
aarch64-linux qstopmotion | |
aarch64-linux csharp-ls | |
aarch64-darwin emacsPackages.spinner | |
aarch64-darwin perl536Packages.TestYAML | |
x86_64-darwin pulseview | |
aarch64-darwin protoc-gen-twirp_php | |
x86_64-linux python311Packages.vat-moss | |
x86_64-linux python312Packages.desktop-notifier | |
x86_64-linux libpinyin | |
x86_64-linux fsg | |
aarch64-linux biboumi | |
aarch64-linux lua54Packages.lua-toml | |
x86_64-darwin ytcast | |
x86_64-darwin llvmPackages_14.libstdcxxClang | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.wireguard | |
aarch64-linux haskellPackages.io-machine | |
x86_64-linux haskellPackages.streaming-binary | |
x86_64-darwin doomseeker | |
x86_64-darwin vimPlugins.mason-tool-installer-nvim | |
x86_64-linux evsieve | |
x86_64-darwin lightningcss | |
aarch64-linux adidnsdump | |
aarch64-linux python311Packages.evaluate | |
x86_64-darwin theme-vertex | |
x86_64-linux python311Packages.mypy-boto3-pinpoint-sms-voice | |
x86_64-linux python311Packages.types-aiobotocore-finspace | |
aarch64-darwin linuxkit | |
aarch64-darwin styx | |
x86_64-darwin postgresql12JitPackages.pg_bigm | |
aarch64-darwin php82Packages.phive | |
x86_64-darwin tshark | |
aarch64-darwin python312Packages.pytest-examples | |
aarch64-linux emacsPackages.elfeed-summary | |
x86_64-darwin libsForQt5.kcrash | |
aarch64-darwin apacheHttpdPackages.mod_spkac | |
aarch64-darwin papermcServers.papermc-1_20_4 | |
aarch64-darwin python311Packages.tiler | |
x86_64-darwin haskellPackages.hsemail-ns | |
x86_64-linux python312Packages.magika | |
x86_64-linux ssm-session-manager-plugin | |
aarch64-darwin libebur128 | |
x86_64-darwin perl536Packages.OpenGL | |
aarch64-darwin python312Packages.manimpango | |
aarch64-darwin dontgo403 | |
x86_64-darwin emacsPackages.pyimport | |
aarch64-linux llvmPackages_14.clang-unwrapped | |
x86_64-linux python312Packages.gmsh | |
aarch64-linux rubyPackages_3_3.io-console | |
aarch64-linux rstudio-server | |
x86_64-darwin cargo-autoinherit | |
x86_64-linux icecast | |
aarch64-linux refurb | |
aarch64-linux haskellPackages.render-utf8 | |
aarch64-darwin haskellPackages.ipa | |
aarch64-linux emacsPackages.recomplete | |
aarch64-darwin python311Packages.iopath | |
aarch64-linux python311Packages.svg-path | |
aarch64-darwin python311Packages.types-aiobotocore-servicecatalog-appregistry | |
x86_64-darwin fw | |
x86_64-linux python311Packages.bizkaibus | |
aarch64-linux python312Packages.art | |
aarch64-linux apacheHttpdPackages_2_4.php | |
x86_64-darwin chickenPackages_5.chickenEggs.slib-arraymap | |
x86_64-darwin haskellPackages.amazonka-health | |
x86_64-darwin emacsPackages.test-cockpit | |
aarch64-linux python311Packages.fvs | |
aarch64-darwin emacsPackages.protocols | |
x86_64-linux postgresql13JitPackages.pg_safeupdate | |
x86_64-linux emacsPackages.ob-php | |
aarch64-darwin haskellPackages.fakedata-quickcheck | |
aarch64-darwin perl536Packages.X11XCB | |
aarch64-linux emacsPackages.berrys-theme | |
x86_64-darwin python311Packages.malduck | |
aarch64-linux python311Packages.trafilatura | |
aarch64-linux bluez5-experimental | |
aarch64-linux refmt | |
aarch64-linux python312Packages.markuppy | |
aarch64-darwin perl536Packages.DevelCycle | |
x86_64-linux joker | |
x86_64-linux python312Packages.qcodes | |
x86_64-darwin hunspellDicts.sv_SE | |
aarch64-linux rocmPackages.rocdbgapi | |
x86_64-darwin anewer | |
x86_64-darwin python311Packages.yaspin | |
x86_64-linux libsForQt5.qoauth | |
x86_64-darwin coze | |
x86_64-darwin emacs-all-the-icons-fonts | |
aarch64-linux postgresql15JitPackages.pg_partman | |
x86_64-darwin python311Packages.asn1ate | |
x86_64-darwin libsForQt5.qtpbfimageplugin | |
aarch64-linux home-assistant-component-tests.zerproc | |
x86_64-darwin github-backup | |
x86_64-linux home-assistant-custom-lovelace-modules.mini-graph-card | |
aarch64-darwin rubyPackages.redis | |
aarch64-linux home-assistant-component-tests.lookin | |
aarch64-linux python311Packages.trampoline | |
aarch64-linux python312Packages.bespon | |
x86_64-darwin plasma5Packages.qgpgme | |
x86_64-linux libsForQt5.krdc | |
aarch64-darwin sshd-openpgp-auth | |
aarch64-linux python311Packages.anonip | |
aarch64-darwin python311Packages.ltpycld2 | |
x86_64-linux waycorner | |
x86_64-darwin python312Packages.tzlocal | |
x86_64-linux python312Packages.types-aiobotocore-iotanalytics | |
aarch64-darwin python312Packages.types-aiobotocore-rum | |
aarch64-linux gtksourceviewmm | |
x86_64-linux linuxKernel.packages.linux_zen.nvidiabl | |
x86_64-darwin bupstash | |
x86_64-linux python311Packages.proton-core | |
x86_64-linux quaternion | |
aarch64-linux haskellPackages.colourista | |
x86_64-darwin emacsPackages.wasp-mode | |
aarch64-darwin haskellPackages.Clipboard | |
x86_64-darwin haskellPackages.codec-mbox | |
aarch64-darwin perl538Packages.IOInterface | |
x86_64-linux parsedmarc | |
x86_64-linux lua52Packages.luuid | |
aarch64-linux quarto | |
aarch64-linux python312Packages.ms-active-directory | |
x86_64-linux python311Packages.dpcontracts | |
aarch64-linux telepathy-logger | |
aarch64-linux ams | |
x86_64-darwin chickenPackages_5.chickenEggs.socket | |
aarch64-linux haskellPackages.lens-named | |
x86_64-linux python311Packages.types-aiobotocore-sms-voice | |
aarch64-linux cf-vault | |
aarch64-linux lua53Packages.plenary-nvim | |
aarch64-linux karma | |
x86_64-darwin serve-d | |
aarch64-linux emacsPackages.pretty-hydra | |
x86_64-linux carp | |
aarch64-darwin haskellPackages.FenwickTree | |
aarch64-darwin emacsPackages.greader | |
x86_64-darwin surfraw | |
x86_64-darwin alpine-make-vm-image | |
x86_64-linux haxePackages.heaps | |
aarch64-darwin emacsPackages.json-rpc | |
aarch64-darwin python312Packages.chardet | |
aarch64-darwin python311Packages.mypy-boto3-datasync | |
x86_64-linux rocmPackages.rocfft | |
x86_64-darwin unittest-cpp | |
x86_64-linux emacsPackages.realgud-pry | |
aarch64-linux python311Packages.pytest-image-diff | |
aarch64-linux rubyPackages_3_3.ffi | |
x86_64-linux shipwright | |
aarch64-linux haskellPackages.record-impl | |
aarch64-darwin python312Packages.pyheos | |
aarch64-darwin postgresql12Packages.pg_cron | |
x86_64-darwin python311Packages.jsonref | |
aarch64-darwin haskellPackages.amazonka-mwaa | |
x86_64-linux castty | |
x86_64-linux comixcursors | |
aarch64-linux xorg.fontsonymisc | |
aarch64-linux python312Packages.aionotion | |
aarch64-linux python312Packages.types-aiobotocore-firehose | |
aarch64-darwin tableplus | |
x86_64-linux ldapdomaindump | |
x86_64-linux neuron-full | |
x86_64-darwin emacsPackages.fountain-mode | |
x86_64-darwin aribb25 | |
aarch64-darwin python311Packages.intake-parquet | |
x86_64-darwin babelfish | |
x86_64-darwin emacsPackages.bpftrace-mode | |
x86_64-darwin emacsPackages.switchy-window | |
aarch64-darwin nodejs-slim | |
aarch64-linux python312Packages.responses | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.drbd | |
x86_64-linux idrisPackages.hrtime | |
x86_64-linux haskellPackages.xdot | |
x86_64-linux python312Packages.ezyrb | |
x86_64-linux python312Packages.types-typed-ast | |
aarch64-darwin get-google-fonts | |
aarch64-linux emacsPackages.org-roam | |
aarch64-linux emacsPackages.autumn-light-theme | |
aarch64-linux emacsPackages.git-lens | |
aarch64-darwin python312Packages.demes | |
x86_64-linux linuxPackages_xanmod.perf | |
aarch64-darwin emacsPackages.pkg-overview | |
x86_64-darwin curl-impersonate-ff | |
aarch64-darwin vimPlugins.nvim-peekup | |
x86_64-linux python312Packages.azure-servicebus | |
x86_64-darwin haskell.packages.ghc96.haskell-language-server | |
x86_64-darwin hunspellDicts.es-ve | |
x86_64-darwin haskellPackages.which | |
aarch64-darwin perl536Packages.Alienpatch | |
x86_64-linux rubyPackages_3_3.excon | |
x86_64-linux emacsPackages.graphql | |
x86_64-linux rubyPackages_3_3.thor | |
aarch64-linux shortwave | |
x86_64-linux python311Packages.python-zbar | |
aarch64-linux python312Packages.jaxlibWithoutCuda | |
x86_64-darwin flawfinder | |
aarch64-darwin llvmPackages_16.clangNoCompilerRt | |
aarch64-darwin python312Packages.fastnlo-toolkit | |
aarch64-darwin python312Packages.verlib2 | |
x86_64-darwin liquidctl | |
aarch64-linux slither-analyzer | |
aarch64-linux haskellPackages.spdx | |
aarch64-linux python311Packages.dissect-shellitem | |
x86_64-darwin tvm | |
aarch64-linux emacsPackages.blist | |
x86_64-linux emacsPackages.clojure-quick-repls | |
aarch64-darwin jfmt | |
x86_64-darwin python312Packages.textparser | |
aarch64-linux virt-viewer | |
x86_64-linux home-assistant-component-tests.fitbit | |
aarch64-darwin haskellPackages.data-has | |
aarch64-darwin python311Packages.wheezy-captcha | |
x86_64-linux python311Packages.setuptoolsRustBuildHook | |
aarch64-linux emacsPackages.proportional | |
aarch64-linux home-assistant-component-tests.myq | |
aarch64-darwin rubyPackages_3_1.method_source | |
aarch64-linux emacsPackages.company-c-headers | |
aarch64-darwin python311Packages.pytest-shutil | |
x86_64-darwin perl536Packages.NetAmazonS3 | |
aarch64-darwin python312Packages.configclass | |
x86_64-linux python312Packages.datasette | |
x86_64-darwin texliveBasic | |
x86_64-linux parsec-bin | |
x86_64-darwin haskellPackages.temporal-music-notation-western | |
aarch64-linux kdePackages.kde-dev-scripts | |
aarch64-darwin passExtensions.pass-import | |
x86_64-darwin em | |
aarch64-linux lua51Packages.fifo | |
x86_64-darwin gotypist | |
x86_64-darwin python312Packages.isodate | |
aarch64-darwin openbabel3 | |
aarch64-darwin emacsPackages.grails-mode | |
x86_64-linux haskellPackages.string-transform | |
x86_64-linux emacsPackages.f | |
aarch64-darwin hunspellDicts.en_AU-large | |
aarch64-linux python312Packages.mongodict | |
aarch64-darwin licensee | |
aarch64-linux home-assistant-component-tests.reolink | |
x86_64-linux perl538Packages.WebServiceLinode | |
aarch64-linux haskellPackages.hzenhan | |
aarch64-darwin haskellPackages.ghcjs-websockets | |
aarch64-linux emacsPackages.helm-org-rifle | |
aarch64-linux wasm-bindgen-cli | |
aarch64-darwin rubyPackages.jekyll-theme-cayman | |
aarch64-darwin python312Packages.ansible | |
x86_64-linux synth | |
aarch64-darwin emacsPackages.wisp-mode | |
x86_64-darwin python311Packages.skytemple-ssb-debugger | |
aarch64-darwin python311Packages.pyxnat | |
aarch64-linux python311Packages.ddt | |
aarch64-linux python312Packages.sockjs-tornado | |
x86_64-darwin the-way | |
aarch64-linux emacsPackages.mentor | |
aarch64-darwin haskellPackages.amazonka-elasticbeanstalk | |
x86_64-linux emacsPackages.wakatime-mode | |
x86_64-linux linuxKernel.packages.linux_5_15.nvidia_x11_production_open | |
aarch64-darwin cargo-kcov | |
aarch64-darwin bash-preexec | |
x86_64-linux haskellPackages.type-errors | |
aarch64-darwin emacsPackages.sway | |
aarch64-linux python311Packages.mypy-boto3-applicationcostprofiler | |
x86_64-darwin python312Packages.pyfribidi | |
aarch64-linux python312Packages.mypy-boto3-events | |
x86_64-linux kdePackages.kcoreaddons | |
x86_64-darwin aspellDicts.kn | |
x86_64-linux python311Packages.jupyter-c-kernel | |
x86_64-darwin aiodnsbrute | |
aarch64-darwin perl536Packages.AnyEvent | |
x86_64-darwin python312Packages.types-aiobotocore-elasticache | |
x86_64-darwin emacsPackages.ivy-xref | |
aarch64-darwin racket | |
aarch64-linux home-assistant-component-tests.buienradar | |
x86_64-linux urbanterror | |
x86_64-linux linuxKernel.packages.linux_lqx.system76-scheduler | |
aarch64-darwin python312Packages.azure-core | |
aarch64-darwin libsForQt5.qtsensors | |
x86_64-darwin python312Packages.types-aiobotocore-support | |
x86_64-linux haxePackages.hxnodejs_4 | |
aarch64-darwin python312Packages.types-aiobotocore-codecatalyst | |
x86_64-linux emacsPackages.immersive-translate | |
aarch64-linux rubyPackages_3_3.octokit | |
x86_64-darwin vimPlugins.neodark-vim | |
aarch64-darwin perl536Packages.SortKey | |
x86_64-darwin python311Packages.async-lru | |
x86_64-linux mirrorbits | |
aarch64-darwin perl538Packages.DeviceOUI | |
x86_64-linux python312Packages.amqplib | |
aarch64-linux emacsPackages.prefab | |
aarch64-darwin python312Packages.systemd | |
x86_64-linux zita-njbridge | |
x86_64-linux emacsPackages.github-modern-theme | |
aarch64-darwin perl536Packages.CatalystViewDownload | |
aarch64-darwin python312Packages.pysatochip | |
x86_64-darwin haskellPackages.conduit-algorithms | |
x86_64-darwin python311Packages.exceptiongroup | |
aarch64-linux emacsPackages.esa | |
x86_64-linux haskellPackages.haskell-gi | |
x86_64-darwin python311Packages.oca-port | |
aarch64-darwin emacsPackages.nix-ts-mode | |
x86_64-linux python311Packages.pypng | |
aarch64-darwin chickenPackages_5.chickenEggs.with-current-directory | |
x86_64-darwin chatgpt-cli | |
x86_64-linux libsForQt5.klines | |
aarch64-linux python312Packages.transaction | |
aarch64-darwin docuum | |
aarch64-linux deepin.deepin-draw | |
aarch64-linux haskellPackages.truthful | |
x86_64-linux python311Packages.hdf5plugin | |
x86_64-linux emacsPackages.lux-mode | |
aarch64-linux alacritty | |
aarch64-linux python312Packages.invisible-watermark | |
aarch64-linux python312Packages.tensorboard-data-server | |
x86_64-darwin prometheus-statsd-exporter | |
aarch64-linux haskellPackages.textlocal | |
x86_64-linux python311Packages.geventhttpclient | |
aarch64-linux python311Packages.phonenumbers | |
aarch64-linux linuxKernel.packages.linux_4_19.rtl88xxau-aircrack | |
x86_64-linux python311Packages.py-nextbusnext | |
x86_64-linux emacsPackages.ido-exit-target | |
x86_64-linux torrenttools | |
x86_64-linux python311Packages.rapidfuzz | |
aarch64-darwin top-git | |
x86_64-linux emacsPackages.vhdl-ts-mode | |
x86_64-linux lazymc | |
aarch64-linux python311Packages.flask-marshmallow | |
aarch64-linux python311Packages.banal | |
x86_64-darwin python312Packages.typing-validation | |
x86_64-darwin haskellPackages.hopfield-networks | |
x86_64-linux python312Packages.quandl | |
aarch64-darwin plasma5Packages.libopenshot-audio | |
aarch64-linux python311Packages.azure-synapse-spark | |
aarch64-linux chickenPackages_5.chickenEggs.cmark | |
aarch64-linux audaciousQt5 | |
x86_64-linux python311Packages.pyradios | |
aarch64-linux python311Packages.gym-notices | |
x86_64-linux llvmPackages_13.lld | |
aarch64-linux emacsPackages.stock-ticker | |
x86_64-darwin haskellPackages.hash-store | |
x86_64-linux emacsPackages.vc-fossil | |
aarch64-linux kt | |
aarch64-linux haskellPackages.seqid-streams | |
x86_64-linux lutris | |
x86_64-darwin emacsPackages.dired-k | |
aarch64-linux python312Packages.mypy-boto3-support | |
aarch64-darwin haskellPackages.optics-vl | |
aarch64-darwin sieveshell | |
aarch64-darwin python311Packages.poetry-dynamic-versioning | |
x86_64-linux emacsPackages.easy-jekyll | |
aarch64-linux emacsPackages.pretty-speedbar | |
aarch64-linux python312Packages.types-aiobotocore-application-autoscaling | |
x86_64-darwin haskellPackages.mtl-compat | |
x86_64-linux python311Packages.types-aiobotocore-cognito-identity | |
aarch64-linux tmate | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.zfs_unstable | |
x86_64-linux haskellPackages.truthful | |
x86_64-linux libnvidia-container | |
aarch64-darwin python311Packages.gcsa | |
aarch64-darwin openconnect_openssl | |
aarch64-darwin spoof-mac | |
aarch64-linux python312Packages.djangorestframework-camel-case | |
x86_64-linux haskellPackages.servant-response | |
x86_64-darwin haskellPackages.hw-hedgehog | |
aarch64-darwin haskellPackages.bimap-server | |
aarch64-linux python311Packages.mypy-boto3-ecr-public | |
aarch64-linux python312Packages.types-aiobotocore-acm | |
x86_64-linux linuxKernel.packages.linux_6_8.asus-ec-sensors | |
x86_64-linux zwave-js-server | |
x86_64-linux emacsPackages.keypression | |
aarch64-darwin emacsPackages.lemon-mode | |
x86_64-darwin haskellPackages.validity-bytestring | |
aarch64-darwin python311Packages.memestra | |
aarch64-darwin lbdb | |
aarch64-darwin idrisPackages.test | |
x86_64-darwin libva1 | |
x86_64-linux openrocket | |
aarch64-darwin kdePackages.qtconnectivity | |
aarch64-darwin sensu-go-backend | |
aarch64-linux python312Packages.parso | |
aarch64-linux python312Packages.pyvcd | |
aarch64-darwin python312Packages.mypy-boto3-synthetics | |
x86_64-linux emacsPackages.comment-dwim-2 | |
aarch64-darwin perl538Packages.ApacheAuthCookie | |
x86_64-linux python312Packages.typed-ast | |
x86_64-linux haskellPackages.cabal-clean | |
aarch64-darwin emacsPackages.goto-line-preview | |
aarch64-darwin fasd | |
aarch64-linux python311Packages.mandown | |
aarch64-darwin dotnetCorePackages.dotnet_9.runtime | |
aarch64-linux linuxPackages_hardened.ddcci-driver | |
aarch64-darwin python312Packages.siosocks | |
x86_64-linux python312Packages.pyhepmc | |
x86_64-linux python312Packages.isbnlib | |
x86_64-linux emacsPackages.sfz-mode | |
aarch64-linux python312Packages.google-auth | |
x86_64-linux ran | |
aarch64-darwin vimPlugins.vim-monokai | |
aarch64-linux python311Packages.typecode | |
x86_64-linux haskellPackages.bug | |
x86_64-darwin telegraf | |
x86_64-darwin tinyssh | |
aarch64-darwin papermcServers.papermc-1_19_2 | |
aarch64-linux python312Packages.ptpython | |
aarch64-darwin libmongocrypt | |
x86_64-darwin haskellPackages.KdTree | |
aarch64-darwin maude | |
aarch64-linux python311Packages.dbt-semantic-interfaces | |
aarch64-darwin python311Packages.eth-abi | |
x86_64-linux python311Packages.safeio | |
x86_64-linux drogon | |
x86_64-linux perl536Packages.DistZillaPluginReadmeAnyFromPod | |
aarch64-linux python312Packages.idna | |
x86_64-linux emacsPackages.julia-vterm | |
x86_64-linux cxxopts | |
x86_64-linux git-extras | |
x86_64-linux rocmPackages_5.mivisionx-cpu | |
x86_64-linux haskellPackages.libversion | |
aarch64-linux python312Packages.mypy-boto3-license-manager-user-subscriptions | |
aarch64-darwin t1utils | |
x86_64-linux haskellPackages.mwc-probability-transition | |
aarch64-linux snagboot | |
aarch64-linux libsForQt5.baloo | |
x86_64-darwin python311Packages.aioopenssl | |
aarch64-linux python311Packages.mypy-boto3-connectcases | |
x86_64-darwin emacsPackages.nim-mode | |
aarch64-darwin haskellPackages.string-conversions | |
aarch64-darwin python312Packages.aioslimproto | |
x86_64-linux haskellPackages.hs-opentelemetry-instrumentation-http-client | |
x86_64-linux python311Packages.astral | |
x86_64-darwin python312Packages.types-aiobotocore-appconfigdata | |
aarch64-darwin emacsPackages.foreign-regexp | |
aarch64-linux gnustep.base | |
x86_64-linux vimPlugins.material-nvim | |
x86_64-linux python312Packages.prawcore | |
x86_64-darwin vimPlugins.coc-haxe | |
aarch64-linux postgresql16JitPackages.plv8 | |
aarch64-linux doge | |
x86_64-darwin oksh | |
x86_64-linux python312Packages.jpylyzer | |
x86_64-linux gxplugins-lv2 | |
x86_64-darwin llvmPackages_14.clangUseLLVM | |
x86_64-linux linuxPackages_5_10_hardened.system76-acpi | |
x86_64-darwin haskellPackages.loadavg | |
x86_64-darwin cargo-insta | |
x86_64-linux krita-plugin-gmic | |
x86_64-linux linuxPackages_xanmod_latest.nvidia_x11_production_open | |
x86_64-linux psensor | |
aarch64-linux python312Packages.pytenable | |
aarch64-linux emacsPackages.terminal-focus-reporting | |
x86_64-darwin vimPlugins.vim-pandoc | |
aarch64-darwin haskellPackages.hzenity | |
aarch64-darwin perl536Packages.TestDeep | |
x86_64-linux fim-rs | |
x86_64-darwin amber-secret | |
aarch64-linux python312Packages.ihm | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.kvmfr | |
aarch64-darwin python312Packages.pywebpush | |
x86_64-linux python311Packages.pyodbc | |
x86_64-linux adementary-theme | |
aarch64-darwin python311Packages.tuya-device-sharing-sdk | |
aarch64-darwin python312Packages.deprecat | |
aarch64-linux azure-cli-extensions.guestconfig | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.psv | |
x86_64-darwin python311Packages.graspologic | |
aarch64-darwin emacsPackages.distinguished-theme | |
aarch64-linux emacsPackages.aircon-theme | |
aarch64-darwin haskellPackages.wai-util | |
x86_64-darwin snapcast | |
aarch64-linux orogene | |
aarch64-linux emacsPackages.bibtex-completion | |
aarch64-linux emacsPackages.sequences | |
aarch64-linux haskellPackages.bento | |
aarch64-darwin lua54Packages.sqlite | |
x86_64-linux llvmPackages.libcxx | |
aarch64-darwin haskellPackages.multihashmap | |
x86_64-linux edlib | |
x86_64-darwin emacsPackages.dna-mode | |
aarch64-linux haskellPackages.word-trie | |
x86_64-linux emacsPackages.sml-mode | |
aarch64-darwin perl538Packages.IOAIO | |
x86_64-darwin pgcopydb | |
x86_64-linux pebble | |
x86_64-linux cinnamon.mint-l-icons | |
aarch64-linux azure-cli-extensions.ad | |
aarch64-linux haskellPackages.rio-prettyprint | |
aarch64-linux emacsPackages.ac-etags | |
x86_64-linux python312Packages.ipyniivue | |
x86_64-linux ArchiSteamFarm | |
aarch64-linux python311Packages.caio | |
aarch64-darwin vimPlugins.pgsql-vim | |
aarch64-linux iio-sensor-proxy | |
aarch64-linux python311Packages.mypy-boto3-ram | |
x86_64-darwin bencodetools | |
aarch64-darwin perl538Packages.Autodia | |
x86_64-linux python312Packages.pytesseract | |
aarch64-darwin clang_17 | |
x86_64-linux plasma5Packages.kdevelop-unwrapped | |
x86_64-linux rubyPackages_3_3.redis-store | |
aarch64-linux lemmy-server | |
aarch64-darwin vimPlugins.nvim-nonicons | |
x86_64-linux arcanist | |
aarch64-darwin haskellPackages.phonetic-languages-constraints-array | |
x86_64-linux prooftree | |
x86_64-darwin emacsPackages.etherpad | |
x86_64-linux libsForQt5.qt5.qt3d | |
x86_64-linux emacsPackages.fish-mode | |
x86_64-darwin perl538Packages.ParsePlainConfig | |
x86_64-linux gnatPackages.gprbuild | |
aarch64-darwin coqPackages.mathcomp-solvable | |
x86_64-linux emacsPackages.dash-at-point | |
aarch64-darwin mypaint-brushes | |
aarch64-linux python311Packages.colorlog | |
aarch64-darwin haskellPackages.control-block | |
aarch64-linux home-assistant-component-tests.islamic_prayer_times | |
aarch64-darwin lacus | |
aarch64-darwin haskellPackages.purescript-bridge | |
aarch64-linux haskellPackages.yu-auth | |
x86_64-darwin unicode-emoji | |
x86_64-linux python312Packages.openant | |
x86_64-darwin haskellPackages.ix-shapable | |
aarch64-linux haskellPackages.hmatrix-csv | |
aarch64-darwin haskellPackages.cppfilt | |
x86_64-darwin rubyPackages_3_1.cocoapods-disable-podfile-validations | |
aarch64-linux emacsPackages.isend-mode | |
x86_64-darwin luaPackages.rocks-dev-nvim | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.todotxt | |
aarch64-linux python311Packages.python-dateutil | |
aarch64-linux python312Packages.django-contrib-comments | |
x86_64-darwin haskellPackages.shake-google-closure-compiler | |
x86_64-linux emacsPackages.airplay | |
aarch64-darwin papertrail | |
aarch64-darwin sdrangel | |
x86_64-linux emacsPackages.kolon-mode | |
x86_64-linux haskellPackages.fast-tagsoup | |
x86_64-darwin emacsPackages.color-theme-modern | |
x86_64-darwin cargo-local-registry | |
x86_64-darwin haskellPackages.glasso | |
aarch64-darwin rubyPackages.webrick | |
x86_64-darwin python311Packages.julius | |
x86_64-darwin speedcrunch | |
aarch64-darwin emacsPackages.ob-haxe | |
x86_64-linux haskellPackages.AC-Random | |
x86_64-linux python312Packages.libasyncns | |
x86_64-darwin perl536Packages.DevelFindPerl | |
aarch64-darwin python312Packages.azure-batch | |
x86_64-linux emacsPackages.erc-social-graph | |
aarch64-darwin emacsPackages.parseclj | |
x86_64-linux vertcoin | |
x86_64-linux libcbor | |
aarch64-darwin python312Packages.pysignalclirestapi | |
aarch64-linux python311Packages.anel-pwrctrl-homeassistant | |
aarch64-darwin rubyPackages_3_1.htmlbeautifier | |
aarch64-darwin python311Packages.jdatetime | |
aarch64-linux haskellPackages.dimensional-codata | |
aarch64-linux emacsPackages.evil-nl-break-undo | |
aarch64-darwin python311Packages.mkl-service | |
x86_64-darwin git-releaser | |
x86_64-darwin python311Packages.mypy-boto3-imagebuilder | |
x86_64-linux systemfd | |
x86_64-linux levant | |
x86_64-linux python311Packages.py17track | |
x86_64-linux libbpf_0 | |
x86_64-linux wasabiwallet | |
aarch64-linux abi-compliance-checker | |
aarch64-darwin python311Packages.dissect-etl | |
aarch64-darwin python311Packages.certifi | |
aarch64-linux python311Packages.python-secp256k1-cardano | |
aarch64-linux python312Packages.pyevilgenius | |
x86_64-darwin leanify | |
x86_64-linux maim | |
x86_64-darwin perl536Packages.SubUplevel | |
x86_64-linux cudaPackages.cuda_nvrtc | |
aarch64-darwin luaPackages.nui-nvim | |
aarch64-linux python312Packages.pyvolumio | |
x86_64-linux python312Packages.testscenarios | |
x86_64-linux lightdm-enso-os-greeter | |
aarch64-linux emacsPackages.punctuality-logger | |
x86_64-linux vimPlugins.base46 | |
aarch64-darwin emacsPackages.third-time | |
x86_64-linux python312Packages.netutils | |
aarch64-darwin python311Packages.aiobotocore | |
x86_64-darwin python312Packages.azure-mgmt-marketplaceordering | |
x86_64-darwin buildbot-plugins.react-wsgi-dashboards | |
x86_64-linux emacsPackages.outline-minor-faces | |
aarch64-linux SDL2_Pango | |
aarch64-darwin python312Packages.netapp-lib | |
x86_64-darwin python312Packages.mypy-boto3-iotthingsgraph | |
x86_64-linux enigma | |
aarch64-darwin srht-gen-oauth-tok | |
x86_64-linux emacsPackages.sed-mode | |
aarch64-linux emacsPackages.svelte-mode | |
aarch64-linux vimPlugins.vim-smartbw | |
x86_64-darwin neo4j | |
x86_64-darwin python311Packages.mypy-boto3-mobile | |
x86_64-linux cmark | |
aarch64-linux home-assistant-component-tests.laundrify | |
aarch64-darwin python311Packages.bids-validator | |
x86_64-linux emacsPackages.ivy-todo | |
x86_64-darwin jags | |
aarch64-linux postgresql16Packages.pg_hll | |
x86_64-darwin marst | |
aarch64-darwin emacsPackages.syslog-mode | |
aarch64-darwin perl538Packages.MathConvexHullMonotoneChain | |
x86_64-darwin perl536Packages.MouseXGetopt | |
x86_64-linux python312Packages.dbfread | |
x86_64-darwin emacsPackages.visual-fill | |
x86_64-darwin libtoxcore | |
x86_64-darwin python312Packages.sanic | |
aarch64-linux haskellPackages.implicit-hie | |
aarch64-darwin emacsPackages.list-unicode-display | |
x86_64-linux gnomeExtensions.auto-select-headset | |
aarch64-linux llvmPackages.clang-manpages | |
x86_64-darwin python311Packages.pathlib-abc | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.gcadapter-oc-kmod | |
aarch64-linux emojipick | |
aarch64-darwin lua52Packages.luacov | |
aarch64-linux etesync-dav | |
x86_64-darwin perl538Packages.TestAbortable | |
x86_64-darwin bucklespring-x11 | |
aarch64-darwin python311Packages.ijson | |
aarch64-linux emacsPackages.magic-filetype | |
x86_64-linux unzrip | |
aarch64-linux linuxPackages_latest-libre.hyperv-daemons | |
aarch64-linux linuxPackages_latest-libre.rtl8821ce | |
aarch64-linux linuxPackages_6_1_hardened.rtl8812au | |
aarch64-linux python312Packages.distutils-extra | |
x86_64-darwin haskellPackages.appendmap | |
aarch64-linux emacsPackages.one | |
x86_64-darwin python311Packages.bytecode | |
aarch64-darwin plasma5Packages.kwidgetsaddons | |
x86_64-darwin emacsPackages.vdf-mode | |
x86_64-darwin bcal | |
x86_64-linux vintagestory | |
x86_64-darwin vimPlugins.hardtime-nvim | |
aarch64-linux python311Packages.atenpdu | |
x86_64-darwin python312Packages.django-hcaptcha | |
aarch64-darwin perl538Packages.GetoptLongDescriptive | |
x86_64-linux python311Packages.clarabel | |
x86_64-linux python311Packages.fortiosapi | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-127 | |
x86_64-linux python312Packages.justnimbus | |
aarch64-darwin gscan2pdf | |
aarch64-darwin optifinePackages.optifine_1_14_4 | |
aarch64-darwin xorg.xlsatoms | |
aarch64-linux python311Packages.pelican | |
aarch64-darwin python312Packages.fontmath | |
x86_64-linux git-review | |
aarch64-darwin python311Packages.foolscap | |
x86_64-linux python312Packages.deemix | |
aarch64-darwin rubyPackages_3_3.dentaku | |
aarch64-darwin perl536Packages.OpenGL | |
x86_64-linux python312Packages.types-aiobotocore-cognito-identity | |
aarch64-darwin python311Packages.mapbox-earcut | |
x86_64-linux emacsPackages.code-library | |
aarch64-darwin emacsPackages.link-hint | |
x86_64-linux python312Packages.py-multihash | |
aarch64-darwin rubyPackages_3_1.typhoeus | |
aarch64-linux python312Packages.crashtest | |
x86_64-darwin python312Packages.upnpy | |
x86_64-darwin k40-whisperer | |
aarch64-linux haskellPackages.hsilop | |
aarch64-linux python312Packages.ipyxact | |
x86_64-linux haskellPackages.Pup-Events-PQueue | |
x86_64-linux libslirp | |
x86_64-darwin haskellPackages.isbn | |
aarch64-darwin perl536Packages.CatalystTraitForRequestProxyBase | |
x86_64-linux linuxPackages_xanmod_stable.rust-out-of-tree-module | |
aarch64-linux cli11 | |
x86_64-darwin corsair | |
x86_64-darwin python312Packages.xmind | |
aarch64-linux python311Packages.notify-events | |
x86_64-linux haskellPackages.type-rig | |
aarch64-darwin perl536Packages.HTMLFormatTextWithLinksAndTables | |
x86_64-linux fahclient | |
x86_64-darwin python311Packages.reportengine | |
x86_64-linux gubbi-font | |
x86_64-darwin ksh | |
aarch64-darwin vimPlugins.wal-vim | |
x86_64-linux edgetx | |
aarch64-linux licenseclassifier | |
aarch64-linux deepsea | |
aarch64-darwin python312Packages.pydata-sphinx-theme | |
x86_64-linux haskellPackages.keuringsdienst | |
x86_64-darwin gitnuro | |
aarch64-linux python311Packages.npyscreen | |
x86_64-darwin icu72 | |
aarch64-linux python312Packages.azure-keyvault | |
aarch64-linux python311Packages.shiboken6 | |
x86_64-linux python312Packages.luddite | |
aarch64-darwin python311Packages.k-diffusion | |
aarch64-linux emacsPackages.magit-commit-mark | |
aarch64-darwin goeland | |
x86_64-linux wyvern | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.git_rebase | |
x86_64-darwin rubyPackages.liquid | |
x86_64-darwin python312Packages.pysigma-pipeline-sysmon | |
aarch64-linux higan | |
aarch64-darwin perl538Packages.X11XCB | |
x86_64-darwin emacsPackages.persp-mode-projectile-bridge | |
aarch64-darwin vimPlugins.vifm-vim | |
x86_64-linux luajitPackages.lrexlib-pcre | |
x86_64-linux haskellPackages.yesod-websockets-extra | |
aarch64-darwin xorg.libdmx | |
aarch64-linux fast-float | |
x86_64-darwin python312Packages.parsedatetime | |
x86_64-darwin python312Packages.jupyter-server | |
x86_64-darwin azure-cli-extensions.dms-preview | |
aarch64-darwin vimPlugins.cmp-nvim-lua | |
x86_64-darwin emacsPackages.hacker-typer | |
aarch64-linux python312Packages.pook | |
x86_64-linux linuxKernel.packages.linux_5_10.system76-scheduler | |
x86_64-linux retrofe | |
aarch64-darwin python311Packages.dictdiffer | |
x86_64-linux pcmanfm-qt | |
aarch64-darwin haskellPackages.nothunks | |
x86_64-linux gnome.gnome-settings-daemon | |
aarch64-linux haskellPackages.json2yaml | |
aarch64-linux pa-notify | |
x86_64-linux linuxKernel.packages.linux_hardened.veikk-linux-driver | |
aarch64-linux azimuth | |
x86_64-linux emacsPackages.hiddenquote | |
aarch64-linux python311Packages.pyvmomi | |
aarch64-linux clipit | |
x86_64-linux haskellPackages.pipeclip | |
x86_64-linux python312Packages.anytree | |
aarch64-darwin rubyPackages_3_3.uri | |
aarch64-linux python311Packages.omemo-dr | |
x86_64-linux sbclPackages.cl-ppcre-template | |
aarch64-linux python311Packages.envoy-utils | |
x86_64-linux python312Packages.mdutils | |
aarch64-darwin rubyPackages.jemoji | |
x86_64-darwin haskellPackages.metrics | |
x86_64-linux river | |
x86_64-linux sbclPackages.http-body | |
x86_64-linux python311Packages.miniaudio | |
aarch64-darwin haskellPackages.amazonka-transcribe | |
x86_64-linux emacsPackages.kotlin-mode | |
x86_64-darwin perl538Packages.Logger | |
aarch64-linux emacsPackages.blog-minimal | |
aarch64-darwin rubyPackages.mime-types | |
aarch64-darwin godu | |
x86_64-darwin python311Packages.cov-core | |
aarch64-darwin python311Packages.mmtf-python | |
x86_64-linux python311Packages.lunarcalendar | |
aarch64-linux python312Packages.types-aiobotocore-mediatailor | |
x86_64-darwin python311Packages.prometheus-client | |
aarch64-linux armitage | |
x86_64-darwin emacsPackages.haste | |
x86_64-darwin idasen | |
aarch64-linux emacsPackages.bury-successful-compilation | |
x86_64-linux kdePackages.kauth | |
x86_64-linux linuxKernel.packages.linux_5_4.system76 | |
x86_64-linux linuxKernel.packages.linux_hardened.tuxedo-keyboard | |
x86_64-linux haskellPackages.monad-io-adapter | |
aarch64-linux python312Packages.tablib | |
x86_64-darwin rubyPackages_3_2.actionmailbox | |
aarch64-darwin python311Packages.roadrecon | |
aarch64-darwin python312Packages.pytest-sugar | |
aarch64-linux libzmf | |
aarch64-darwin emacsPackages.helm-perldoc | |
x86_64-linux adoptopenjdk-openj9-bin-11 | |
aarch64-linux emacsPackages.wisi | |
aarch64-linux emacsPackages.build-helper | |
aarch64-darwin perl536Packages.MooseXAttributeHelpers | |
aarch64-darwin perl536Packages.TextAspell | |
aarch64-linux cereal_1_3_2 | |
aarch64-linux emacsPackages.ido-skk | |
aarch64-darwin haskellPackages.teardown | |
aarch64-darwin tmuxPlugins.tilish | |
x86_64-linux vimPlugins.nvim-lilypond-suite | |
x86_64-darwin python311Packages.pyrisco | |
aarch64-darwin vimPlugins.terminus | |
aarch64-linux python312Packages.mypy-boto3-sagemaker | |
x86_64-linux postgresql13Packages.postgis | |
x86_64-linux python312Packages.aiotractive | |
x86_64-darwin perl538Packages.DateTimeTimeZone | |
x86_64-darwin vala-language-server | |
aarch64-darwin libmatheval | |
x86_64-linux python312Packages.mypy-boto3-iotwireless | |
aarch64-linux python311Packages.types-urllib3 | |
x86_64-darwin hivemind | |
aarch64-linux python312Packages.testing-postgresql | |
x86_64-darwin snpeff | |
x86_64-linux git-ignore | |
x86_64-darwin libretro.stella2014 | |
aarch64-darwin haskellPackages.byteslice | |
aarch64-darwin hamlib_4 | |
x86_64-linux gimme-aws-creds | |
aarch64-linux maturin | |
aarch64-darwin python312Packages.python-jsonrpc-server | |
x86_64-darwin rubyPackages_3_3.gobject-introspection | |
x86_64-linux python311Packages.xlrd | |
x86_64-linux rubyPackages_3_2.magic | |
aarch64-darwin vimPlugins.auto-hlsearch-nvim | |
x86_64-linux python311Packages.soundfile | |
x86_64-darwin lua53Packages.compat53 | |
aarch64-darwin perl538Packages.MojoliciousPluginMail | |
x86_64-linux scripthaus | |
aarch64-darwin python312Packages.cffconvert | |
x86_64-darwin emacsPackages.org-re-reveal-ref | |
aarch64-linux calls | |
aarch64-linux truecrack-cuda | |
aarch64-linux python312Packages.avro3k | |
x86_64-darwin aws-rotate-key | |
x86_64-darwin python312Packages.mypy-boto3-mediatailor | |
x86_64-darwin python312Packages.dsinternals | |
aarch64-darwin haskellPackages.knit | |
x86_64-linux llvmPackages_12.lld | |
x86_64-darwin python312Packages.pytest-order | |
x86_64-linux auctex | |
aarch64-darwin perl538Packages.TextHogan | |
aarch64-darwin docker_25 | |
x86_64-darwin hunspellDicts.fa_IR | |
aarch64-darwin perl536Packages.MooseXStrictConstructor | |
aarch64-linux haskellPackages.type-equality | |
aarch64-darwin coq_8_16 | |
aarch64-darwin python312Packages.authres | |
x86_64-linux python311Packages.colored-traceback | |
x86_64-linux ue4demos.card_game | |
aarch64-linux emacsPackages.ydk-mode | |
aarch64-linux linuxPackages_latest.rtl8189fs | |
aarch64-linux vimPlugins.deoplete-phpactor | |
aarch64-darwin haskellPackages.terminal-size | |
x86_64-darwin python312Packages.cornice | |
x86_64-linux python311Packages.pytapo | |
aarch64-linux haskellPackages.n2o | |
x86_64-linux crystal_1_2 | |
aarch64-linux farbfeld | |
x86_64-linux python312Packages.pymatgen | |
aarch64-darwin perl538Packages.SQLAbstract | |
x86_64-linux linuxPackages_lqx.rtl8189es | |
aarch64-darwin haskellPackages.sqlite-simple | |
x86_64-darwin python311Packages.django-tables2 | |
x86_64-darwin haskellPackages.focuslist | |
x86_64-linux texworks | |
x86_64-darwin timeline | |
x86_64-linux planus | |
aarch64-linux tintin | |
x86_64-darwin xorg.xf86inputevdev | |
aarch64-linux python311Packages.aiolimiter | |
aarch64-linux home-assistant-component-tests.humidifier | |
aarch64-darwin haskellPackages.moving-averages | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-51 | |
x86_64-linux lomiri.lomiri-app-launch | |
x86_64-darwin emacsPackages.stickyfunc-enhance | |
aarch64-darwin emacsPackages.chruby | |
x86_64-linux python311Packages.mypy-boto3-neptunedata | |
x86_64-darwin python312Packages.pymeteireann | |
aarch64-linux python312Packages.mypy-boto3-sts | |
x86_64-linux haskellPackages.lazysplines | |
aarch64-linux emacsPackages.code-compass | |
x86_64-darwin python312Packages.pyunifiprotect | |
aarch64-darwin python312Packages.py-radix-sr | |
aarch64-darwin lua53Packages.ldbus | |
x86_64-linux python312Packages.dropbox | |
aarch64-darwin haskellPackages.traverse-with-class | |
x86_64-linux qt6Packages.qzxing | |
aarch64-linux haskellPackages.amazonka-databrew | |
aarch64-linux nfs-ganesha | |
aarch64-darwin libretro.twenty-fortyeight | |
x86_64-darwin haskellPackages.amazonka-cognito-idp | |
aarch64-linux python311Packages.pypamtest | |
x86_64-darwin perl538Packages.LogContextual | |
x86_64-linux postgresql13Packages.pg_partman | |
x86_64-linux home-assistant-component-tests.ping | |
aarch64-darwin haskellPackages.mbox-utility | |
aarch64-darwin python311Packages.mypy-boto3-athena | |
aarch64-linux home-assistant-component-tests.wemo | |
aarch64-darwin midimonster | |
x86_64-linux python311Packages.apkit | |
aarch64-darwin python312Packages.ajsonrpc | |
x86_64-darwin python312Packages.easyocr | |
aarch64-linux linuxKernel.packages.linux_5_4.r8125 | |
aarch64-darwin haskellPackages.unbounded-delays | |
aarch64-linux fw-ectool | |
aarch64-linux haskellPackages.zalgo | |
x86_64-darwin perl538Packages.ArchiveTarWrapper | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-128 | |
x86_64-linux robo3t | |
x86_64-linux rubyPackages_3_2.ruby-graphviz | |
aarch64-darwin python311Packages.starlette-context | |
x86_64-linux zabbix50.server-pgsql | |
aarch64-darwin jove | |
aarch64-darwin libretro.snes9x | |
aarch64-darwin haskellPackages.rfc1751 | |
aarch64-linux emacsPackages.magrant | |
x86_64-darwin haskellPackages.htodo | |
aarch64-linux emacsPackages.ido-occasional | |
x86_64-linux emacsPackages.cql-mode | |
aarch64-darwin postgresql13Packages.hypopg | |
aarch64-darwin python311Packages.pysvg-py3 | |
x86_64-linux lua51Packages.luaossl | |
aarch64-linux vscode | |
aarch64-linux emacsPackages.jknav | |
x86_64-darwin libusbp | |
x86_64-darwin lua54Packages.mimetypes | |
x86_64-linux haskellPackages.table-layout | |
aarch64-linux linuxPackages_4_19_hardened.framework-laptop-kmod | |
x86_64-darwin python312Packages.remarshal | |
x86_64-darwin vimPlugins.ssr-nvim | |
aarch64-darwin python312Packages.mypy-boto3-forecast | |
x86_64-linux emacsPackages.wacspace | |
aarch64-linux libsForQt5.plasma-nm | |
aarch64-linux emacsPackages.magit-section | |
aarch64-darwin perl536Packages.TestTableDriven | |
x86_64-linux python311Packages.mypy-boto3-polly | |
x86_64-linux coqPackages.coq-lsp | |
x86_64-linux firewalld-gui | |
aarch64-darwin lightly-boehs | |
aarch64-darwin emacsPackages.ox-haunt | |
aarch64-darwin pict-rs_0_3 | |
aarch64-darwin haskellPackages.unagi-chan | |
x86_64-linux emacsPackages.hgignore-mode | |
aarch64-darwin xnotify | |
x86_64-linux droidmote | |
aarch64-linux python311Packages.character-encoding-utils | |
x86_64-darwin chickenPackages_5.chickenEggs.rlimit | |
aarch64-linux rubyPackages_3_2.ruby-lsp | |
x86_64-darwin perl536Packages.AuthenKrb5 | |
x86_64-linux emacsPackages.opensub | |
x86_64-linux haskellPackages.left4deadrl | |
aarch64-linux python311Packages.asgineer | |
aarch64-linux bat | |
x86_64-linux cudaPackages.cudnn_8_1 | |
aarch64-darwin python311Packages.aranet4 | |
x86_64-linux python312Packages.plone-testing | |
x86_64-darwin emacsPackages.password-store-otp | |
x86_64-linux perl536Packages.DistZillaPluginTestSynopsis | |
x86_64-darwin vimPlugins.vim-tbone | |
x86_64-linux linuxKernel.packages.linux_libre.nvidia_x11_vulkan_beta_open | |
aarch64-linux python312Packages.slicerator | |
aarch64-linux ethercalc | |
aarch64-darwin haskellPackages.tasty-ant-xml | |
x86_64-darwin flood | |
aarch64-linux linuxKernel.packages.linux_xanmod.cryptodev | |
x86_64-linux emacsPackages.ebib | |
x86_64-darwin emacsPackages.minibuffer-modifier-keys | |
x86_64-linux senpai | |
aarch64-darwin ols | |
x86_64-linux python311Packages.torchinfo | |
x86_64-linux haskellPackages.texts | |
aarch64-linux lua51Packages.libluv | |
x86_64-linux python312Packages.timezonefinder | |
aarch64-darwin libretro.mame2003 | |
aarch64-darwin python312Packages.vidstab | |
aarch64-darwin haskellPackages.record-dot-preprocessor | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.tsv | |
x86_64-darwin python311Packages.cssselect2 | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.racket | |
aarch64-darwin haskellPackages.timelike | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.broadcom_sta | |
x86_64-linux emacsPackages.flycheck-package | |
aarch64-darwin haskellPackages.envy | |
aarch64-darwin rubyPackages_3_2.jekyll-default-layout | |
aarch64-darwin haskellPackages.ngx-export | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.ddcci-driver | |
x86_64-darwin emacsPackages.term-cmd | |
x86_64-darwin haskellPackages.spine | |
aarch64-darwin python312Packages.gviz-api | |
aarch64-darwin lua51Packages.alt-getopt | |
aarch64-linux haskellPackages.smarties | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.ompi-cxx | |
aarch64-darwin haskellPackages.RBTree | |
aarch64-darwin python311Packages.tls-client | |
x86_64-linux bukubrow | |
x86_64-linux anki-sync-server | |
x86_64-linux linuxPackages.ena | |
aarch64-darwin emacsPackages.berrys-theme | |
aarch64-linux python311Packages.spacy-pkuseg | |
x86_64-linux msrtool | |
aarch64-linux pinpoint | |
x86_64-linux python311Packages.metakernel | |
x86_64-linux linuxPackages_lqx.opensnitch-ebpf | |
aarch64-linux python312Packages.mypy-boto3-codeguru-reviewer | |
x86_64-darwin haskellPackages.nf | |
x86_64-linux python311Packages.scrapy | |
x86_64-darwin knockpy | |
x86_64-linux catgirl | |
x86_64-linux python312Packages.httpcore | |
aarch64-linux emacsPackages.helm-projectile | |
x86_64-darwin pssh | |
x86_64-darwin python311Packages.mypy-boto3-cloudtrail-data | |
aarch64-darwin chickenPackages_5.chickenEggs.sxml-transforms | |
aarch64-linux python312Packages.keras-applications | |
x86_64-linux python311Packages.ms-active-directory | |
aarch64-linux libsForQt5.kcodecs | |
x86_64-darwin libvorbis | |
x86_64-linux python312Packages.aiogithubapi | |
aarch64-darwin rubyPackages_3_2.syntax_tree | |
aarch64-linux python312Packages.pymedio | |
x86_64-darwin squashfs-tools-ng | |
x86_64-linux vimPlugins.ncm2 | |
x86_64-linux cinnamon.cinnamon-gsettings-overrides | |
x86_64-darwin ant-theme | |
x86_64-linux python312Packages.dremel3dpy | |
aarch64-darwin python311Packages.libpwquality | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.hlsl | |
x86_64-darwin emacsPackages.typing-game | |
x86_64-darwin perl536Packages.AuthenRadius | |
aarch64-darwin python311Packages.pyleri | |
x86_64-linux diskscan | |
aarch64-linux gnome.gnome-robots | |
x86_64-linux llvmPackages.clangNoCompilerRtWithLibc | |
aarch64-linux emacsPackages.recompile-on-save | |
x86_64-darwin python312Packages.opencensus-ext-azure | |
aarch64-linux python312Packages.pytest-voluptuous | |
x86_64-darwin gobgpd | |
x86_64-linux kdePackages.kross-interpreters | |
x86_64-darwin python312Packages.graphviz | |
x86_64-linux python312Packages.liblzfse | |
aarch64-darwin python311Packages.canonicaljson | |
x86_64-darwin python312Packages.pydicom-seg | |
x86_64-linux rubyPackages_3_2.ruby-vips | |
x86_64-darwin python311Packages.libsavitar | |
x86_64-linux lvm2_dmeventd | |
aarch64-darwin azure-cli-extensions.ip-group | |
aarch64-linux haskellPackages.shared-memory | |
aarch64-darwin vimPlugins.dial-nvim | |
aarch64-darwin python311Packages.stevedore | |
x86_64-darwin haskellPackages.gi-gtk | |
x86_64-linux python311Packages.pytest-tornado | |
aarch64-linux rubyPackages_3_3.haml | |
aarch64-linux python311Packages.zope-schema | |
aarch64-darwin pipr | |
aarch64-linux vimPlugins.restore-view-vim | |
x86_64-linux python312Packages.contexter | |
aarch64-darwin python311Packages.htseq | |
aarch64-linux blockattack | |
x86_64-darwin haskellPackages.zenacy-unicode | |
x86_64-linux gnomeExtensions.wifi-qrcode | |
x86_64-linux gnomeExtensions.tilingnome | |
aarch64-darwin libsvm | |
x86_64-linux nvc | |
x86_64-darwin emacsPackages.sass-mode | |
aarch64-darwin azure-cli-extensions.powerbidedicated | |
aarch64-linux python311Packages.elmax | |
aarch64-linux emacsPackages.latex-pretty-symbols | |
aarch64-linux kdePackages.incidenceeditor | |
x86_64-linux linuxPackages_xanmod_stable.xpadneo | |
x86_64-linux python312Packages.wasserstein | |
aarch64-darwin shattered-pixel-dungeon | |
aarch64-darwin emacsPackages.codesearch | |
x86_64-darwin python311Packages.ipfshttpclient | |
aarch64-darwin aspellDicts.te | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.v4l2loopback | |
aarch64-linux root5 | |
x86_64-linux openturns | |
aarch64-darwin vimPlugins.vim-startuptime | |
aarch64-darwin chickenPackages_5.chickenEggs.error-utils | |
x86_64-linux python312Packages.rtfde | |
aarch64-darwin libretro.swanstation | |
x86_64-linux haskellPackages.aeson-tiled | |
aarch64-linux haskellPackages.mpolynomials | |
x86_64-linux python311Packages.deal-solver | |
x86_64-linux arphic-uming | |
x86_64-darwin python311Packages.mrjob | |
x86_64-darwin emacsPackages.search-web | |
x86_64-darwin python311Packages.vg | |
aarch64-darwin emacsPackages.binclock | |
x86_64-darwin emacsPackages.pgdevenv | |
aarch64-darwin perl536Packages.LocaleMaketextFuzzy | |
aarch64-darwin python312Packages.matplotlib | |
x86_64-darwin tt2020 | |
x86_64-linux pidginPackages.pidgin-skypeweb | |
aarch64-darwin clangStdenvNoLibs | |
aarch64-linux emacsPackages.nameframe-perspective | |
aarch64-linux libappimage | |
x86_64-darwin jpegrescan | |
x86_64-linux haskellPackages.amazonka-ecs | |
aarch64-darwin python312Packages.mypy-boto3-storagegateway | |
aarch64-darwin perl536Packages.NetSSH | |
x86_64-linux kdePackages.kidentitymanagement | |
aarch64-linux kamid | |
aarch64-darwin python312Packages.clevercsv | |
aarch64-linux llvmPackages.clangNoLibc | |
aarch64-darwin gap-full | |
aarch64-linux haskellPackages.cabalg | |
aarch64-darwin python312Packages.xlrd | |
aarch64-linux emacsPackages.dired-lsi | |
aarch64-linux libavif | |
x86_64-darwin python312Packages.symengine | |
x86_64-linux lua51Packages.rapidjson | |
x86_64-darwin perl536Packages.ApacheDB | |
aarch64-darwin python311Packages.sphinxcontrib-qthelp | |
aarch64-darwin emacsPackages.sxiv | |
x86_64-darwin emacsPackages.quickrun | |
x86_64-linux prettypst | |
x86_64-linux funzzy | |
aarch64-darwin python311Packages.types-aiobotocore-securityhub | |
x86_64-linux python312Packages.json-logging | |
x86_64-darwin python312Packages.dtschema | |
aarch64-darwin azure-cli-extensions.spring-cloud | |
aarch64-linux python311Packages.labmath | |
x86_64-darwin python312Packages.patch | |
aarch64-linux linuxKernel.packages.linux_libre.odp-dpdk | |
x86_64-darwin python311Packages.azure-mgmt-logic | |
aarch64-darwin perl536Packages.TestWarn | |
aarch64-linux python311Packages.mortgage | |
x86_64-darwin minio | |
aarch64-darwin rubyPackages_3_2.tiny_tds | |
aarch64-darwin emacsPackages.ynab | |
x86_64-darwin python312Packages.bluecurrent-api | |
aarch64-linux llvmPackages_14.compiler-rt-libc | |
x86_64-linux haskellPackages.cppfilt | |
aarch64-linux python312Packages.vt-py | |
aarch64-darwin python311Packages.phx-class-registry | |
aarch64-linux python312Packages.dlx | |
x86_64-linux postgresql13Packages.anonymizer | |
aarch64-darwin haskellPackages.prometheus-metrics-ghc | |
aarch64-darwin postgresql16JitPackages.pg_roaringbitmap | |
x86_64-linux emacsPackages.flycheck-swiftlint | |
x86_64-darwin haskellPackages.diagrams-graphviz | |
x86_64-darwin perl536Packages.libxml_perl | |
x86_64-linux makeGCOVReport | |
aarch64-darwin perl536Packages.AnyEventAIO | |
aarch64-darwin vimPlugins.onehalf | |
x86_64-darwin python311Packages.zope-exceptions | |
aarch64-darwin python312Packages.nltk | |
x86_64-darwin python311Packages.unasync | |
x86_64-darwin luajitPackages.telescope-nvim | |
x86_64-linux python311Packages.okta | |
aarch64-linux python311Packages.pytikz-allefeld | |
x86_64-linux toolbox | |
x86_64-darwin haskellPackages.vector-bytes-instances | |
x86_64-linux flips | |
aarch64-darwin emacsPackages.grammarly | |
x86_64-darwin haskellPackages.unique-lang | |
aarch64-linux python311Packages.sphinx-comments | |
x86_64-darwin lenmus | |
x86_64-darwin mbed-cli | |
x86_64-darwin haskellPackages.sym-plot | |
aarch64-linux haskellPackages.text-iso8601 | |
aarch64-darwin haskellPackages.monadlist | |
x86_64-linux python312Packages.accuweather | |
aarch64-darwin synapse-bt | |
x86_64-linux python311Packages.paginate | |
x86_64-linux plasma5Packages.prison | |
aarch64-linux postgresql12Packages.pg_similarity | |
aarch64-linux python311Packages.schema-salad | |
x86_64-linux python312Packages.safe-pysha3 | |
aarch64-darwin haskellPackages.opentheory-probability | |
aarch64-darwin hunspellDicts.pl-pl | |
x86_64-linux libsForQt5.kcalc | |
aarch64-darwin lua52Packages.rocks-git-nvim | |
x86_64-darwin perl538Packages.TaskTestRunAllPlugins | |
x86_64-linux python311Packages.simplehound | |
aarch64-linux python312Packages.prometrix | |
x86_64-darwin mongodb-tools | |
x86_64-darwin perl536Packages.ModuleExtractVERSION | |
aarch64-darwin ps2eps | |
aarch64-linux python311Packages.mypy-boto3-events | |
x86_64-darwin gnumake | |
aarch64-linux python312Packages.google-cloud-os-config | |
aarch64-darwin haskellPackages.binary-generic-combinators | |
aarch64-linux kdePackages.ksirk | |
aarch64-darwin emacsPackages.stan-mode | |
aarch64-linux emacsPackages.liso-theme | |
x86_64-linux mergerfs | |
aarch64-linux haskellPackages.knit | |
aarch64-darwin python311Packages.mypy-boto3-mgn | |
x86_64-darwin emacsPackages.helm-bibtex | |
aarch64-darwin python312Packages.aiopyarr | |
x86_64-darwin haskellPackages.Interpolation | |
aarch64-linux python312Packages.meross-iot | |
x86_64-darwin python312Packages.python-motionmount | |
x86_64-darwin livepeer | |
x86_64-darwin haskellPackages.random-fu | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.cairo-gobject | |
x86_64-linux vimPlugins.neco-syntax | |
aarch64-linux python312Packages.typogrify | |
x86_64-linux rubyPackages_3_2.rake | |
x86_64-darwin python311Packages.cwl-utils | |
x86_64-darwin zpix-pixel-font | |
x86_64-darwin haskellPackages.applicative-extras | |
aarch64-darwin emacsPackages.faustine | |
aarch64-darwin emacsPackages.names | |
x86_64-darwin haskellPackages.pareto-front | |
x86_64-linux python311Packages.draftjs-exporter | |
x86_64-linux xwayland-run | |
x86_64-darwin emacsPackages.jenkinsfile-mode | |
x86_64-darwin python311Packages.ecoaliface | |
x86_64-linux restream | |
aarch64-linux gnome-inform7 | |
x86_64-darwin python311Packages.mypy-boto3-connect | |
x86_64-darwin python312Packages.phx-class-registry | |
aarch64-linux haskellPackages.schonfinkeling | |
x86_64-linux iptsd | |
x86_64-darwin emacsPackages.ob-solidity | |
x86_64-linux yamlfix | |
aarch64-darwin mpdas | |
x86_64-linux alfis | |
x86_64-linux lua53Packages.lua_cliargs | |
aarch64-darwin nix-update-source | |
x86_64-linux home-assistant-component-tests.discord | |
x86_64-darwin python311Packages.docformatter | |
x86_64-darwin peruse | |
aarch64-darwin hfst | |
x86_64-darwin python311Packages.argos-translate-files | |
x86_64-linux linuxKernel.packages.linux_lqx.rtw88 | |
aarch64-linux php82Packages.box | |
x86_64-linux popura | |
aarch64-linux python312Packages.djangorestframework-guardian2 | |
x86_64-linux vimPlugins.vim-teal | |
x86_64-darwin sourcehut.hubsrht | |
aarch64-darwin emacsPackages.boa-ide | |
aarch64-darwin python312Packages.azure-mgmt-core | |
x86_64-linux haskellPackages.simple | |
x86_64-linux python311Packages.onlykey-solo-python | |
x86_64-darwin python312Packages.pytest-asyncio_0_21 | |
x86_64-linux mlarchive2maildir | |
aarch64-darwin libb64 | |
aarch64-darwin haskellPackages.wrapped | |
aarch64-darwin s6-portable-utils-man-pages | |
x86_64-darwin emacsPackages.arbitools | |
x86_64-linux python311Packages.nitransforms | |
aarch64-linux python312Packages.logmatic-python | |
x86_64-linux haskellPackages.terminal | |
aarch64-darwin perl538Packages.FontAFM | |
x86_64-darwin python39 | |
x86_64-darwin vimPlugins.vim-bracketed-paste | |
aarch64-linux emacsPackages.winum | |
aarch64-linux vimPlugins.colorbuddy-nvim | |
aarch64-linux python311Packages.interruptingcow | |
aarch64-darwin godns | |
aarch64-darwin haskellPackages.amazonka-migrationhubstrategy | |
aarch64-linux python311Packages.dataclass-factory | |
aarch64-darwin python312Packages.layoutparser | |
x86_64-linux python312Packages.xgboost | |
aarch64-darwin emacsPackages.evil-fringe-mark | |
x86_64-darwin suitesparse | |
aarch64-linux perl538Packages.NetSMTPSSL | |
x86_64-darwin emacsPackages.brazilian-holidays | |
x86_64-linux haskellPackages.skylighting-format-blaze-html | |
x86_64-darwin jj | |
x86_64-darwin perl538Packages.TestRunPluginColorSummary | |
aarch64-linux python312Packages.pyahocorasick | |
aarch64-darwin python311Packages.psautohint | |
aarch64-linux ipfs-upload-client | |
aarch64-darwin postgresqlJitPackages.pgroonga | |
x86_64-darwin emacsPackages.so-long | |
aarch64-darwin python311Packages.cson | |
aarch64-linux emacsPackages.docstr | |
aarch64-darwin postgresql14JitPackages.pg_libversion | |
x86_64-darwin vimPlugins.vim-quickrun | |
x86_64-darwin charasay | |
x86_64-linux cjson | |
x86_64-linux python311Packages.mpd2 | |
x86_64-darwin python311Packages.argh | |
x86_64-linux python311Packages.mkdocs | |
x86_64-linux python312Packages.zope-deprecation | |
aarch64-darwin mutt-ics | |
aarch64-linux emacsPackages.ivariants | |
x86_64-darwin prevo-tools | |
x86_64-linux kubeaudit | |
aarch64-linux emacsPackages.other-frame-window | |
aarch64-darwin emacsPackages.audacious | |
x86_64-linux lightdm-slick-greeter | |
x86_64-linux python311Packages.pysubs2 | |
aarch64-linux python311Packages.zipp | |
x86_64-linux python311Packages.requests-ntlm | |
aarch64-linux python312Packages.anytree | |
x86_64-darwin python312Packages.solax | |
x86_64-darwin lua53Packages.luarocks | |
x86_64-linux kicad-unstable | |
x86_64-darwin python312Packages.scikit-optimize | |
aarch64-linux vimPlugins.headlines-nvim | |
aarch64-darwin postgresql14JitPackages.pg_cron | |
x86_64-linux python311Packages.snaptime | |
aarch64-linux youtube-music | |
x86_64-linux python311Packages.halohome | |
aarch64-linux emacsPackages.ox-hugo | |
x86_64-darwin perl536Packages.FilePid | |
x86_64-darwin haskellPackages.cryptonite-conduit | |
aarch64-linux mbutil | |
aarch64-darwin luaPackages.penlight | |
x86_64-linux lld | |
x86_64-darwin python312Packages.celery-types | |
aarch64-darwin xorg.fontbhttf | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.ixgbevf | |
x86_64-linux python312Packages.mypy-boto3-kinesis-video-archived-media | |
aarch64-linux haskellPackages.rivet-adaptor-postgresql | |
aarch64-darwin ascii-image-converter | |
x86_64-darwin teos-watchtower-plugin | |
x86_64-darwin kconf | |
x86_64-darwin emacsPackages.smart-yank | |
x86_64-darwin emacsPackages.helm-ack | |
x86_64-darwin python312Packages.types-aiobotocore-codestar | |
x86_64-darwin keeweb | |
aarch64-darwin python311Packages.manifestoo-core | |
x86_64-linux llvmPackages_13.compiler-rt-libc | |
aarch64-darwin emacsPackages.ac-emoji | |
aarch64-linux emacsPackages.ergoemacs-status | |
aarch64-darwin python312Packages.django-widget-tweaks | |
aarch64-linux linuxKernel.packages.linux_hardened.bcc | |
aarch64-darwin idrisPackages.bifunctors | |
x86_64-darwin python311Packages.curlify | |
x86_64-linux python311Packages.aio-geojson-client | |
aarch64-linux emacsPackages.nginx-mode | |
x86_64-linux python311Packages.bpython | |
aarch64-darwin blockbook | |
x86_64-linux snes9x | |
aarch64-darwin ledger-autosync | |
aarch64-linux python312Packages.aiosteamist | |
x86_64-darwin emacsPackages.starhugger | |
aarch64-darwin libretro.bsnes | |
x86_64-linux hmat-oss | |
aarch64-linux c2fmzq | |
aarch64-linux python311Packages.arnparse | |
x86_64-linux swift-corelibs-libdispatch | |
aarch64-linux linuxKernel.packages.linux_latest_libre.rtl8821ce | |
x86_64-darwin docker-credential-helpers | |
aarch64-darwin git2cl | |
x86_64-linux libsForQt5.krunner-ssh | |
x86_64-linux ocis-bin | |
x86_64-linux polylith | |
aarch64-linux haskellPackages.boop | |
aarch64-darwin haskellPackages.pxsl-tools | |
aarch64-darwin perl538Packages.NetCUPS | |
x86_64-darwin dvtm-unstable | |
aarch64-darwin python312Packages.sensorpro-ble | |
aarch64-linux haskellPackages.imagefilters | |
x86_64-linux python312Packages.mwparserfromhell | |
x86_64-darwin python311Packages.json-rpc | |
aarch64-linux haskellPackages.yaml-light | |
x86_64-linux python311Packages.pysmart | |
aarch64-linux python312Packages.hatchling | |
x86_64-linux haskellPackages.psqueues | |
aarch64-linux linuxPackages_latest.gcadapter-oc-kmod | |
aarch64-linux ncgopher | |
x86_64-darwin haskellPackages.phonetic-languages-simplified-properties-array-common | |
aarch64-darwin python311Packages.enamlx | |
x86_64-linux sonic-visualiser | |
x86_64-darwin starry | |
aarch64-darwin skawarePackages.nsss | |
aarch64-darwin python311Packages.llama-index-embeddings-openai | |
aarch64-linux linuxKernel.packages.linux_zen.openafs | |
x86_64-linux python311Packages.zipp | |
aarch64-darwin perl536Packages.LinguaENTagger | |
aarch64-darwin rubyPackages_3_1.awesome_print | |
x86_64-darwin emacsPackages.poke-mode | |
aarch64-linux rocmPackages_5.clr | |
x86_64-darwin chickenPackages_5.chickenEggs.hmac | |
aarch64-darwin python312Packages.pyutil | |
x86_64-darwin python312Packages.yacs | |
aarch64-darwin tinywm | |
x86_64-darwin haskellPackages.cmdargs-browser | |
aarch64-linux haskellPackages.zenhack-prelude | |
x86_64-linux python312Packages.llama-index-vector-stores-google | |
aarch64-linux haskellPackages.gc | |
aarch64-darwin guile-avahi | |
x86_64-darwin haskellPackages.Frames | |
aarch64-linux home-assistant-component-tests.logbook | |
aarch64-linux python311Packages.scripttest | |
aarch64-linux emacsPackages.flycheck-raku | |
aarch64-darwin postgresql12Packages.pg_relusage | |
aarch64-linux uhd | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.zig | |
x86_64-darwin patchutils | |
x86_64-linux coqPackages.vscoq-language-server | |
x86_64-linux python312Packages.meteofrance-api | |
aarch64-darwin kubemq-community | |
x86_64-linux xorg.sessreg | |
x86_64-darwin postgrest | |
aarch64-darwin python312Packages.autologging | |
aarch64-linux vimPlugins.vim-easymotion | |
aarch64-darwin perl536Packages.DBIxClassCursorCached | |
x86_64-linux python311Packages.pytest-benchmark | |
x86_64-linux python312Packages.tinycss | |
aarch64-darwin haskellPackages.aws-sns-verify | |
x86_64-linux fontforge | |
x86_64-linux pinsel | |
aarch64-linux xorg.fontmisccyrillic | |
x86_64-darwin netsurf.libnsutils | |
aarch64-darwin prometheus-pihole-exporter | |
aarch64-linux haskellPackages.yu-utils | |
aarch64-darwin googler | |
aarch64-linux python312Packages.marimo | |
x86_64-linux trippy | |
aarch64-darwin haskellPackages.parsers | |
aarch64-linux libsForQt5.akonadi | |
x86_64-linux phash | |
x86_64-linux python312Packages.art | |
aarch64-linux emacsPackages.standard-dirs | |
x86_64-darwin emacsPackages.ido-load-library | |
x86_64-darwin python311Packages.dotty-dict | |
x86_64-darwin qdjango | |
aarch64-darwin polaris | |
x86_64-darwin python312Packages.x-wr-timezone | |
aarch64-darwin python311Packages.avion | |
aarch64-linux python311Packages.python-ctags3 | |
x86_64-darwin bftools | |
aarch64-linux haskellPackages.ety | |
x86_64-darwin libGLU | |
x86_64-linux groovy | |
aarch64-linux haskellPackages.gloss | |
x86_64-darwin perl538Packages.IOCapture | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.xone | |
aarch64-darwin haskellPackages.servant-flatten | |
aarch64-linux haskellPackages.unusable-pkg | |
x86_64-linux emacsPackages.firrtl-mode | |
aarch64-darwin _6tunnel | |
aarch64-darwin python311Packages.app-model | |
x86_64-linux python311Packages.thelogrus | |
aarch64-linux idrisPackages.webgl | |
aarch64-linux python311Packages.python-csxcad | |
aarch64-darwin haskellPackages.split-tchan | |
aarch64-linux python311Packages.dropmqttapi | |
x86_64-darwin haskellPackages.geodetics | |
x86_64-linux coqPackages.metacoq-pcuic | |
aarch64-darwin python312Packages.daiquiri | |
x86_64-linux gnomeExtensions.wiggle | |
x86_64-darwin tesseract3 | |
aarch64-linux libplacebo_5 | |
x86_64-darwin haskellPackages.zlib-conduit | |
aarch64-darwin openapi-changes | |
x86_64-darwin openntpd_nixos | |
aarch64-darwin vimPlugins.litee-nvim | |
x86_64-linux python311Packages.arnparse | |
aarch64-linux tests.hardeningFlags.fortify3ExplicitDisabled | |
aarch64-linux haskellPackages.vect | |
aarch64-darwin perl536Packages.UriGoogleChart | |
aarch64-linux emacsPackages.alectryon | |
x86_64-linux python312Packages.resampy | |
x86_64-darwin emacsPackages.magrant | |
x86_64-linux haskellPackages.error-analyze | |
x86_64-linux plasma5Packages.libqofono | |
x86_64-darwin ngircd | |
x86_64-linux protoc-go-inject-tag | |
x86_64-darwin python311Packages.xpybutil | |
aarch64-linux python311Packages.mypy-boto3-mediapackage | |
aarch64-linux linuxPackages_xanmod_latest.perf | |
aarch64-darwin perl536Packages.TimeOut | |
aarch64-darwin awsebcli | |
x86_64-linux emacsPackages.macrostep-geiser | |
aarch64-linux deepin.deepin-camera | |
aarch64-linux qt6.qtgraphs | |
aarch64-darwin emacsPackages.green-phosphor-theme | |
x86_64-darwin sourcehut.todosrht | |
aarch64-linux bird-lg | |
x86_64-linux python311Packages.python-logstash | |
aarch64-linux emacsPackages.academic-phrases | |
aarch64-darwin gnome.eog | |
x86_64-darwin emacsPackages.scss-mode | |
x86_64-darwin perl536Packages.CatalystDevel | |
x86_64-darwin haskellPackages.morpheus-graphql-tests | |
x86_64-darwin python312Packages.jax | |
aarch64-linux mc | |
aarch64-linux vimPlugins.ai-vim | |
x86_64-linux kdePackages.audiocd-kio | |
x86_64-darwin python312Packages.bc-detect-secrets | |
aarch64-darwin dotnetPackages.Nuget | |
aarch64-linux haskellPackages.schedule | |
x86_64-darwin python311Packages.distutils-extra | |
x86_64-linux halp | |
aarch64-linux python312Packages.types-aiobotocore-clouddirectory | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.mxu11x0 | |
aarch64-linux python311Packages.sqliteschema | |
x86_64-linux python312Packages.atomicwrites-homeassistant | |
x86_64-linux stagit | |
aarch64-linux haskellPackages.hyperloglog | |
x86_64-linux home-assistant-component-tests.jewish_calendar | |
x86_64-darwin python312Packages.simpful | |
x86_64-linux haskellPackages.alex-meta | |
x86_64-darwin python312Packages.vobject | |
aarch64-darwin haskellPackages.avif | |
aarch64-darwin haskellPackages.pem | |
x86_64-linux elixir_1_16 | |
aarch64-darwin emacsPackages.ivs-edit | |
x86_64-darwin python311Packages.hatchling | |
aarch64-darwin cargo-ndk | |
x86_64-darwin perl538Packages.GetoptTabular | |
aarch64-darwin aspellDicts.fa | |
aarch64-linux ockam | |
x86_64-linux crystal | |
aarch64-darwin python311Packages.css-parser | |
x86_64-linux gnomeExtensions.clipboard-indicator | |
x86_64-darwin python311Packages.pytest-console-scripts | |
x86_64-linux python311Packages.zamg | |
x86_64-darwin python312Packages.dissect-etl | |
aarch64-linux python312Packages.pyscrypt | |
x86_64-linux haskellPackages.plot-light | |
aarch64-linux terraform-providers.yandex | |
x86_64-linux dirbuster | |
x86_64-linux haskellPackages.linux-file-extents | |
aarch64-linux haskellPackages.tdlib-gen | |
x86_64-linux fira-math | |
x86_64-darwin python311Packages.django-celery-beat | |
x86_64-darwin libsForQt5.qt5.qtbase | |
aarch64-darwin python312Packages.types-aiobotocore-redshift-data | |
aarch64-linux python311Packages.dufte | |
aarch64-darwin python312Packages.mypy-boto3-devicefarm | |
x86_64-linux python312Packages.openapi-schema-validator | |
aarch64-darwin perl538Packages.PodCoverage | |
x86_64-linux ipmiview | |
x86_64-linux python312Packages.pytest-randomly | |
x86_64-linux haskellPackages.servant-rawm-docs | |
aarch64-linux python312Packages.pydantic-yaml-0 | |
x86_64-linux dabtools | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.mba6x_bl | |
aarch64-darwin python311Packages.jinja2-pluralize | |
aarch64-darwin python311Packages.mypy-boto3-rbin | |
aarch64-darwin python312Packages.yolink-api | |
x86_64-linux matrix-sliding-sync | |
x86_64-darwin vimPlugins.vim-misc | |
x86_64-darwin python311Packages.telethon-session-sqlalchemy | |
aarch64-darwin haskellPackages.bools | |
x86_64-linux bfc | |
aarch64-darwin go-swagger | |
aarch64-darwin haskellPackages.logging-effect-syslog | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.akvcam | |
aarch64-darwin python311Packages.flask-admin | |
x86_64-darwin python312Packages.azure-mgmt-sqlvirtualmachine | |
aarch64-linux linuxPackages_5_4_hardened.ithc | |
aarch64-linux python312Packages.mypy-boto3-iotevents-data | |
aarch64-linux rhai-doc | |
x86_64-darwin emacsPackages.yafolding | |
aarch64-darwin xorg.fontsonymisc | |
aarch64-darwin python311Packages.jsons | |
aarch64-darwin chickenPackages_5.chickenEggs.pseudo-meta-egg-info | |
x86_64-darwin python311Packages.datrie | |
x86_64-darwin pipe-rename | |
x86_64-linux python312Packages.pypoint | |
x86_64-linux gitmux | |
x86_64-linux python311Packages.openpyxl | |
x86_64-darwin vimPlugins.lexima-vim | |
x86_64-linux linuxKernel.packages.linux_5_15.rtl8723ds | |
x86_64-linux rubyPackages_3_2.cocoapods-wholemodule | |
x86_64-darwin haskellPackages.amazonka-nimble | |
aarch64-darwin python311Packages.brottsplatskartan | |
aarch64-darwin python312Packages.rtslib | |
x86_64-linux rubyPackages_3_3.kramdown-rfc2629 | |
x86_64-linux hpp-fcl | |
x86_64-linux haskellPackages.blaze-markup | |
aarch64-darwin emacsPackages.comint-mime | |
x86_64-linux python311Packages.pycoin | |
aarch64-darwin perl538Packages.CryptURandom | |
aarch64-darwin emacsPackages.helm-company | |
aarch64-darwin ladybird | |
aarch64-darwin python311Packages.ulid-transform | |
x86_64-linux ue4demos.vehicle_game | |
x86_64-linux python311Packages.openai-whisper | |
aarch64-linux python312Packages.calmjs | |
x86_64-darwin python312Packages.types-aiobotocore-healthlake | |
x86_64-linux kdePackages.qxlsx | |
aarch64-darwin python311Packages.pbs-installer | |
aarch64-linux vimPlugins.vim-hocon | |
x86_64-darwin fac | |
aarch64-linux python311Packages.drms | |
x86_64-darwin coqPackages.deriving | |
aarch64-darwin llvmPackages_17.clangNoCompilerRt | |
aarch64-darwin python312Packages.lcgit | |
x86_64-linux okta-aws-cli | |
x86_64-linux python311Packages.geoip2 | |
aarch64-darwin emacsPackages.airplay | |
aarch64-darwin libmilter | |
aarch64-darwin emacsPackages.helm-sql-connect | |
x86_64-linux vimPlugins.asyncomplete-file-vim | |
aarch64-darwin emacsPackages.hamburg-theme | |
aarch64-darwin terraform-providers.kafka-connect | |
x86_64-darwin python312Packages.types-aiobotocore-iot1click-devices | |
x86_64-darwin python312Packages.localstack | |
aarch64-darwin python312Packages.authcaptureproxy | |
x86_64-darwin reveal-md | |
x86_64-linux nixos-container | |
x86_64-linux arti | |
aarch64-darwin haskellPackages.language-dickinson | |
aarch64-darwin blogc | |
aarch64-darwin haskellPackages.marching-cubes | |
x86_64-linux plasma5Packages.kpty | |
aarch64-linux buildbot-ui | |
aarch64-darwin cmus | |
x86_64-darwin emacsPackages.one | |
x86_64-darwin emacsPackages.bmx-mode | |
x86_64-darwin emacsPackages.golint | |
aarch64-linux emacsPackages.walkclj | |
aarch64-linux python311Packages.eth-abi | |
x86_64-darwin perl538Packages.ListMoreUtils | |
x86_64-darwin rubyPackages_3_3.http-form_data | |
x86_64-linux vimPlugins.heirline-nvim | |
aarch64-linux codebraid | |
aarch64-darwin python311Packages.types-aiobotocore-sesv2 | |
aarch64-linux vimPlugins.vim-togglelist | |
x86_64-darwin python312Packages.pytest_7 | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.freealut | |
aarch64-linux morsel | |
x86_64-linux perl538Packages.Cairo | |
aarch64-linux linuxKernel.packages.linux_libre.nvidia_x11_beta | |
x86_64-darwin playerctl | |
x86_64-darwin bonmin | |
x86_64-linux packet-sd | |
x86_64-linux rofi-screenshot | |
x86_64-darwin python311Packages.aliyun-python-sdk-core | |
x86_64-darwin plasma5Packages.tokodon | |
x86_64-linux emacsPackages.ahg | |
aarch64-linux python312Packages.pygments | |
aarch64-linux haskellPackages.ihaskell-display | |
aarch64-linux linux_4_19_hardened | |
x86_64-darwin nncp | |
aarch64-linux python312Packages.pillow-jpls | |
x86_64-darwin usync | |
aarch64-linux linuxPackages_5_15_hardened.zfs | |
x86_64-linux python311Packages.okonomiyaki | |
x86_64-darwin takao | |
x86_64-linux python312Packages.types-aiobotocore-tnb | |
x86_64-linux llvmPackages_13.clangNoLibcxx | |
aarch64-darwin lua52Packages.fzf-lua | |
aarch64-darwin python311Packages.pyflume | |
x86_64-linux python312Packages.nethsm | |
aarch64-darwin python312Packages.chess | |
aarch64-linux avahi-compat | |
x86_64-linux kernel-hardening-checker | |
aarch64-darwin haskellPackages.phladiprelio-ukrainian-shared | |
x86_64-linux aria2 | |
aarch64-darwin python311Packages.hatch-requirements-txt | |
x86_64-linux python311Packages.stevedore | |
aarch64-darwin emacsPackages.typing-game | |
aarch64-linux haskellPackages.Zora | |
x86_64-linux spandsp | |
aarch64-linux emacsPackages.git-gutter-fringe | |
x86_64-darwin mplayer | |
x86_64-linux rocmPackages_5.llvm.clang | |
x86_64-linux libsForQt5.kasts | |
x86_64-linux linuxPackages_xanmod.dpdk | |
aarch64-linux libjxl | |
x86_64-linux python311Packages.skia-pathops | |
x86_64-linux emacsPackages.org-visibility | |
x86_64-darwin python311Packages.emoji | |
x86_64-linux rbdoom-3-bfg | |
x86_64-linux haskellPackages.strips | |
aarch64-linux budgiePlugins.budgie-user-indicator-redux | |
aarch64-darwin cpcfs | |
x86_64-darwin python311Packages.mypy-boto3-logs | |
x86_64-darwin libnotify | |
aarch64-darwin haskellPackages.constraints | |
aarch64-linux pyupgrade | |
aarch64-darwin perl538Packages.EV | |
x86_64-linux malcontent-ui | |
x86_64-linux linuxKernel.packages.linux_xanmod.ply | |
x86_64-darwin truecrack-cuda | |
aarch64-darwin nss_wrapper | |
x86_64-linux qt6.qtserialport | |
x86_64-linux haskellPackages.archive-sig | |
x86_64-darwin iosevka-comfy.comfy | |
aarch64-linux python311Packages.netdisco | |
aarch64-darwin perl538Packages.CloneChoose | |
aarch64-darwin rubyPackages_3_1.parser | |
x86_64-linux haskellPackages.printf-safe | |
x86_64-linux home-assistant-component-tests.airzone | |
aarch64-linux python312Packages.mediafile | |
x86_64-darwin python312Packages.azure-servicebus | |
x86_64-linux qt6.qtdeclarative | |
aarch64-darwin rubyPackages_3_3.cocoapods-fix-react-native | |
x86_64-darwin vimPlugins.vim-orgmode | |
x86_64-linux emacsPackages.flycheck-ats2 | |
aarch64-linux python312Packages.aioapns | |
aarch64-darwin xorg.fontadobeutopia100dpi | |
x86_64-darwin python312Packages.unifiled | |
x86_64-darwin mdl | |
aarch64-darwin haskellPackages.stack-yaml | |
x86_64-darwin vimPlugins.onehalf | |
aarch64-darwin haskellPackages.rfc3339 | |
x86_64-linux dasher | |
x86_64-darwin openobserve | |
x86_64-linux python311Packages.python-awair | |
x86_64-linux gnomeExtensions.expandable-notifications | |
x86_64-linux haskellPackages.parsec3-numbers | |
aarch64-darwin haskellPackages.swish | |
aarch64-linux haskellPackages.terminal-size | |
x86_64-darwin chickenPackages_5.chickenEggs.unsafe | |
x86_64-darwin python311Packages.immutables | |
x86_64-linux zeekscript | |
x86_64-linux linuxKernel.packages.linux_4_19.ax99100 | |
aarch64-darwin idrisPackages.free | |
x86_64-linux kdePackages.kitemmodels | |
aarch64-darwin haskellPackages.floskell | |
aarch64-darwin emacsPackages.jdee | |
aarch64-linux python312Packages.karton-core | |
x86_64-darwin lsix | |
x86_64-darwin emacsPackages.workroom | |
x86_64-darwin python311Packages.glueviz | |
aarch64-darwin python311Packages.google-cloud-storage | |
x86_64-linux gpicview | |
x86_64-linux python312Packages.calysto-scheme | |
x86_64-darwin python312Packages.logzero | |
x86_64-linux home-assistant-component-tests.thermobeacon | |
x86_64-linux python311Packages.mypy-boto3-connect | |
aarch64-darwin python311Packages.py-ecc | |
x86_64-darwin perl536Packages.TextGlob | |
aarch64-linux lua54Packages.telescope-nvim | |
x86_64-darwin xchainkeys | |
aarch64-linux gnome.gnome-packagekit | |
aarch64-linux haskellPackages.located-base | |
x86_64-linux harminv | |
x86_64-linux gnomeExtensions.ddterm | |
x86_64-darwin python312Packages.pynmeagps | |
aarch64-darwin rubyPackages_3_3.cocoapods-open | |
x86_64-darwin pinentry-gtk2 | |
aarch64-darwin python312Packages.sagemaker | |
aarch64-linux emacsPackages.lexbind-mode | |
x86_64-darwin shisho | |
aarch64-darwin python312Packages.ci-info | |
x86_64-linux haskellPackages.type-aligned | |
aarch64-darwin hyprpaper | |
aarch64-darwin python311Packages.pyeight | |
x86_64-linux rtss | |
x86_64-linux python311Packages.progressbar2 | |
aarch64-darwin python312Packages.timeslot | |
x86_64-linux python312Packages.openshift | |
x86_64-linux home-assistant-component-tests.mqtt_room | |
x86_64-darwin haskellPackages.invariant | |
x86_64-linux linuxPackages_4_19_hardened.nvidia_x11_production | |
x86_64-darwin perl538Packages.MathCalcParser | |
aarch64-darwin haskellPackages.fasta | |
x86_64-linux python311Packages.snowballstemmer | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.menhir | |
x86_64-darwin lldb_12 | |
x86_64-linux python312Packages.pmsensor | |
aarch64-darwin zulip-term | |
x86_64-linux php82Extensions.tokenizer | |
aarch64-linux awstats | |
aarch64-darwin python311Packages.ping3 | |
aarch64-linux haskellPackages.amazonka-devicefarm | |
aarch64-linux artha | |
aarch64-darwin python312Packages.ssdp | |
aarch64-linux stc-cli | |
aarch64-darwin gfortran13 | |
aarch64-darwin haskellPackages.canonical-json | |
aarch64-linux python312Packages.sphinx-material | |
x86_64-linux python311Packages.spglib | |
aarch64-linux python311Packages.soapysdr-with-plugins | |
x86_64-darwin perl538Packages.FileTreeCreate | |
x86_64-linux chaos | |
x86_64-darwin python312Packages.tkinter | |
x86_64-darwin python312Packages.mypy-boto3-appintegrations | |
aarch64-darwin xorg.xinput | |
aarch64-darwin ldmud-full | |
x86_64-linux emacsPackages.eff | |
x86_64-linux octavePackages.general | |
aarch64-linux python312Packages.aardwolf | |
x86_64-darwin python311Packages.bkcharts | |
x86_64-darwin python311Packages.flask-silk | |
x86_64-linux adlplug | |
aarch64-darwin yewtube | |
x86_64-darwin perl538Packages.LongJump | |
aarch64-linux classicube | |
aarch64-linux gallery-dl | |
x86_64-darwin libsForQt5.karchive | |
aarch64-linux python311Packages.anybadge | |
x86_64-darwin emacsPackages.plaster | |
x86_64-linux python311Packages.wikipedia | |
aarch64-linux python312Packages.pytest-golden | |
x86_64-linux python312Packages.astropy-healpix | |
x86_64-darwin haskellPackages.acme-iot | |
aarch64-darwin python312Packages.bork | |
x86_64-linux python311Packages.pysnmp-lextudio | |
aarch64-linux gomodifytags | |
x86_64-linux luaPackages.luabitop | |
x86_64-darwin python312Packages.vina | |
aarch64-darwin libsForQt5.kcmutils | |
aarch64-linux picolisp | |
aarch64-darwin python312Packages.py-multiaddr | |
aarch64-linux little_boxes | |
aarch64-darwin emacsPackages.flycheck-tcl | |
x86_64-linux attic-server | |
x86_64-linux jna | |
aarch64-linux sourcehut.todosrht | |
aarch64-linux python312Packages.calmjs-parse | |
aarch64-darwin perl536Packages.NetMQTTSimple | |
aarch64-linux haskellPackages.serversession | |
x86_64-linux gnomeExtensions.one-drive-resurrect | |
aarch64-darwin python311Packages.cached-property | |
aarch64-linux haskellPackages.scenegraph | |
aarch64-darwin perl538Packages.MHonArc | |
x86_64-darwin sourcehut.pagessrht | |
aarch64-linux vkdt-wayland | |
aarch64-darwin haskellPackages.music-dynamics | |
aarch64-darwin haskellPackages.phonetic-languages-plus | |
aarch64-darwin chickenPackages_5.chickenEggs.micro-stats | |
aarch64-darwin plasma5Packages.qtxmlpatterns | |
aarch64-linux python312Packages.pywlroots | |
aarch64-linux haskellPackages.modular-arithmetic | |
x86_64-linux emacsPackages.rings | |
x86_64-linux nix-script | |
aarch64-linux haskellPackages.copr-api | |
x86_64-linux haskellPackages.lin-alg | |
aarch64-linux manim | |
aarch64-linux psi-plus | |
aarch64-darwin haskellPackages.hz3 | |
x86_64-linux python312Packages.av | |
x86_64-darwin haskellPackages.hyphenation | |
x86_64-darwin python312Packages.cairosvg | |
x86_64-linux python312Packages.setupmeta | |
x86_64-darwin emacsPackages.windower | |
x86_64-darwin emacsPackages.capf-autosuggest | |
x86_64-linux home-assistant-component-tests.openhardwaremonitor | |
aarch64-darwin postgresql12JitPackages.wal2json | |
aarch64-linux azure-cli-extensions.kusto | |
aarch64-linux emacsPackages.dtb-mode | |
aarch64-linux urlscan | |
x86_64-linux python312Packages.types-ujson | |
aarch64-darwin mattermost | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.textproto | |
x86_64-darwin python312Packages.types-aiobotocore-billingconductor | |
x86_64-darwin cloudlog | |
aarch64-linux kdePackages.kdenlive | |
aarch64-darwin rubyPackages_3_1.http-accept | |
x86_64-linux imv | |
x86_64-linux cardboard | |
aarch64-darwin python312Packages.monosat | |
x86_64-darwin python311Packages.types-aiobotocore-ssm-incidents | |
aarch64-linux unixtools.col | |
x86_64-darwin gortr | |
aarch64-darwin lua51Packages.lua-cmsgpack | |
aarch64-linux libsForQt5.ksudoku | |
aarch64-linux emacsPackages.apparmor-mode | |
x86_64-linux fwbuilder | |
aarch64-linux libretro.stella | |
x86_64-linux watchmate | |
x86_64-linux octavePackages.vrml | |
x86_64-linux emacsPackages.keepass-mode | |
aarch64-darwin perl538Packages.FileMimeInfo | |
aarch64-linux home-assistant-component-tests.vacuum | |
aarch64-darwin envconsul | |
aarch64-linux haskellPackages.hXmixer | |
aarch64-linux python311Packages.httpsig | |
x86_64-darwin python312Packages.mypy-boto3-panorama | |
x86_64-darwin slack-term | |
x86_64-darwin theLoungePlugins.themes.crypto | |
aarch64-linux python311Packages.dissononce | |
x86_64-darwin rstfmt | |
x86_64-linux linuxKernel.packages.linux_zen.dddvb | |
x86_64-darwin vimPlugins.vim-solidity | |
aarch64-linux rocmPackages.hipfft | |
x86_64-darwin python311Packages.nbconvert | |
aarch64-linux elasticmq-server-bin | |
x86_64-darwin minio-certgen | |
x86_64-linux php81Packages.psysh | |
x86_64-linux python311Packages.slack-sdk | |
aarch64-linux python312Packages.speedtest-cli | |
aarch64-linux graphite-cli | |
x86_64-darwin python311Packages.psutil-home-assistant | |
aarch64-darwin daktilo | |
aarch64-linux libplctag | |
aarch64-darwin haskellPackages.amazonka-ecr | |
x86_64-linux python312Packages.meson | |
aarch64-linux python312Packages.posix-ipc | |
aarch64-linux sops | |
aarch64-darwin osmtogeojson | |
aarch64-linux python311Packages.boto3-stubs | |
x86_64-linux python312Packages.tlv8 | |
aarch64-linux rubyPackages_3_2.prettier | |
aarch64-darwin optifinePackages.optifine_1_9_2 | |
x86_64-darwin python312Packages.messagebird | |
x86_64-darwin python311Packages.opentelemetry-proto | |
x86_64-darwin perl536Packages.GitAutofixup | |
x86_64-darwin emacsPackages.dedukti-mode | |
aarch64-linux haskellPackages.acme-grawlix | |
x86_64-linux linuxKernel.packages.linux_libre.mbp2018-bridge-drv | |
x86_64-linux mission-planner | |
x86_64-darwin haskellPackages.Peano | |
x86_64-linux python312Packages.l18n | |
aarch64-darwin emacsPackages.editorconfig-domain-specific | |
aarch64-linux python312Packages.nasdaq-data-link | |
x86_64-linux python311Packages.python-slugify | |
aarch64-linux emacsPackages.ox-jira | |
aarch64-darwin kapp | |
x86_64-darwin haskellPackages.aws-secrets | |
aarch64-darwin emacsPackages.ox-jira | |
x86_64-linux python311Packages.cccolutils | |
x86_64-linux smokeping | |
aarch64-darwin perl536Packages.libxml_perl | |
x86_64-darwin python312Packages.requests-http-signature | |
x86_64-linux seashells | |
x86_64-darwin emacsPackages.char-menu | |
x86_64-darwin s3bro | |
x86_64-linux cudaPackagesGoogle.nccl | |
x86_64-linux emacsPackages.visual-ascii-mode | |
x86_64-darwin python311Packages.babel | |
x86_64-linux emacsPackages.chocolate-theme | |
x86_64-darwin python312Packages.edalize | |
x86_64-linux python312Packages.astroquery | |
aarch64-linux rocmPackages_6.clang-ocl | |
aarch64-linux python312Packages.stickytape | |
aarch64-linux python312Packages.mypy-boto3-lookoutmetrics | |
x86_64-linux python312Packages.pytools | |
x86_64-darwin mssql_jdbc | |
aarch64-linux emacsPackages.shell-toggle | |
x86_64-linux optifinePackages.optifine_1_10 | |
aarch64-darwin devpi-server | |
x86_64-darwin python311Packages.pytest-isort | |
x86_64-linux python312Packages.doc8 | |
aarch64-darwin emacsPackages.fzf | |
x86_64-linux emacsPackages.markdown-mode | |
x86_64-linux haskellPackages.algebra | |
x86_64-darwin python312Packages.fpyutils | |
x86_64-linux opensycl | |
aarch64-darwin perl538Packages.TclpTk | |
x86_64-linux gnomeExtensions.easyeffects-preset-selector | |
aarch64-linux python311Packages.python-sql | |
aarch64-linux luajitPackages.luasnip | |
aarch64-darwin emacsPackages.amread-mode | |
x86_64-linux emacsPackages.kill-ring-search | |
x86_64-linux python311Packages.ndspy | |
x86_64-linux emacsPackages.geolocation | |
x86_64-linux haskellPackages.snappy | |
x86_64-linux postgresql15JitPackages.pgaudit | |
x86_64-darwin emacsPackages.org-anki | |
aarch64-linux python311Packages.ax | |
aarch64-linux conform | |
x86_64-darwin haskellPackages.monadlist | |
x86_64-linux haskellPackages.realworldhaskell | |
aarch64-darwin taizen | |
aarch64-linux bossa | |
x86_64-linux lua54Packages.luaffi | |
aarch64-darwin mongoc | |
x86_64-linux emacsPackages.ccls | |
aarch64-linux python312Packages.dbus-client-gen | |
x86_64-darwin blackmagic | |
aarch64-linux python311Packages.hg-evolve | |
x86_64-darwin haskellPackages.glob-imports | |
aarch64-linux lua51Packages.lua-lsp | |
x86_64-darwin python312Packages.newversion | |
x86_64-darwin vimPlugins.vim-erlang-omnicomplete | |
x86_64-darwin dr14_tmeter | |
x86_64-linux haskellPackages.stb-truetype | |
x86_64-darwin trash-cli | |
aarch64-darwin protolock | |
aarch64-linux python311Packages.dlinfo | |
x86_64-linux python311Packages.picosvg | |
x86_64-darwin python312Packages.codepy | |
aarch64-linux linuxPackages_xanmod.xone | |
aarch64-darwin python311Packages.pycarwings2 | |
x86_64-darwin pgf-umlcd | |
x86_64-darwin python311Packages.gvm-tools | |
x86_64-linux python312Packages.enaml | |
aarch64-linux python312Packages.mypy-boto3-osis | |
aarch64-linux lxtask | |
aarch64-linux music-player | |
x86_64-darwin postgresql15JitPackages.apache_datasketches | |
x86_64-linux home-assistant-component-tests.emulated_roku | |
aarch64-linux haskellPackages.htime | |
aarch64-linux matterircd | |
x86_64-linux python311Packages.xmind | |
x86_64-linux python312Packages.requests-futures | |
x86_64-darwin qbittorrent-qt5 | |
x86_64-darwin haskellPackages.amazonka-drs | |
x86_64-linux linuxPackages_xanmod_latest.new-lg4ff | |
aarch64-linux acquire | |
aarch64-darwin emacsPackages.whizzml-mode | |
aarch64-darwin gitlab-container-registry | |
x86_64-darwin perl536Packages.IPCRun | |
x86_64-linux asdf-vm | |
aarch64-linux python312Packages.types-aiobotocore-rekognition | |
x86_64-darwin perl536Packages.DBIxClassDynamicDefault | |
x86_64-linux sftpman | |
aarch64-linux cudaPackages_11.cuda_profiler_api | |
aarch64-darwin emacsPackages.bing-dict | |
x86_64-darwin btcpayserver-altcoins | |
x86_64-linux php81Extensions.opentelemetry | |
aarch64-darwin jpeg-archive | |
aarch64-linux vimPlugins.nvim-navic | |
x86_64-darwin emacsPackages.apparmor-mode | |
x86_64-darwin mpy-utils | |
aarch64-linux espresso | |
x86_64-darwin eget | |
aarch64-linux python312Packages.btrees | |
x86_64-darwin luajitPackages.mpack | |
x86_64-linux zeroc-ice-cpp11 | |
x86_64-linux linuxPackages.bpftrace | |
x86_64-linux sbclPackages.cl-cairo2 | |
aarch64-darwin python311Packages.mypy-boto3-ec2-instance-connect | |
x86_64-darwin python311Packages.llama-index-program-openai | |
aarch64-darwin tthsum | |
aarch64-darwin python311Packages.pid | |
aarch64-darwin haskellPackages.lispparser | |
x86_64-linux vulkan-volk | |
aarch64-linux emacsPackages.ivy-spotify | |
aarch64-linux play-with-mpv | |
x86_64-darwin python311Packages.matrix-common | |
aarch64-darwin perl536Packages.LatexIndent | |
x86_64-linux python311Packages.oscpy | |
aarch64-linux mumble | |
aarch64-darwin emacsPackages.elscreen-fr | |
aarch64-darwin python311Packages.reprshed | |
aarch64-linux linuxPackages.hid-tmff2 | |
x86_64-linux haskellPackages.pure-shuffle | |
x86_64-darwin python311Packages.imgsize | |
x86_64-darwin man-pages | |
x86_64-darwin emacsPackages.ivy-emms | |
aarch64-linux emacsPackages.evil-better-visual-line | |
x86_64-darwin postgresqlJitPackages.pgsodium | |
aarch64-linux dalfox | |
aarch64-linux plasma5Packages.kxmlgui | |
aarch64-linux python312Packages.smhi-pkg | |
x86_64-linux haskellPackages.more-containers | |
x86_64-darwin perl536Packages.NetAsyncHTTP | |
aarch64-linux tsung | |
aarch64-linux asymptote | |
aarch64-linux haskellPackages.amazonka-ram | |
x86_64-darwin python311Packages.mypy-boto3-sms | |
aarch64-darwin python312Packages.pywizlight | |
x86_64-linux vimPlugins.hiPairs | |
x86_64-linux python311Packages.pytest-cram | |
aarch64-linux haskellPackages.mono-traversable | |
aarch64-darwin hubicfuse | |
x86_64-linux gato | |
aarch64-darwin perl536Packages.PlackMiddlewareConsoleLogger | |
x86_64-linux linuxPackages_latest-libre.akvcam | |
aarch64-linux haskellPackages.base32 | |
aarch64-linux greybird | |
x86_64-darwin python311Packages.mike | |
aarch64-linux webdav-server-rs | |
x86_64-darwin mkcert | |
x86_64-darwin tcpreplay | |
x86_64-linux haskellPackages.mono-traversable-keys | |
aarch64-darwin vimPlugins.tsuquyomi | |
x86_64-linux rusti-cal | |
aarch64-linux python311Packages.pyrabbit2 | |
aarch64-linux emacsPackages.clippy | |
x86_64-linux linuxKernel.packages.linux_5_10.rtl8821cu | |
x86_64-darwin haskellPackages.polymap | |
aarch64-linux haskellPackages.lhs2html | |
aarch64-darwin python312Packages.kajiki | |
aarch64-linux haskellPackages.lazy | |
x86_64-linux emacsPackages.load-env-vars | |
aarch64-darwin emacsPackages.dokuwiki-mode | |
aarch64-linux emacsPackages.floobits | |
aarch64-darwin emacsPackages.hlint-refactor | |
aarch64-darwin python312Packages.bases | |
x86_64-darwin python311Packages.pyspx | |
x86_64-linux python311Packages.strct | |
x86_64-linux haskellPackages.regex-posix | |
aarch64-linux xxgdb | |
aarch64-linux cudaPackages_10.cutensor_1_6 | |
aarch64-darwin nsc | |
aarch64-darwin emacsPackages.mode-icons | |
x86_64-darwin llvmPackages_17.libcxx | |
x86_64-darwin postgresqlJitPackages.pg_cron | |
aarch64-darwin python312Packages.georss-client | |
x86_64-darwin python312Packages.mypy-boto3-opensearch | |
aarch64-darwin marwaita-peppermint | |
x86_64-linux mate.mate-control-center | |
aarch64-linux python312Packages.dicomweb-client | |
aarch64-darwin lua52Packages.cldr | |
aarch64-linux python312Packages.llama-index-embeddings-openai | |
aarch64-darwin python312Packages.pygnmi | |
x86_64-darwin tailwindcss-language-server | |
aarch64-darwin thud | |
x86_64-linux emacsPackages.waher-theme | |
aarch64-linux haskellPackages.snowball | |
aarch64-linux linuxPackages_xanmod_latest.trelay | |
x86_64-linux postgresql13Packages.timescaledb_toolkit | |
x86_64-linux python312Packages.flask-elastic | |
x86_64-linux emacsPackages.company-maxima | |
x86_64-linux git-releaser | |
x86_64-linux emacsPackages.requirejs-mode | |
aarch64-linux linuxKernel.packages.linux_xanmod.kernel | |
x86_64-linux python312Packages.pure-python-adb-homeassistant | |
aarch64-linux haskellPackages.lucid-extras | |
x86_64-linux haskellPackages.minimal-configuration | |
aarch64-darwin haskellPackages.type-equality | |
aarch64-linux perl538Packages.NetSMTPTLSButMaintained | |
aarch64-linux docker-gc | |
x86_64-darwin angelscript | |
aarch64-linux httpref | |
aarch64-darwin python312Packages.tzlocal | |
aarch64-linux kdePackages.step | |
aarch64-linux haskellPackages.servant-rawm-docs | |
aarch64-darwin libbladeRF | |
aarch64-darwin zncModules.backlog | |
x86_64-darwin lz4 | |
x86_64-linux semver | |
x86_64-linux python312Packages.microsoft-kiota-serialization-text | |
aarch64-darwin haskellPackages.conduit | |
aarch64-darwin perl538Packages.IPCountry | |
aarch64-darwin cairomm_1_16 | |
aarch64-darwin python311Packages.azure-mgmt-eventhub | |
x86_64-linux lua52Packages.lua-protobuf | |
x86_64-darwin elmPackages.elm-format | |
x86_64-linux morewaita-icon-theme | |
x86_64-linux vimPlugins.coc-diagnostic | |
aarch64-darwin emacsPackages.swiss-holidays | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.clojure | |
aarch64-linux python312Packages.serializable | |
x86_64-darwin espeak | |
aarch64-linux python312Packages.variants | |
x86_64-linux python312Packages.dissect-xfs | |
aarch64-darwin luajitPackages.digestif | |
aarch64-linux python311Packages.merkletools | |
x86_64-linux haskellPackages.folds | |
x86_64-darwin marathi-cursive | |
x86_64-darwin lua54Packages.linenoise | |
aarch64-linux vimPlugins.rcshell-vim | |
x86_64-linux emacsPackages.gotham-theme | |
aarch64-darwin python311Packages.pysftp | |
aarch64-linux emacsPackages.connection | |
x86_64-linux nagios | |
aarch64-darwin md4c | |
x86_64-linux gnomeExtensions.hassleless-overview-search | |
aarch64-linux python312Packages.sqlitedict | |
x86_64-darwin ncspot | |
x86_64-linux pfsshell | |
x86_64-darwin imagemagick_light | |
x86_64-linux ghost | |
x86_64-darwin postgresql16JitPackages.hypopg | |
aarch64-darwin lua53Packages.lpeg_patterns | |
aarch64-linux python311Packages.ms-cv | |
x86_64-darwin hid-tools | |
x86_64-darwin emacsPackages.streak | |
aarch64-linux python311Packages.pysyncthru | |
aarch64-darwin tab | |
aarch64-darwin emacsPackages.helm-w32-launcher | |
x86_64-darwin pgf3 | |
aarch64-darwin iam-policy-json-to-terraform | |
aarch64-linux python311Packages.ipython | |
x86_64-linux python312Packages.s3-credentials | |
x86_64-darwin emacsPackages.liquid-types | |
x86_64-darwin mprocs | |
x86_64-darwin openai-triton-llvm | |
x86_64-darwin python311Packages.borb | |
aarch64-linux haskellPackages.penn-treebank | |
x86_64-darwin stoken | |
aarch64-darwin cookiecutter | |
x86_64-darwin vimPlugins.lir-nvim | |
aarch64-darwin alt-tab-macos | |
x86_64-linux python312Packages.sparqlwrapper | |
x86_64-darwin azure-cli-extensions.costmanagement | |
aarch64-linux haskellPackages.jsonpath | |
aarch64-linux emacsPackages.consult | |
aarch64-linux python311Packages.aws-lambda-builders | |
x86_64-darwin python312Packages.pylutron | |
x86_64-linux qtads | |
x86_64-darwin python311Packages.spacy-legacy | |
aarch64-darwin haskellPackages.purescript-bundle-fast | |
x86_64-darwin emacsPackages.uncrustify-mode | |
aarch64-linux libdovi | |
aarch64-linux govulncheck | |
aarch64-linux linux-router-without-wifi | |
x86_64-darwin lzip | |
x86_64-linux python311Packages.nbconflux | |
x86_64-darwin python311Packages.proton-vpn-network-manager-openvpn | |
aarch64-darwin org-stats | |
x86_64-darwin postgresql12JitPackages.periods | |
aarch64-darwin tcpflow | |
aarch64-darwin vimPlugins.cmp-fuzzy-buffer | |
x86_64-darwin python311Packages.update-dotdee | |
aarch64-darwin emacsPackages.git-lens | |
x86_64-linux python311Packages.python-http-client | |
aarch64-darwin perl538Packages.Perl6Junction | |
x86_64-linux cmusfm | |
aarch64-linux plasma5Packages.qt5.qtremoteobjects | |
x86_64-linux python312Packages.pyqvrpro | |
x86_64-linux haskellPackages.iso3166-country-codes | |
aarch64-darwin languageMachines.ticcutils | |
aarch64-darwin python312Packages.torchvision | |
x86_64-linux python311Packages.mypy-boto3-gamelift | |
aarch64-darwin hinit | |
aarch64-darwin haskellPackages.loch-th | |
aarch64-linux unixtools.eject | |
aarch64-darwin haskellPackages.fingertree | |
aarch64-darwin python312Packages.munch | |
x86_64-linux linuxKernel.packages.linux_zen.apfs | |
x86_64-linux haskellPackages.castagnoli | |
x86_64-darwin perl538Packages.NetCoverArtArchive | |
x86_64-darwin python311Packages.cramjam | |
x86_64-linux kdePackages.k3b | |
x86_64-linux haskellPackages.NineP | |
x86_64-darwin haskellPackages.byteslice | |
aarch64-linux python312Packages.mullvad-api | |
aarch64-darwin perl538Packages.FileShareDir | |
x86_64-linux haskellPackages.adhoc-fixtures | |
aarch64-darwin python312Packages.pyvo | |
x86_64-linux python312Packages.zope-proxy | |
aarch64-linux linuxPackages_zen.v4l2loopback | |
x86_64-darwin haskellPackages.aeson-via | |
x86_64-darwin haskellPackages.GoogleSuggest | |
aarch64-darwin python311Packages.icalendar | |
x86_64-darwin python311Packages.sphinx-togglebutton | |
x86_64-darwin python311Packages.viewstate | |
aarch64-darwin haskellPackages.Stack | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-178 | |
x86_64-darwin python312Packages.pycairo | |
x86_64-darwin haskellPackages.getopt-simple | |
x86_64-darwin osm2pgsql | |
x86_64-linux luaPackages.luadbi | |
x86_64-linux lpairs2 | |
x86_64-linux emacsPackages.org-starter-swiper | |
x86_64-linux emacsPackages.org-nix-shell | |
aarch64-darwin magicrescue | |
x86_64-linux python312Packages.dotty-dict | |
x86_64-darwin python312Packages.pytest-pudb | |
x86_64-linux python311Packages.google-api-core | |
aarch64-darwin python311Packages.mkdocs-git-authors-plugin | |
x86_64-darwin python312Packages.web | |
x86_64-darwin babelstone-han | |
x86_64-darwin zsh-powerlevel9k | |
aarch64-darwin sanoid | |
x86_64-darwin emacsPackages.license-snippets | |
x86_64-darwin emacsPackages.highlight-escape-sequences | |
x86_64-darwin lua54Packages.xml2lua | |
x86_64-linux emacsPackages.jetbrains-darcula-theme | |
x86_64-linux emacsPackages.lsp-pyright | |
aarch64-darwin haskellPackages.constrained-normal | |
aarch64-darwin haskell.compiler.ghc946 | |
x86_64-darwin haskellPackages.PyF | |
x86_64-linux sladeUnstable | |
x86_64-linux emacsPackages.fix-input | |
x86_64-darwin ocm | |
aarch64-darwin haskellPackages.amazonka-cloudsearch | |
x86_64-darwin emacsPackages.logito | |
aarch64-linux python311Packages.django-silk | |
aarch64-darwin perl538Packages.CatalystPluginCache | |
x86_64-darwin python311Packages.git-annex-adapter | |
x86_64-darwin python312Packages.scancode-toolkit | |
x86_64-darwin emacsPackages.atomic-chrome | |
aarch64-linux kdePackages.kqtquickcharts | |
x86_64-darwin s6 | |
x86_64-darwin emacsPackages.magit-popup | |
x86_64-linux emacsPackages.ncl-mode | |
aarch64-darwin python312Packages.requests-ntlm | |
aarch64-linux haskellPackages.NumInstances | |
x86_64-linux python312Packages.mypy-boto3-appconfigdata | |
aarch64-darwin perl536Packages.ModernPerl | |
aarch64-darwin python312Packages.mypy-boto3-iotwireless | |
x86_64-darwin postgresql15JitPackages.age | |
x86_64-darwin python311Packages.httptools | |
aarch64-linux packetbeat7 | |
x86_64-linux linuxKernel.packages.linux_6_6.vmware | |
aarch64-darwin python312Packages.pfzy | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.cryptodev | |
x86_64-darwin emacsPackages.neil | |
x86_64-linux python311Packages.yubico-client | |
x86_64-linux home-assistant-component-tests.tractive | |
aarch64-darwin emacsPackages.selcand | |
x86_64-linux linuxKernel.packages.linux_5_4.broadcom_sta | |
aarch64-darwin gl2ps | |
aarch64-linux kdePackages.packagekit-qt | |
x86_64-darwin postgresql12JitPackages.pg_partman | |
x86_64-darwin haskellPackages.reloto | |
x86_64-linux rubyPackages_3_1.patron | |
x86_64-linux rubyPackages_3_3.jekyll-commonmark | |
aarch64-linux emacsPackages.load-relative | |
aarch64-darwin python312Packages.opower | |
x86_64-darwin rubyPackages.reverse_markdown | |
x86_64-linux linuxPackages_5_15_hardened.dddvb | |
x86_64-linux cudaPackages_10.cutensor_1_4 | |
aarch64-darwin python311Packages.sqlalchemy-jsonfield | |
aarch64-darwin python312Packages.types-aiobotocore-medical-imaging | |
aarch64-darwin python311Packages.robomachine | |
x86_64-darwin python311Packages.construct | |
aarch64-linux haskellPackages.unagi-streams | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.akvcam | |
aarch64-darwin nushellFull | |
aarch64-darwin haskellPackages.tagged-transformer | |
aarch64-darwin python311Packages.imgtool | |
x86_64-darwin python311Packages.pybtex | |
aarch64-darwin emacsPackages.goto-char-preview | |
aarch64-linux python312Packages.graphite-web | |
x86_64-linux libsForQt5.kdb | |
x86_64-darwin litecoin | |
x86_64-linux linuxPackages_4_19_hardened.zfs_unstable | |
x86_64-linux emacsPackages.golden-ratio-scroll-screen | |
x86_64-darwin perl536Packages.Filelchown | |
aarch64-darwin haskellPackages.FindBin | |
x86_64-darwin emacsPackages.helm-icons | |
x86_64-linux python311Packages.contourpy | |
aarch64-darwin haskellPackages.refined-containers | |
x86_64-darwin python311Packages.mplhep-data | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.udev | |
x86_64-darwin vimPlugins.vim-prettier | |
x86_64-linux rubyPackages.jekyll-favicon | |
aarch64-darwin python312Packages.tinycss2 | |
x86_64-linux trickster | |
x86_64-linux php83Extensions.pdo_sqlsrv | |
x86_64-darwin python311Packages.mock-open | |
x86_64-linux noweb | |
x86_64-linux python311Packages.intake-parquet | |
aarch64-linux abaddon | |
x86_64-darwin qt6.qtcharts | |
x86_64-darwin python311Packages.sh | |
x86_64-darwin dbmonster | |
x86_64-linux python311Packages.mypy-boto3-chime-sdk-meetings | |
x86_64-linux haskellPackages.polynomials-bernstein | |
aarch64-darwin emacsPackages.js-codemod | |
x86_64-darwin python312Packages.indexed-bzip2 | |
x86_64-linux kdePackages.kio-fuse | |
x86_64-darwin python311Packages.loopy | |
x86_64-darwin python312Packages.oci | |
aarch64-linux python311Packages.pyexcel | |
aarch64-darwin python312Packages.requests-aws4auth | |
aarch64-darwin python311Packages.cachy | |
x86_64-linux python312Packages.wktutils | |
aarch64-darwin tesseract4 | |
aarch64-linux ttf2pt1 | |
aarch64-darwin vimPlugins.wgsl-vim | |
x86_64-linux kdePackages.kmime | |
x86_64-darwin haskellPackages.dice | |
x86_64-linux linuxPackages_xanmod.nvidia_x11_production | |
aarch64-darwin utox | |
aarch64-linux agdaPackages.agda-prelude | |
x86_64-darwin jemalloc | |
x86_64-linux haskellPackages.amazonka-cloudwatch-logs | |
aarch64-darwin bacon | |
aarch64-darwin kicad-testing | |
aarch64-linux postgresql12Packages.pg_repack | |
aarch64-linux pantheon.elementary-photos | |
aarch64-linux linuxPackages_5_15_hardened.mbp2018-bridge-drv | |
x86_64-linux linuxPackages_latest-libre.dpdk | |
x86_64-darwin python311Packages.bottle | |
aarch64-darwin python312Packages.fusepy | |
aarch64-darwin python312Packages.bpython | |
aarch64-darwin emacsPackages.sidecar-locals | |
aarch64-linux python311Packages.pygame-sdl2 | |
x86_64-linux emacsPackages.color-theme-x | |
x86_64-linux python312Packages.pyosf | |
aarch64-linux haskellPackages.tokenizer-monad | |
x86_64-linux emacsPackages.snazzy-theme | |
aarch64-linux why3 | |
x86_64-darwin perl538Packages.MaxMindDBReaderXS | |
x86_64-darwin python312Packages.pytankerkoenig | |
aarch64-linux vimPlugins.vim-salve | |
x86_64-darwin libgnt | |
x86_64-darwin emacsPackages.eshell-fixed-prompt | |
x86_64-darwin perl536Packages.Logger | |
x86_64-linux vimPlugins.vim-loves-dafny | |
x86_64-darwin hunspellDictsChromium.fr-fr | |
aarch64-linux haskellPackages.dmc | |
x86_64-darwin vimPlugins.vim-polyglot | |
x86_64-linux tvm | |
aarch64-linux dsseries | |
x86_64-linux emacsPackages.yaml-tomato | |
aarch64-linux python311Packages.atom | |
x86_64-linux emacsPackages.vue-mode | |
aarch64-linux python311Packages.sqlobject | |
aarch64-linux cargo-wizard | |
x86_64-linux simple-dftd3 | |
aarch64-linux prometheus-consul-exporter | |
aarch64-darwin rbenv | |
x86_64-linux mbusd | |
aarch64-darwin python312Packages.mitmproxy-rs | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.phc-intel | |
aarch64-linux haskellPackages.tasty-bench | |
aarch64-linux emacsPackages.multitran | |
x86_64-darwin emacsPackages.systemd | |
x86_64-linux haskellPackages.pxsl-tools | |
x86_64-darwin sptlrx | |
aarch64-darwin vimPlugins.vim-dispatch | |
x86_64-linux linuxPackages_5_4_hardened.new-lg4ff | |
aarch64-linux python312Packages.awesome-slugify | |
x86_64-linux arkade | |
aarch64-darwin cargo-limit | |
aarch64-darwin haskellPackages.eccrypto | |
aarch64-linux home-assistant-component-tests.nightscout | |
x86_64-linux tests.cuda.cudaPackages_11_2.saxpy | |
x86_64-linux toxiproxy | |
aarch64-darwin emacsPackages.metamorph | |
aarch64-linux vimPlugins.legendary-nvim | |
aarch64-linux linuxPackages_xanmod.netatop | |
x86_64-darwin vimPlugins.nvim-lightline-lsp | |
x86_64-linux haskellPackages.hmatrix | |
x86_64-darwin emacsPackages.sprintly-mode | |
x86_64-darwin haskellPackages.wai-make-assets | |
x86_64-linux haskellPackages.unix-bytestring | |
x86_64-darwin pebble | |
x86_64-darwin beetsPackages.alternatives | |
x86_64-darwin rubyPackages_3_2.e2mmap | |
x86_64-linux rubyPackages_3_3.idn-ruby | |
aarch64-linux python312Packages.google-cloud-bigquery | |
x86_64-darwin kdePackages.qtwebsockets | |
aarch64-darwin emacsPackages.osx-location | |
x86_64-linux libirc | |
aarch64-linux python311Packages.imapclient | |
x86_64-darwin inriafonts | |
x86_64-darwin netsurf-browser | |
aarch64-darwin adalanche | |
aarch64-darwin python311Packages.pymilvus | |
x86_64-darwin postgresql13Packages.pgvector | |
x86_64-darwin python311Packages.railroad-diagrams | |
aarch64-darwin python312Packages.mypy-boto3-signer | |
x86_64-linux tuleap-cli | |
x86_64-linux emacsPackages.with-simulated-input | |
x86_64-linux emacsPackages.sly-asdf | |
x86_64-darwin python311Packages.qcodes | |
aarch64-linux cargo-deadlinks | |
aarch64-darwin psw | |
x86_64-linux python312Packages.ueagle | |
aarch64-linux plasma5Packages.kirigami-gallery | |
x86_64-linux linuxKernel.packages.linux_6_6.openafs_1_8 | |
aarch64-darwin perl538Packages.TextMarkdown | |
aarch64-darwin python311Packages.google-cloud-redis | |
aarch64-linux rubyPackages.cld3 | |
x86_64-linux haskellPackages.validity-unordered-containers | |
x86_64-linux mylvmbackup | |
aarch64-darwin emacsPackages.kosmos-theme | |
x86_64-darwin xorg.xinput | |
aarch64-linux coqPackages.coqeal | |
x86_64-darwin postgresql15Packages.pg_libversion | |
x86_64-linux python311Packages.kazoo | |
aarch64-linux xpdf | |
aarch64-linux fcitx5 | |
aarch64-linux postgresql12JitPackages.pg_uuidv7 | |
x86_64-linux python312Packages.playwright-stealth | |
aarch64-darwin hyena | |
aarch64-linux haskellPackages.heap | |
aarch64-linux kdePackages.kpimtextedit | |
x86_64-linux python312Packages.warrant | |
aarch64-linux lua52Packages.luazip | |
x86_64-darwin haskellPackages.hasql | |
x86_64-darwin gnome.nautilus-python | |
aarch64-darwin python312Packages.itanium-demangler | |
aarch64-darwin html5validator | |
x86_64-darwin python312Packages.pyrtlsdr | |
x86_64-linux openmodelica.omshell | |
x86_64-linux flutter316 | |
x86_64-linux temurin-bin-19 | |
x86_64-darwin haskellPackages.memcache | |
x86_64-darwin udns | |
x86_64-darwin quodlibet-without-gst-plugins | |
aarch64-darwin emacsPackages.embark | |
aarch64-darwin ddsmt | |
aarch64-darwin emacsPackages.zop-to-char | |
aarch64-linux haskellPackages.amazonka-elasticache | |
aarch64-linux haskellPackages.quadratic-irrational | |
x86_64-linux ripdrag | |
aarch64-linux emacsPackages.ob-ml-marklogic | |
aarch64-darwin librealsense | |
aarch64-linux haskellPackages.network-simple-wss | |
x86_64-darwin perl536Packages.URIFromHash | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.prl-tools | |
x86_64-darwin python312Packages.rope | |
aarch64-darwin perl536Packages.MooseXOneArgNew | |
aarch64-linux haskellPackages.c-storable-deriving | |
x86_64-linux libchewing | |
aarch64-linux haskellPackages.reverse-arguments | |
x86_64-linux linuxPackages_5_4_hardened.zfs_2_1 | |
aarch64-linux python312Packages.opentelemetry-util-http | |
x86_64-darwin dwarf-fortress-packages.dwarf-fortress_0_44_10 | |
x86_64-linux kicad-testing-small | |
x86_64-darwin haskellPackages.amazonka-migrationhuborchestrator | |
x86_64-linux linuxKernel.packages.linux_latest_libre.tp_smapi | |
aarch64-linux notus-scanner | |
x86_64-linux nix-index | |
aarch64-linux haskellPackages.persistent | |
aarch64-darwin python311Packages.python-u2flib-host | |
x86_64-darwin luajitPackages.toml-edit | |
aarch64-linux emacsPackages.yatex | |
x86_64-darwin vimPlugins.autoclose-nvim | |
x86_64-darwin editorconfig-checker | |
aarch64-darwin cargo-binutils | |
aarch64-linux vimPlugins.tabby-nvim | |
x86_64-darwin apacheHttpdPackages.mod_csr | |
aarch64-linux catboost | |
aarch64-linux molsketch | |
x86_64-darwin python311Packages.pystemmer | |
x86_64-linux python312Packages.pywerview | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.norg | |
x86_64-linux python311Packages.cufflinks | |
x86_64-linux gnomeExtensions.wallpaper-slideshow | |
aarch64-linux rubyPackages_3_3.pry-byebug | |
x86_64-linux python311Packages.winacl | |
x86_64-darwin git-annex-remote-googledrive | |
aarch64-linux python311Packages.ofxparse | |
x86_64-linux libdc1394 | |
x86_64-darwin vimPlugins.vimpreviewpandoc | |
x86_64-linux postgresqlPackages.pg_rational | |
aarch64-darwin inetutils | |
aarch64-linux linuxKernel.packages.linux_xanmod.zfs_unstable | |
x86_64-linux python311Packages.chroma-hnswlib | |
aarch64-linux xsynth_dssi | |
aarch64-linux cudaPackagesGoogle.libcudla | |
x86_64-darwin python312Packages.smmap | |
aarch64-darwin python312Packages.xdoctest | |
x86_64-linux vimPlugins.wiki-ft-vim | |
aarch64-linux cre2 | |
x86_64-linux linuxKernel.packages.linux_6_1.openafs_1_8 | |
x86_64-linux python311Packages.pyjwt | |
aarch64-linux emacsPackages.wwtime | |
aarch64-linux annextimelog | |
x86_64-darwin emacsPackages.urlenc | |
x86_64-linux cups-dymo | |
x86_64-darwin python311Packages.types-aiobotocore-mturk | |
aarch64-darwin python312Packages.fastapi-sso | |
x86_64-darwin rubyPackages_3_2.ruby-libvirt | |
x86_64-darwin emacsPackages.brf | |
aarch64-linux uefisettings | |
x86_64-darwin perl536Packages.DevelDeprecationsEnvironmental | |
aarch64-linux python311Packages.authheaders | |
x86_64-linux emacsPackages.elfeed-summary | |
aarch64-darwin python312Packages.overpy | |
x86_64-linux sbclPackages.trivia | |
x86_64-darwin vimPlugins.neotest-pest | |
x86_64-darwin undocker | |
x86_64-linux tests.hardeningFlags-clang.bindNowExplicitEnabled | |
x86_64-linux emacsPackages.org-rich-yank | |
x86_64-linux larswm | |
aarch64-darwin python312Packages.bitcoinrpc | |
x86_64-darwin ctx | |
aarch64-darwin perl536Packages.NetLDAPSID | |
x86_64-linux python311Packages.pydruid | |
aarch64-linux haskellPackages.reinterpret-cast | |
aarch64-linux python311Packages.grpc-interceptor | |
aarch64-linux google-cloud-cpp | |
x86_64-darwin python311Packages.pytest-mock | |
aarch64-linux python312Packages.georss-nrcan-earthquakes-client | |
aarch64-linux czkawka | |
aarch64-linux xfe | |
x86_64-darwin gst_all_1.gst-libav | |
x86_64-darwin python311Packages.venstarcolortouch | |
aarch64-linux syncplay-nogui | |
aarch64-linux haskellPackages.quickcheck-script | |
aarch64-linux python311Packages.enochecker-core | |
x86_64-darwin python311Packages.audioread | |
aarch64-darwin wallust | |
aarch64-linux python311Packages.parameter-expansion-patched | |
x86_64-linux emacsPackages.interval-tree | |
x86_64-linux emacsPackages.dired-rmjunk | |
aarch64-darwin vimPlugins.cmp-nvim-tags | |
aarch64-linux python312Packages.horizon-eda | |
x86_64-darwin python312Packages.dctorch | |
x86_64-darwin php82Packages.grumphp | |
aarch64-darwin rubyPackages.pathutil | |
x86_64-darwin crackmapexec | |
x86_64-darwin haskellPackages.skein | |
x86_64-linux python311Packages.yaswfp | |
aarch64-darwin haskellPackages.gi-harfbuzz | |
x86_64-darwin python312Packages.viewstate | |
x86_64-linux emacsPackages.professional-theme | |
x86_64-linux python312Packages.w3lib | |
x86_64-linux tor-browser | |
aarch64-linux linuxPackages_5_10_hardened.virtio_vmmci | |
aarch64-darwin python311Packages.inscriptis | |
aarch64-darwin python311Packages.usbtmc | |
x86_64-darwin vimPlugins.hologram-nvim | |
aarch64-linux vulkan-volk | |
x86_64-darwin rubyPackages.sexp_processor | |
x86_64-linux cudaPackages_10.tensorrt_8_0 | |
x86_64-darwin git-series | |
aarch64-linux python311Packages.hvplot | |
x86_64-darwin python312Packages.ndspy | |
aarch64-linux python312Packages.types-aiobotocore-alexaforbusiness | |
aarch64-darwin python312Packages.snuggs | |
x86_64-linux php82Extensions.simplexml | |
x86_64-darwin emacsPackages.sq | |
x86_64-darwin python312Packages.schema-salad | |
aarch64-darwin haskellPackages.hformat | |
aarch64-linux haskellPackages.prettyprint-avh4 | |
aarch64-linux python311Packages.angrcli | |
x86_64-linux haskellPackages.atom-basic | |
x86_64-darwin python312Packages.coredis | |
aarch64-darwin emacsPackages.basic-mode | |
aarch64-linux postgresql15JitPackages.pg_safeupdate | |
aarch64-linux foxdot | |
aarch64-linux emacsPackages.quarto-mode | |
aarch64-darwin python311Packages.django-markdownx | |
aarch64-darwin oldstandard | |
x86_64-darwin python312Packages.stups-fullstop | |
x86_64-linux coqPackages.serapi | |
aarch64-darwin mypaint-brushes1 | |
aarch64-linux vimPlugins.vim-lsp-ultisnips | |
x86_64-linux gradle | |
aarch64-linux python311Packages.nunavut | |
x86_64-darwin vimPlugins.vim-elixir | |
aarch64-darwin python312Packages.mock-open | |
aarch64-darwin emacsPackages.sqlup-mode | |
x86_64-linux python312Packages.niapy | |
aarch64-linux haskellPackages.hdaemonize | |
aarch64-darwin libsigrok | |
aarch64-linux tests.hardeningFlags-gcc.fortifyStdenvUnsupp | |
aarch64-linux emacsPackages.pyim-smzmdict | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.r8125 | |
x86_64-darwin sqlboiler-crdb | |
aarch64-darwin haskellPackages.fftw-ffi | |
aarch64-linux linuxKernel.packages.linux_libre.r8168 | |
aarch64-linux python311Packages.mypy-boto3-iotevents-data | |
x86_64-darwin zbus-xmlgen | |
x86_64-darwin python312Packages.cbor2 | |
x86_64-darwin theLoungePlugins.plugins.giphy | |
x86_64-darwin emacsPackages.crappy-jsp-mode | |
x86_64-linux gnomeExtensions.workspace-switcher-manager | |
aarch64-darwin perl538Packages.DevelDeprecationsEnvironmental | |
aarch64-darwin python311Packages.azure-mgmt-extendedlocation | |
aarch64-darwin rc-9front | |
aarch64-darwin python312Packages.django-shortuuidfield | |
aarch64-darwin haskellPackages.say | |
aarch64-darwin emacsPackages.snow | |
x86_64-linux protoc-gen-js | |
x86_64-linux emacsPackages.helm-bbdb | |
aarch64-linux python311Packages.omrdatasettools | |
aarch64-linux haskellPackages.htaglib | |
aarch64-linux python311Packages.flask-openid | |
x86_64-linux haskellPackages.monad-par-extras | |
x86_64-darwin SDL2_sound | |
x86_64-linux emacsPackages.mediawiki | |
aarch64-linux vimPlugins.telescope-z-nvim | |
x86_64-linux blanket | |
aarch64-linux supersonic-wayland | |
x86_64-linux python312Packages.aiohttp-wsgi | |
aarch64-linux python311Packages.ipyvuetify | |
aarch64-darwin rubyPackages.gitlab-markup | |
aarch64-darwin vimPlugins.oceanic-next | |
aarch64-linux python312Packages.socketio-client | |
aarch64-darwin haskellPackages.intel-powermon | |
x86_64-linux clap | |
aarch64-linux pms | |
aarch64-linux speech-denoiser | |
x86_64-linux syncstorage-rs | |
x86_64-linux python311Packages.django_5 | |
aarch64-darwin zs-wait4host | |
x86_64-linux emacsPackages.es-windows | |
x86_64-darwin haskellPackages.latex | |
aarch64-darwin vimPlugins.vim-racer | |
aarch64-darwin emacsPackages.window-numbering | |
x86_64-darwin amazon-qldb-shell | |
aarch64-darwin chickenPackages_5.chickenEggs.typed-records | |
x86_64-linux python312Packages.dissect-target | |
x86_64-linux octavePackages.zeromq | |
x86_64-linux python311Packages.wasserstein | |
x86_64-linux python312Packages.redshift-connector | |
aarch64-darwin reaction | |
aarch64-linux python312Packages.peppercorn | |
aarch64-linux emacsPackages.consult-notmuch | |
x86_64-linux emacsPackages.js-auto-format-mode | |
aarch64-linux haskellPackages.graph-trace | |
x86_64-linux screentest | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-115 | |
x86_64-linux emacsPackages.save-load-path | |
aarch64-linux haskellPackages.hgettext | |
x86_64-linux ktunnel | |
aarch64-darwin haskellPackages.tabular | |
aarch64-linux emacsPackages.indy | |
aarch64-darwin nominatim | |
x86_64-linux python311Packages.ansiconv | |
aarch64-darwin emacsPackages.hippie-expand-slime | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.systemtap | |
x86_64-linux python311Packages.sqlalchemy-views | |
x86_64-darwin python312Packages.pyixapi | |
x86_64-darwin gnat13Packages.gnatcoll-db2ada | |
aarch64-darwin haskellPackages.restless-git | |
aarch64-linux haskellPackages.roundRobin | |
x86_64-linux nest-cli | |
aarch64-linux emacsPackages.logos | |
x86_64-darwin emacsPackages.shroud | |
x86_64-darwin perl538Packages.FileLoadLines | |
x86_64-darwin emacsPackages.imenus | |
x86_64-linux emacsPackages.mct | |
aarch64-linux python312Packages.s3fs | |
x86_64-darwin azure-cli-extensions.guestconfig | |
x86_64-linux emacsPackages.paredit | |
aarch64-darwin python311Packages.threadloop | |
x86_64-darwin basex | |
aarch64-linux python311Packages.flashtext | |
aarch64-linux python311Packages.flask | |
x86_64-darwin haskellPackages.pony | |
aarch64-darwin vengi-tools | |
x86_64-linux python312Packages.colorful | |
aarch64-darwin emacsPackages.rbit | |
x86_64-linux saxonb | |
x86_64-darwin python311Packages.mdp | |
aarch64-linux electron_27 | |
aarch64-linux python312Packages.flake8-blind-except | |
aarch64-linux python312Packages.atomicwrites-homeassistant | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.v4l2loopback | |
x86_64-darwin python312Packages.oldest-supported-numpy | |
x86_64-linux haskellPackages.brainfuck | |
aarch64-linux dex | |
aarch64-linux python311Packages.clf | |
x86_64-linux emacsPackages.terminal-here | |
x86_64-darwin haskellPackages.vector | |
x86_64-darwin amazon-ecr-credential-helper | |
aarch64-darwin libsForQt5.qtwebkit | |
aarch64-linux buildbot-full | |
x86_64-darwin python311Packages.pijuice | |
aarch64-linux rain | |
x86_64-darwin haskellPackages.hzenity | |
x86_64-linux python311Packages.pykira | |
x86_64-linux haskellPackages.genvalidity-property | |
aarch64-darwin python311Packages.torchmetrics | |
aarch64-linux python311Packages.google-api-python-client | |
aarch64-darwin emacsPackages.magit-patch-changelog | |
aarch64-darwin postgresql16Packages.pg_libversion | |
aarch64-linux python311Packages.tldextract | |
x86_64-darwin python312Packages.types-aiobotocore-sso-oidc | |
x86_64-darwin yosys-bluespec | |
aarch64-linux postgresqlJitPackages.smlar | |
aarch64-darwin haskellPackages.elynx-nexus | |
aarch64-linux snapshot | |
x86_64-darwin haskellPackages.hashing | |
aarch64-linux python312Packages.agate-dbf | |
x86_64-linux python311Packages.mypy-boto3-worklink | |
x86_64-linux vimPlugins.vim-qlist | |
x86_64-darwin emacsPackages.flymake-kondor | |
aarch64-darwin vimPlugins.nterm-nvim | |
x86_64-linux python311Packages.oletools | |
x86_64-darwin python311Packages.verspec | |
aarch64-linux python312Packages.vispy | |
x86_64-linux applgrid | |
x86_64-linux linuxPackages_xanmod_latest.it87 | |
x86_64-linux emacsPackages.jack-connect | |
aarch64-darwin ssh-openpgp-auth | |
aarch64-linux libnl | |
x86_64-darwin perl536Packages.CryptTwofish | |
x86_64-linux haskellPackages.BerkeleyDB | |
aarch64-darwin sacad | |
aarch64-linux haskellPackages.flush-queue | |
aarch64-darwin python311Packages.redbaron | |
aarch64-darwin aspino | |
aarch64-darwin haskellPackages.midi | |
x86_64-linux wasynth | |
aarch64-linux xdg-desktop-portal-cosmic | |
aarch64-darwin python312Packages.jamo | |
aarch64-linux python312Packages.pyepsg | |
x86_64-darwin haskellPackages.deriving-show-simple | |
x86_64-darwin rubyPackages_3_1.cocoapods-testing | |
aarch64-linux python311Packages.jupyter-server | |
aarch64-darwin haskellPackages.cryptostore | |
aarch64-darwin emacsPackages.helm-icons | |
x86_64-darwin chickenPackages_5.chickenEggs.memoize | |
aarch64-darwin google-cloud-sql-proxy | |
aarch64-darwin python312Packages.hkavr | |
x86_64-darwin python312Packages.apricot-select | |
aarch64-darwin python311Packages.python-technove | |
aarch64-darwin python312Packages.proton-vpn-connection | |
x86_64-darwin libsForQt5.kidletime | |
x86_64-darwin python311Packages.aioymaps | |
x86_64-darwin perl536Packages.FileReadBackwards | |
aarch64-linux qmk | |
x86_64-darwin emacsPackages.sunrise-commander | |
x86_64-darwin haskellPackages.yi-vty | |
x86_64-darwin python312Packages.python-pam | |
x86_64-darwin haskellPackages.tuples | |
x86_64-darwin python311Packages.py4j | |
x86_64-linux kakounePlugins.parinfer-rust | |
x86_64-linux libticalcs2 | |
aarch64-darwin scowl | |
aarch64-linux vimPlugins.bufferline-nvim | |
aarch64-linux python312Packages.textfsm | |
aarch64-darwin python311Packages.goocalendar | |
x86_64-linux python311Packages.urlextract | |
aarch64-darwin python312Packages.lion-pytorch | |
x86_64-linux linuxPackages_6_1_hardened.bcc | |
aarch64-linux emacsPackages.memento-mori | |
x86_64-linux python312Packages.azure-mgmt-iothubprovisioningservices | |
aarch64-darwin python311Packages.python-telegram-bot | |
aarch64-linux python311Packages.django-timezone-field | |
aarch64-linux python311Packages.romy | |
aarch64-darwin vimPlugins.vim-rails | |
aarch64-linux python311Packages.pywebview | |
aarch64-darwin python311Packages.stashy | |
x86_64-linux haskellPackages.rangeset | |
x86_64-darwin emacsPackages.outline-magic | |
aarch64-darwin python311Packages.glances-api | |
aarch64-darwin python312Packages.mkdocs-git-authors-plugin | |
x86_64-linux python311Packages.fastavro | |
x86_64-darwin python312Packages.xstatic | |
x86_64-darwin rubyPackages_3_3.net-ssh | |
x86_64-linux emacsPackages.nv-delete-back | |
aarch64-linux python311Packages.apkit | |
aarch64-linux linuxPackages_hardened.zfs_2_1 | |
aarch64-linux xorg.libxshmfence | |
aarch64-linux gradle_7 | |
x86_64-linux octavePackages.interval | |
x86_64-linux jextract | |
x86_64-darwin terraform-providers.selectel | |
x86_64-linux theLoungePlugins.themes.onedark | |
x86_64-linux crlfsuite | |
x86_64-darwin python312Packages.unstructured-api-tools | |
x86_64-linux libsForQt5.mbox-importer | |
x86_64-linux perl538Packages.Gtk3SimpleList | |
x86_64-linux timescaledb-tune | |
x86_64-linux cargo-hf2 | |
x86_64-linux gnuplot | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-78 | |
x86_64-linux la-capitaine-icon-theme | |
x86_64-darwin libvncserver | |
x86_64-darwin lightning-loop | |
aarch64-darwin perl538Packages.Appcpm | |
aarch64-darwin python311Packages.r2pipe | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.lkrg | |
x86_64-linux haskellPackages.product-profunctors | |
x86_64-linux haskellPackages.nf | |
aarch64-linux home-assistant-component-tests.androidtv_remote | |
x86_64-linux emacsPackages.org-attach-screenshot | |
aarch64-linux open-vm-tools | |
aarch64-darwin python312Packages.mypy-boto3-redshift-data | |
aarch64-darwin libsForQt5.yuview | |
x86_64-linux python312Packages.fx2 | |
aarch64-linux ocl-icd | |
aarch64-linux haskellPackages.amazonka-worklink | |
aarch64-darwin libsForQt5.kpurpose | |
aarch64-darwin python312Packages.ftputil | |
x86_64-darwin postgresqlPackages.citus | |
aarch64-darwin perl538Packages.DBIxClassHelpers | |
aarch64-linux haskellPackages.hakyll-sass | |
aarch64-darwin python311Packages.aioitertools | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-197 | |
aarch64-linux haskellPackages.boundingboxes | |
x86_64-darwin xorg.libXinerama | |
aarch64-darwin jsonnet-language-server | |
aarch64-darwin perl536Packages.NetSSHPerl | |
aarch64-darwin libgda6 | |
aarch64-darwin python311Packages.qingping-ble | |
x86_64-darwin haskellPackages.servant-auth-client | |
x86_64-linux soapysdr-with-plugins | |
aarch64-linux zeek | |
x86_64-darwin libtransmission_4 | |
aarch64-linux python312Packages.multipart | |
x86_64-darwin darwin.IOKit | |
x86_64-linux amber | |
x86_64-darwin haskellPackages.module-munging | |
x86_64-linux python311Packages.mullvad-api | |
aarch64-linux linuxPackages_4_19_hardened.digimend | |
aarch64-linux emacsPackages.helm-tail | |
aarch64-darwin perl536Packages.MathGeometryVoronoi | |
aarch64-linux python311Packages.opentelemetry-instrumentation-flask | |
x86_64-darwin autojump | |
aarch64-darwin go-check | |
aarch64-darwin emacsPackages.cycle-quotes | |
aarch64-darwin python312Packages.google-cloud-dataproc | |
aarch64-darwin emacsPackages.sketch-themes | |
aarch64-linux python312Packages.bcrypt | |
x86_64-linux python312Packages.ukpostcodeparser | |
aarch64-linux python312Packages.yt-dlp-light | |
aarch64-linux zulu17 | |
x86_64-linux haskellPackages.openexr-write | |
aarch64-linux postgresql14JitPackages.pgsodium | |
x86_64-linux caprice32 | |
x86_64-linux python311Packages.complycube | |
x86_64-linux libgedit-amtk | |
x86_64-darwin python311Packages.pywerview | |
aarch64-linux csvq | |
x86_64-linux libsForQt5.kcrash | |
aarch64-darwin python312Packages.container-inspector | |
aarch64-linux python312Packages.approval-utilities | |
x86_64-linux vimPlugins.ncm2-jedi | |
aarch64-darwin python311Packages.bkcharts | |
x86_64-linux hub | |
aarch64-linux python312Packages.pylibdmtx | |
aarch64-darwin python312Packages.mypy-boto3-omics | |
x86_64-linux emacsPackages.yes-no | |
aarch64-darwin termite-unwrapped | |
aarch64-darwin tintin | |
aarch64-darwin haskellPackages.amazonka-arc-zonal-shift | |
x86_64-linux cppzmq | |
aarch64-darwin python311Packages.srp | |
x86_64-darwin zncModules.privmsg | |
aarch64-linux python312Packages.lxml | |
x86_64-darwin haskellPackages.amazonka-kafkaconnect | |
aarch64-darwin emacsPackages.dummyparens | |
x86_64-linux haskellPackages.HsASA | |
x86_64-darwin aspellDicts.sl | |
x86_64-linux llvm_17 | |
aarch64-darwin chickenPackages_5.chickenEggs.math | |
aarch64-linux python311Packages.blocksat-cli | |
x86_64-darwin rtmidi | |
x86_64-darwin haskellPackages.openssl-createkey | |
x86_64-linux lxqt.lxqt-config | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.libpcre2-posix | |
aarch64-linux plasma5Packages.baloo-widgets | |
aarch64-darwin emacsPackages.key-intercept | |
aarch64-darwin python311Packages.halohome | |
aarch64-darwin vimPlugins.ack-vim | |
aarch64-darwin python311Packages.ffcv | |
x86_64-darwin vimPlugins.PreserveNoEOL | |
aarch64-linux python311Packages.opentimestamps | |
aarch64-linux emacsPackages.tracwiki-mode | |
aarch64-darwin perl538Packages.PackageDeprecationManager | |
aarch64-darwin postgresql13Packages.smlar | |
aarch64-darwin graphqlmap | |
x86_64-darwin manrope | |
x86_64-darwin postgresql14Packages.timescaledb-apache | |
x86_64-darwin haskellPackages.titlecase | |
x86_64-darwin azure-cli-extensions.aem | |
x86_64-darwin emacsPackages.tzc | |
x86_64-darwin hss | |
x86_64-darwin minidjvu | |
aarch64-darwin python311Packages.posix-ipc | |
aarch64-linux haskellPackages.exotic-list-monads | |
x86_64-darwin perl536Packages.LocaleUtilsPlaceholderMaketext | |
x86_64-linux temurin-jre-bin-19 | |
aarch64-linux vimPlugins.neorg | |
x86_64-linux fsuae | |
x86_64-linux gnomeExtensions.panelhideswindow | |
x86_64-darwin kodiPackages.visualization-shadertoy | |
x86_64-linux haskellPackages.atom-conduit | |
aarch64-darwin haskellPackages.lists-flines | |
aarch64-linux haskellPackages.mbox | |
x86_64-linux python312Packages.mypy-boto3-inspector2 | |
aarch64-darwin rubyPackages.pry-byebug | |
x86_64-darwin python311Packages.wyoming | |
aarch64-linux python312Packages.pi1wire | |
x86_64-linux gnomeExtensions.compiz-alike-magic-lamp-effect | |
x86_64-darwin rubyPackages.rubyzip | |
aarch64-linux rubyPackages_3_2.markaby | |
x86_64-darwin emacsPackages.project-mode-line-tag | |
x86_64-linux go-mockery | |
x86_64-linux darwin.cctools-port | |
x86_64-linux rubyPackages_3_3.jekyll-readme-index | |
aarch64-darwin postgresql15JitPackages.tds_fdw | |
x86_64-linux wlay | |
x86_64-darwin python312Packages.types-redis | |
aarch64-linux zoxide | |
aarch64-darwin nlohmann_json_schema_validator | |
aarch64-darwin emacsPackages.org2jekyll | |
aarch64-linux haskellPackages.amazonka-dlm | |
x86_64-darwin python311Packages.pyxbe | |
aarch64-linux hybridreverb2 | |
aarch64-linux emacsPackages.lorem-ipsum | |
aarch64-linux linuxPackages-libre.ena | |
aarch64-darwin python311Packages.chacha20poly1305 | |
aarch64-darwin python312Packages.eliqonline | |
aarch64-darwin semantic-release | |
x86_64-darwin emacsPackages.ipython-shell-send | |
x86_64-linux python312Packages.qcodes-contrib-drivers | |
aarch64-darwin haskellPackages.libBF | |
x86_64-linux c3c | |
aarch64-linux base16-shell-preview | |
aarch64-darwin spotdl | |
x86_64-darwin haskellPackages.symengine-hs | |
aarch64-darwin haskellPackages.openal-ffi | |
x86_64-linux emacsPackages.aws-snippets | |
aarch64-darwin python312Packages.pycookiecheat | |
aarch64-linux postgresqlJitPackages.pg_embedding | |
x86_64-darwin python311Packages.sdds | |
x86_64-linux xmloscopy | |
aarch64-linux emacsPackages.pickle | |
x86_64-linux haskellPackages.random-shuffle | |
x86_64-linux haskellPackages.hwk | |
aarch64-linux subversion | |
x86_64-darwin wifi-password | |
aarch64-darwin libsForQt5.kross | |
aarch64-darwin python311Packages.sphinx-autodoc-typehints | |
x86_64-linux vulkan-loader | |
aarch64-linux emacsPackages.math-tex-convert | |
aarch64-linux nvidia-system-monitor-qt | |
aarch64-linux lua53Packages.luarocks-build-rust-mlua | |
x86_64-linux reaverwps | |
x86_64-darwin haskellPackages.iconv | |
aarch64-linux codecserver | |
x86_64-darwin haskellPackages.streamly | |
x86_64-darwin emacsPackages.ttl-mode | |
aarch64-darwin berry | |
aarch64-darwin python312Packages.objsize | |
x86_64-darwin vimPlugins.numb-nvim | |
aarch64-linux haskellPackages.bv-little | |
aarch64-linux kdePackages.kpipewire | |
x86_64-linux mininet | |
x86_64-darwin python311Packages.mdformat-footnote | |
x86_64-darwin python312Packages.clean-fid | |
x86_64-linux linuxPackages_zen.rr-zen_workaround | |
aarch64-linux emacsPackages.jdee | |
x86_64-darwin perl536Packages.SignalMask | |
aarch64-linux python311Packages.datrie | |
x86_64-darwin python311Packages.pyqldb | |
aarch64-linux osmo-hnbgw | |
x86_64-linux tests.hardeningFlags-clang.fortifyExplicitEnabled | |
x86_64-darwin perl538Packages.CookieBaker | |
aarch64-darwin haskellPackages.parsec-numeric | |
aarch64-linux acme-sh | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.Qt5DBus | |
x86_64-linux ikiwiki | |
aarch64-linux python311Packages.furl | |
aarch64-linux kdePackages.qtserialport | |
x86_64-linux adoptopenjdk-openj9-bin-16 | |
x86_64-linux cudaPackagesGoogle.cuda_memcheck | |
x86_64-darwin python311Packages.funcsigs | |
x86_64-linux libsForQt5.libktorrent | |
aarch64-linux wrangler | |
aarch64-linux emacsPackages.bibliothek | |
x86_64-darwin emacsPackages.company-pollen | |
aarch64-linux dcrctl | |
x86_64-linux python311Packages.tesserocr | |
x86_64-darwin fvwm3 | |
aarch64-darwin haskell.compiler.native-bignum.ghc945 | |
aarch64-darwin emacsPackages.rinari | |
x86_64-linux tsm-client | |
x86_64-linux emacsPackages.org-easy-img-insert | |
x86_64-darwin gpg-tui | |
aarch64-linux python312Packages.gradio | |
aarch64-darwin perl538Packages.SysSigAction | |
x86_64-darwin cero | |
x86_64-linux perl536Packages.Gtk3 | |
aarch64-darwin rubyPackages_3_2.dep-selector-libgecode | |
x86_64-darwin lua51Packages.digestif | |
x86_64-darwin perl536Packages.CryptOpenSSLGuess | |
x86_64-darwin python312Packages.cepa | |
aarch64-linux iosevka-comfy.comfy-wide-motion-fixed | |
aarch64-linux python311Packages.ed25519-blake2b | |
x86_64-linux php83Packages.php-parallel-lint | |
x86_64-darwin haskellPackages.setops | |
x86_64-linux cudaPackages_10.tensorrt | |
x86_64-linux kdePackages.kweather | |
aarch64-darwin perl536Packages.ConfigStd | |
x86_64-linux qemu_kvm | |
x86_64-linux python312Packages.colorclass | |
x86_64-darwin libheif | |
aarch64-darwin pokerth-server | |
x86_64-linux python311Packages.crossplane | |
aarch64-darwin perl538Packages.SafeHole | |
x86_64-darwin dotfiles | |
x86_64-darwin emacsPackages.helm-dired-recent-dirs | |
x86_64-linux nushellPlugins.query | |
aarch64-darwin emacsPackages.markdownfmt | |
x86_64-linux x16-emulator | |
aarch64-linux aitrack | |
x86_64-darwin haskellPackages.vector-instances | |
x86_64-darwin perl536Packages.ProcWait3 | |
x86_64-linux lua54Packages.markdown | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.system76 | |
x86_64-linux emacsPackages.diffpdf | |
aarch64-linux emacsPackages.e2wm | |
x86_64-linux emacsPackages.rvm | |
x86_64-darwin python311Packages.aioeafm | |
x86_64-darwin python311Packages.pympler | |
x86_64-darwin perl536Packages.MojoliciousPluginGravatar | |
x86_64-linux haskellPackages.amazonka-servicecatalog-appregistry | |
x86_64-darwin python311Packages.pyatome | |
x86_64-linux linuxPackages.vendor-reset | |
aarch64-darwin perl538Packages.GooCanvas2CairoTypes | |
aarch64-linux emacsPackages.aggressive-fill-paragraph | |
aarch64-linux emacsPackages.wc-goal-mode | |
x86_64-darwin python312Packages.circuit-webhook | |
aarch64-linux python312Packages.azure-mgmt-datalake-nspkg | |
aarch64-darwin rubyPackages_3_3.indieweb-endpoints | |
x86_64-linux python312Packages.mypy-boto3-acm | |
x86_64-darwin perl536Packages.CGIPSGI | |
x86_64-linux python312Packages.mypy-boto3-wisdom | |
x86_64-linux tickrs | |
aarch64-linux lua52Packages.linenoise | |
x86_64-linux lua51Packages.luaepnf | |
aarch64-darwin emacsPackages.eyebrowse-restore | |
x86_64-linux linuxPackages_5_4_hardened.oci-seccomp-bpf-hook | |
x86_64-linux uhubctl | |
aarch64-linux rapidfuzz-cpp | |
aarch64-linux tests.hardeningFlags-gcc.stackProtectorStdenvUnsupp | |
x86_64-linux deepin.deepin-pdfium | |
x86_64-linux haskellPackages.vector-stream | |
aarch64-darwin python312Packages.hpack | |
aarch64-linux theLoungePlugins.themes.dracula | |
aarch64-linux xorg.xf86videosuncg6 | |
aarch64-linux haskellPackages.hs-opentelemetry-instrumentation-hspec | |
aarch64-linux python312Packages.types-aiobotocore-sms | |
aarch64-darwin emacsPackages.curry-on-theme | |
aarch64-darwin haskell.packages.ghc92.haskell-language-server | |
aarch64-linux lua51Packages.luarocks-build-rust-mlua | |
aarch64-linux lua53Packages.lua-resty-openssl | |
x86_64-linux python312Packages.levenshtein | |
aarch64-darwin fontforge | |
aarch64-linux vimPlugins.glance-nvim | |
x86_64-linux duckscript | |
aarch64-linux linuxKernel.packages.linux_hardened.virtio_vmmci | |
x86_64-linux python311Packages.misoc | |
x86_64-linux haskellPackages.proto-lens-runtime | |
aarch64-darwin python311Packages.pyqt5-sip | |
x86_64-linux python311Packages.python-wink | |
aarch64-linux python312Packages.future-fstrings | |
aarch64-darwin perl536Packages.ClassIterator | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.tbs | |
aarch64-darwin python312Packages.python-louvain | |
x86_64-linux process-compose | |
x86_64-darwin vimPlugins.inkpot | |
aarch64-darwin emacsPackages.jedi | |
aarch64-darwin python311Packages.pytest-cache | |
aarch64-linux emacsPackages.go | |
aarch64-linux python311Packages.lcov-cobertura | |
x86_64-linux gnome.gnome-sudoku | |
x86_64-linux vimPlugins.vim-lsc | |
aarch64-darwin ghost | |
aarch64-linux plasma5Packages.qgpgme | |
x86_64-linux coqPackages.hierarchy-builder | |
x86_64-linux python312Packages.types-aiobotocore-appintegrations | |
x86_64-linux python312Packages.libfdt | |
aarch64-linux haskellPackages.servant-swagger | |
x86_64-darwin emacsPackages.highlight-function-calls | |
x86_64-darwin hunspellDicts.en-au | |
x86_64-darwin python311Packages.pysolr | |
x86_64-darwin python311Packages.mypy-boto3-databrew | |
aarch64-darwin vimPlugins.wtf-nvim | |
x86_64-darwin linx-server | |
x86_64-darwin glfw | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.turtle | |
aarch64-linux python311Packages.grapheme | |
x86_64-darwin edit | |
aarch64-linux haskellPackages.namecoin-update | |
x86_64-linux haskellPackages.usa-holidays | |
x86_64-darwin emacsPackages.tramp-hdfs | |
aarch64-linux python311Packages.mypy-boto3-greengrassv2 | |
aarch64-darwin lua52Packages.cassowary | |
aarch64-linux python311Packages.dockerfile-parse | |
aarch64-linux python312Packages.dissect-ole | |
aarch64-linux llvmPackages_16.libclang | |
aarch64-darwin chickenPackages_5.chickenEggs.color | |
x86_64-darwin haskellPackages.skylighting-modding | |
aarch64-darwin lua51Packages.luadbi-mysql | |
x86_64-linux haskellPackages.time-units | |
x86_64-darwin python311Packages.adal | |
aarch64-linux python311Packages.translationstring | |
x86_64-darwin python312Packages.selenium | |
x86_64-linux perl538Packages.SVNSimple | |
aarch64-darwin haskellPackages.turni | |
x86_64-darwin fstl | |
aarch64-darwin python312Packages.pynmea2 | |
x86_64-darwin xob | |
aarch64-darwin python311Packages.azure-monitor-ingestion | |
x86_64-linux emacsPackages.prescient | |
aarch64-linux python311Packages.certbot-dns-google | |
x86_64-darwin python312Packages.stumpy | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.gotmpl | |
aarch64-darwin libsbsms_2_0_2 | |
x86_64-linux libsForQt5.qt5.full | |
aarch64-darwin perl536Packages.PerlIOviaTimeout | |
aarch64-darwin python312Packages.nocaselist | |
x86_64-linux python311Packages.xpybutil | |
aarch64-darwin darwin.libdispatch | |
x86_64-linux python311Packages.nnpdf | |
aarch64-linux rocmPackages.mivisionx-cpu | |
aarch64-linux python311Packages.backcall | |
x86_64-darwin python312Packages.types-aiobotocore-greengrass | |
x86_64-linux python312Packages.nengo | |
x86_64-linux haskellPackages.synthesizer-midi | |
aarch64-linux idevicerestore | |
aarch64-linux python312Packages.eyed3 | |
x86_64-linux sic-image-cli | |
aarch64-darwin python312Packages.pbr | |
x86_64-darwin haskellPackages.parsers | |
x86_64-linux linuxPackages_hardened.nvidia_x11_beta_open | |
aarch64-linux libgnomekbd | |
x86_64-darwin python311Packages.cmd2 | |
aarch64-linux emacsPackages.flycheck-pycheckers | |
x86_64-linux python311Packages.pyclip | |
x86_64-darwin python312Packages.sphinxemoji | |
x86_64-linux libimagequant | |
x86_64-linux emacsPackages.sunny-day-theme | |
x86_64-linux haskellPackages.input-parsers | |
x86_64-darwin xcur2png | |
x86_64-darwin perl538Packages.TextMarkdown | |
aarch64-linux python312Packages.motmetrics | |
x86_64-linux sbclPackages.cl-svg | |
x86_64-darwin emacsPackages.highlight-defined | |
aarch64-darwin libva1 | |
x86_64-linux emacsPackages.vterm | |
x86_64-linux kodiPackages.libretro-fuse | |
aarch64-linux haskellPackages.ghc-vis | |
aarch64-linux luaPackages.moonscript | |
aarch64-linux capslock | |
x86_64-linux ns-3 | |
x86_64-darwin wavm | |
aarch64-darwin fcron | |
x86_64-darwin perl538Packages.AnyEventHTTP | |
aarch64-darwin bc-soci | |
x86_64-darwin llvmPackages_17.clangNoLibc | |
x86_64-linux home-assistant-component-tests.pvoutput | |
x86_64-linux mkvtoolnix | |
x86_64-darwin postgresql14Packages.pg_uuidv7 | |
aarch64-linux azure-cli-extensions.authV2 | |
x86_64-linux sbclPackages.cffi-toolchain | |
x86_64-darwin perl538Packages.UNIVERSALrequire | |
aarch64-linux mqtt-benchmark | |
aarch64-darwin haskellPackages.vulkan-api | |
x86_64-linux python312Packages.matrix-api-async | |
aarch64-darwin python312Packages.janus | |
aarch64-linux haskellPackages.shellmet | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.printf | |
aarch64-darwin vimPlugins.idris2-vim | |
aarch64-darwin python312Packages.phonopy | |
aarch64-linux emacsPackages.bbww | |
x86_64-linux distccStdenv | |
x86_64-linux python312Packages.google-auth-oauthlib | |
x86_64-linux python312Packages.python3-openid | |
x86_64-linux python311Packages.pypitoken | |
aarch64-linux python311Packages.patiencediff | |
aarch64-linux python312Packages.types-aiobotocore-snow-device-management | |
x86_64-darwin python311Packages.webrtcvad | |
x86_64-darwin tests.testers.hasPkgConfigModules.openssl-has-all-meta-pkgConfigModules | |
aarch64-linux python311Packages.wxpython | |
aarch64-darwin emacsPackages.kanji-mode | |
aarch64-darwin musly | |
x86_64-linux home-assistant-component-tests.devolo_home_network | |
x86_64-darwin python311Packages.mypy-boto3-lookoutequipment | |
aarch64-darwin cirrusgo | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.cpupower | |
x86_64-linux dcp375cwlpr | |
x86_64-darwin age-plugin-ledger | |
x86_64-linux emacsPackages.helm-lobsters | |
aarch64-linux python312Packages.django-anymail | |
x86_64-linux linuxPackages_5_15_hardened.rtl8192eu | |
aarch64-darwin console-bridge | |
x86_64-linux python311Packages.pyinstrument | |
x86_64-linux python312Packages.pysuez | |
aarch64-linux emacsPackages.s-buffer | |
aarch64-darwin python311Packages.wn | |
x86_64-linux linuxPackages_latest.dpdk-kmods | |
aarch64-darwin mtpfs | |
x86_64-linux rocmPackages_6.llvm.libclc | |
aarch64-darwin python311Packages.striprtf | |
aarch64-linux haskellPackages.getopt-generics | |
x86_64-linux python312Packages.pegen | |
x86_64-linux python311Packages.vsts-cd-manager | |
aarch64-linux gato | |
x86_64-linux idrisPackages.vdom | |
aarch64-darwin perl536Packages.DevelGlobalPhase | |
aarch64-linux wla-dx | |
aarch64-linux emacsPackages.eslint-fix | |
x86_64-linux haskellPackages.pinch | |
x86_64-linux haskellPackages.HsYAML | |
x86_64-darwin python311Packages.aiodns | |
aarch64-linux sioclient | |
x86_64-linux plasma5Packages.granatier | |
aarch64-darwin lzsa | |
aarch64-linux xorg.xkbprint | |
aarch64-darwin updatecli | |
x86_64-darwin perl536Packages.UUIDURandom | |
x86_64-linux python311Packages.colormath | |
x86_64-darwin halibut | |
x86_64-linux cinnamon.cjs | |
x86_64-linux emacsPackages.diffscuss-mode | |
x86_64-linux linuxPackages_latest.lttng-modules | |
x86_64-linux haskellPackages.acme-cutegirl | |
x86_64-linux gnomeExtensions.xwayland-indicator | |
x86_64-linux python311Packages.z3c-checkversions | |
x86_64-darwin vimPlugins.align | |
aarch64-darwin haskellPackages.uniform-fileio | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.zenpower | |
aarch64-darwin haskellPackages.non-negative | |
aarch64-linux CuboCore.corestuff | |
aarch64-linux python311Packages.gnureadline | |
x86_64-darwin haskellPackages.Chart | |
x86_64-linux libsForQt5.kget | |
x86_64-darwin perl538Packages.CryptPBKDF2 | |
aarch64-linux python311Packages.chameleon | |
x86_64-linux etebase-server | |
x86_64-darwin perl538Packages.PathClass | |
aarch64-darwin lua54Packages.luadbi | |
aarch64-darwin python311Packages.httpie-ntlm | |
x86_64-darwin python311Packages.llama-index-graph-stores-neptune | |
x86_64-linux haskellPackages.resource-pool-fork-avanov | |
aarch64-darwin ossec-agent | |
x86_64-darwin xorg.fontbhtype1 | |
aarch64-linux vimPlugins.coconut-vim | |
x86_64-linux haskellPackages.SDL-mpeg | |
x86_64-linux python311Packages.ffmpeg-python | |
aarch64-linux python311Packages.checksumdir | |
x86_64-linux python312Packages.pyatome | |
aarch64-darwin haskellPackages.pandoc-dhall-decoder | |
aarch64-linux python312Packages.pytest-cram | |
aarch64-darwin python311Packages.codecov | |
aarch64-linux luaPackages.xml2lua | |
aarch64-darwin emacsPackages.mermaid-mode | |
x86_64-linux haskellPackages.persistent-template | |
aarch64-linux python312Packages.sh | |
aarch64-linux emacsPackages.seti-theme | |
x86_64-darwin tcllib | |
aarch64-linux haskellPackages.network-udp | |
x86_64-linux linuxKernel.packages.linux_5_10.trelay | |
x86_64-linux gnomeExtensions.dual-shock-4-battery-percentage | |
x86_64-darwin python311Packages.aiohttp-socks | |
x86_64-linux emacsPackages.inferior-islisp | |
x86_64-darwin quast | |
x86_64-linux emacsPackages.js-format | |
aarch64-linux drawpile | |
x86_64-darwin nixos-option | |
aarch64-linux perl536Packages.NetZooKeeper | |
aarch64-darwin haskellPackages.sandwich-quickcheck | |
aarch64-linux linuxKernel.packages.linux_6_1.perf | |
aarch64-darwin haskellPackages.cryptonite-openssl | |
x86_64-linux haskellPackages.amazonka-route53resolver | |
aarch64-linux python312Packages.azure-mgmt-devtestlabs | |
x86_64-linux haskellPackages.Vec | |
x86_64-darwin python311Packages.zope-lifecycleevent | |
x86_64-darwin haskellPackages.leb128-binary | |
aarch64-linux python312Packages.pyqt6-webengine | |
x86_64-linux dcraw | |
x86_64-linux terraform-providers.null | |
x86_64-darwin python312Packages.multidict | |
aarch64-darwin bamtools | |
x86_64-linux emacsPackages.xbm-life | |
x86_64-linux xdummy | |
aarch64-linux emacsPackages.eros | |
x86_64-darwin python311Packages.apycula | |
aarch64-linux python312Packages.more-properties | |
x86_64-linux emacsPackages.bbdb | |
x86_64-linux dupeguru | |
aarch64-darwin perl536Packages.GlibObjectIntrospection | |
aarch64-linux python312Packages.parse-type | |
aarch64-darwin haskellPackages.Unixutils | |
aarch64-darwin valijson | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.framework-laptop-kmod | |
x86_64-linux mdbook-pdf-outline | |
aarch64-darwin python311Packages.help2man | |
x86_64-linux python312Packages.git-annex-adapter | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-133 | |
aarch64-linux python312Packages.verlib2 | |
x86_64-linux python311Packages.imia | |
aarch64-darwin mypy-protobuf | |
x86_64-darwin optifinePackages.optifine_1_12 | |
x86_64-linux coq_8_17 | |
aarch64-linux linuxKernel.packages.linux_4_19.ddcci-driver | |
x86_64-darwin haskellPackages.webgear-core | |
x86_64-darwin python311Packages.gitpython | |
aarch64-darwin haskellPackages.hylogen | |
aarch64-linux emacsPackages.pig-snippets | |
aarch64-darwin jiten | |
aarch64-linux python311Packages.pymatting | |
aarch64-darwin python312Packages.flet | |
aarch64-linux haskellPackages.nano-erl | |
aarch64-linux btcpayserver | |
aarch64-darwin nbtscan | |
aarch64-darwin python312Packages.webthing | |
aarch64-linux yaml-language-server | |
x86_64-linux fltk13-minimal | |
aarch64-darwin libretro.bsnes-mercury-balanced | |
aarch64-darwin chickenPackages_5.chickenEggs.getopt-long | |
x86_64-linux zeronet-conservancy | |
aarch64-darwin lab | |
aarch64-linux vimPlugins.edge | |
x86_64-linux python312Packages.requests-wsgi-adapter | |
x86_64-linux python312Packages.offtrac | |
x86_64-darwin cilium-cli | |
x86_64-linux gnomeExtensions.clipboard-history | |
aarch64-linux dotfiles | |
x86_64-darwin emacsPackages.el-secretario-notmuch | |
x86_64-linux rubyPackages_3_3.cocoapods-art | |
aarch64-darwin python312Packages.types-aiobotocore-mediatailor | |
x86_64-darwin kubeshark | |
aarch64-darwin emacsPackages.lsp-metals | |
x86_64-linux flink | |
x86_64-linux linuxKernel.packages.linux_lqx.framework-laptop-kmod | |
x86_64-linux emacsPackages.org-parser | |
x86_64-darwin ferretdb | |
aarch64-linux emacsPackages.ioccur | |
aarch64-darwin takao | |
aarch64-linux postgresql14Packages.wal2json | |
x86_64-linux python312Packages.robotframework-databaselibrary | |
aarch64-darwin vimPlugins.nvim-highlight-colors | |
x86_64-darwin perl538Packages.DistZillaPluginMojibakeTests | |
x86_64-darwin lua54Packages.lua-toml | |
aarch64-linux haskellPackages.gi-gdk | |
x86_64-darwin semgrep | |
aarch64-darwin python312Packages.types-aiobotocore-privatenetworks | |
aarch64-darwin haskellPackages.FailT | |
x86_64-linux linuxPackages_hardened.openafs | |
aarch64-darwin perl536Packages.EmailAbstract | |
aarch64-darwin emacsPackages.sublime-themes | |
x86_64-darwin python312Packages.argon2-cffi-bindings | |
aarch64-darwin luajitPackages.compat53 | |
aarch64-darwin slimserver | |
x86_64-darwin vimPlugins.fruzzy | |
x86_64-linux python311Packages.aioqsw | |
x86_64-darwin syntax | |
x86_64-linux dotnetCorePackages.sdk_6_0_1xx | |
aarch64-linux haskellPackages.dependent-sum-template | |
aarch64-linux python311Packages.taskw | |
aarch64-linux snappy | |
aarch64-linux python311Packages.para | |
aarch64-darwin python311Packages.grapheme | |
x86_64-darwin vassal | |
aarch64-darwin tinyobjloader | |
x86_64-darwin python311Packages.aioboto3 | |
aarch64-linux python312Packages.langchain-text-splitters | |
x86_64-darwin python312Packages.pytest-watch | |
x86_64-linux linuxPackages_latest.mwprocapture | |
x86_64-darwin lua53Packages.busted | |
aarch64-linux yascreen | |
aarch64-darwin python312Packages.nc-dnsapi | |
aarch64-darwin formatjson5 | |
x86_64-darwin python311Packages.polyswarm-api | |
aarch64-linux python312Packages.bc-python-hcl2 | |
aarch64-linux linuxKernel.packages.linux_6_8.jool | |
aarch64-linux schemes | |
x86_64-linux haskellPackages.amazonka-redshift | |
aarch64-linux python312Packages.awsiotpythonsdk | |
aarch64-linux pgpool | |
aarch64-linux python311Packages.clickhouse-cli | |
x86_64-linux gnomeExtensions.put-windows | |
x86_64-darwin haskellPackages.gsasl | |
aarch64-linux emacsPackages.state | |
x86_64-darwin pixelfed | |
aarch64-linux haskellPackages.MemoTrie | |
x86_64-linux python311Packages.sklearn-deap | |
x86_64-linux haskellPackages.hashrename | |
aarch64-linux containerpilot | |
x86_64-linux home-assistant-component-tests.netgear | |
x86_64-darwin scriv | |
aarch64-linux qmk_hid | |
x86_64-linux xcb-util-cursor | |
aarch64-linux python311Packages.flask-babelex | |
aarch64-darwin lua51Packages.luadbi-postgresql | |
aarch64-darwin perl536Packages.TestTrailingSpace | |
aarch64-linux libsForQt5.kconfig | |
aarch64-darwin urdfdom-headers | |
aarch64-darwin python312Packages.pegen | |
aarch64-darwin pistol | |
aarch64-linux python311Packages.pyannote-pipeline | |
x86_64-darwin rubyPackages_3_2.rainbow | |
x86_64-linux webkitgtk | |
aarch64-darwin xosd | |
x86_64-darwin haskellPackages.hslua-module-doclayout | |
aarch64-linux libdatachannel | |
aarch64-darwin perl536Packages.XMLRPCLite | |
x86_64-linux python312Packages.vcver | |
aarch64-linux linuxPackages_5_4_hardened.lkrg | |
x86_64-darwin haskellPackages.data-array-byte | |
x86_64-darwin python311Packages.django-versatileimagefield | |
aarch64-linux proximity-sort | |
aarch64-linux go-check | |
x86_64-darwin rubyPackages_3_2.eventmachine | |
x86_64-darwin rubyPackages_3_1.rspec-expectations | |
x86_64-linux gopass-summon-provider | |
x86_64-linux hiraeth | |
aarch64-linux haskellPackages.soxlib | |
x86_64-darwin perl538Packages.NetSMTPTLSButMaintained | |
x86_64-darwin python311Packages.h2 | |
x86_64-linux python311Packages.python-dbusmock | |
x86_64-linux python312Packages.croniter | |
x86_64-darwin python311Packages.recommonmark | |
aarch64-linux minidjvu | |
x86_64-darwin python311Packages.azure-mgmt-policyinsights | |
aarch64-linux cgminer | |
aarch64-linux lua53Packages.lua-iconv | |
x86_64-linux python311Packages.pytikz-allefeld | |
x86_64-linux linuxPackages_latest.sysdig | |
aarch64-linux cargo-about | |
aarch64-darwin tests.cc-wrapper.llvmTests.llvmPackages.clang | |
aarch64-linux python312Packages.pyiqvia | |
x86_64-linux gtksourceview5 | |
x86_64-linux vimPlugins.vimspector | |
x86_64-darwin haskellPackages.wizard | |
aarch64-darwin python311Packages.iptools | |
x86_64-darwin libnet | |
aarch64-darwin python312Packages.mypy-boto3-xray | |
x86_64-darwin conjure | |
aarch64-darwin libmodsecurity | |
aarch64-darwin emacsPackages.spaceline-all-the-icons | |
aarch64-linux linuxKernel.packages.linux_lqx.rtw88 | |
x86_64-linux vimPlugins.SpaceVim | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.chipsec | |
aarch64-linux python312Packages.spacy-legacy | |
x86_64-darwin python312Packages.junos-eznc | |
x86_64-linux emacsPackages.chinese-word-at-point | |
x86_64-darwin haskellPackages.xmlbf-xmlhtml | |
aarch64-darwin rubyPackages_3_1.jekyll-mentions | |
aarch64-darwin luajitPackages.lgi | |
x86_64-linux python311Packages.x11-hash | |
aarch64-darwin perl536Packages.DevelStackTrace | |
x86_64-linux console-bridge | |
aarch64-darwin rubyPackages_3_2.ruby2_keywords | |
aarch64-linux perl538Packages.ham | |
aarch64-darwin openusd | |
aarch64-linux pcem | |
aarch64-linux procps | |
aarch64-darwin xmldiff | |
x86_64-darwin haskellPackages.Rasterific | |
aarch64-linux wfuzz | |
x86_64-darwin emacsPackages.cloak-mode | |
aarch64-darwin emacsPackages.i3bar | |
aarch64-linux python311Packages.absl-py | |
aarch64-linux python311Packages.asmog | |
aarch64-linux gawd | |
x86_64-linux haskellPackages.quickcheck-groups | |
aarch64-darwin jxrlib | |
x86_64-linux nsxiv | |
aarch64-linux python311Packages.azure-mgmt-containerinstance | |
aarch64-darwin haskellPackages.context-free-art | |
x86_64-linux python312Packages.adjusttext | |
x86_64-darwin cppreference-doc | |
x86_64-linux kdePackages.kdevelop | |
x86_64-linux plasma5Packages.kompare | |
aarch64-linux python312Packages.gipc | |
x86_64-darwin rubyPackages_3_3.prettier | |
x86_64-linux home-assistant-component-tests.balboa | |
aarch64-darwin perl538Packages.TestFailWarnings | |
x86_64-darwin python312Packages.poetry-dynamic-versioning | |
aarch64-darwin haskellPackages.rematch | |
x86_64-darwin emacsPackages.notink-theme | |
x86_64-linux plasma5Packages.libksysguard | |
x86_64-linux linuxKernel.packages.linux_latest_libre.mbp2018-bridge-drv | |
aarch64-linux python311Packages.rokuecp | |
aarch64-linux python312Packages.sphinx-automodapi | |
x86_64-linux scion | |
x86_64-darwin haskellPackages.islink | |
aarch64-darwin egglog | |
aarch64-linux getdns | |
aarch64-linux home-assistant-component-tests.sonarr | |
aarch64-linux vimPlugins.cmp-conventionalcommits | |
aarch64-darwin upiano | |
aarch64-linux python311Packages.types-aiobotocore-appflow | |
aarch64-darwin haskellPackages.intelli-monad | |
aarch64-linux python312Packages.mypy-boto3-amp | |
x86_64-darwin libde265 | |
aarch64-linux hyprshade | |
x86_64-darwin python312Packages.aadict | |
aarch64-linux emacsPackages.eacl | |
aarch64-darwin haskellPackages.amazonka-xray | |
aarch64-darwin jrsonnet | |
x86_64-darwin shards_0_17 | |
x86_64-darwin python312Packages.elementpath | |
aarch64-darwin vimPlugins.cloak-nvim | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.apfs | |
aarch64-linux quaternion-qt6 | |
x86_64-darwin python312Packages.python-rapidjson | |
aarch64-linux emacsPackages.helm-recoll | |
aarch64-darwin emacsPackages.runner | |
aarch64-darwin emacsPackages.killer | |
aarch64-linux minetest-touch | |
x86_64-linux python311Packages.mypy-boto3-codeguruprofiler | |
aarch64-linux python312Packages.pykulersky | |
x86_64-linux rocmPackages_6.hipcub | |
aarch64-linux python311Packages.e3-core | |
x86_64-linux python312Packages.pyfma | |
x86_64-darwin python312Packages.atomiclong | |
x86_64-linux rxvt | |
x86_64-linux olaris-server | |
x86_64-linux python312Packages.cfgv | |
x86_64-darwin brltty | |
aarch64-darwin azure-cli-extensions.resource-graph | |
aarch64-darwin emacsPackages.narumi | |
aarch64-linux emacsPackages.opensub | |
x86_64-darwin powershell | |
aarch64-darwin bossa-arduino | |
aarch64-linux haskellPackages.fast-builder | |
aarch64-linux python312Packages.xvfbwrapper | |
x86_64-darwin buildbotPackages.buildbot-plugins.react-wsgi-dashboards | |
aarch64-linux haskellPackages.words | |
aarch64-linux linuxPackages_latest.lenovo-legion-module | |
x86_64-linux python312Packages.smbus-cffi | |
x86_64-darwin perl538Packages.UUIDTiny | |
aarch64-linux unpackerr | |
aarch64-darwin python312Packages.databricks-sql-connector | |
x86_64-linux haskellPackages.combinatorics | |
aarch64-darwin python312Packages.pytest-mockservers | |
x86_64-darwin haskellPackages.char-qq | |
x86_64-linux python312Packages.ws4py | |
x86_64-linux home-assistant-component-tests.kodi | |
x86_64-linux python312Packages.hawkauthlib | |
aarch64-linux emacsPackages.xml+ | |
aarch64-darwin vimPlugins.transparent-nvim | |
aarch64-linux soxt | |
x86_64-darwin emacsPackages.project-abbrev | |
x86_64-darwin python311Packages.limitlessled | |
aarch64-linux python312Packages.xnd | |
aarch64-darwin haskellPackages.shake-plus-extended | |
aarch64-darwin luaPackages.libluv | |
aarch64-linux emacsPackages.flatbuffers-mode | |
aarch64-linux prometheus-process-exporter | |
x86_64-linux nim2 | |
aarch64-darwin emacsPackages.helm-bibtex | |
aarch64-darwin emacsPackages.ob-ess-julia | |
x86_64-linux cardpeek | |
aarch64-linux python311Packages.dvc-hdfs | |
x86_64-darwin emacsPackages.realgud | |
x86_64-darwin libfx2 | |
aarch64-darwin python311Packages.jupyter-collaboration | |
x86_64-darwin subjs | |
x86_64-linux python311Packages.protonvpn-nm-lib | |
x86_64-linux python312Packages.pysnmp | |
aarch64-darwin haskellPackages.tuple | |
aarch64-linux python311Packages.hocr-tools | |
x86_64-linux libsForQt5.kpkpass | |
x86_64-linux python312Packages.bencoder | |
aarch64-darwin haskellPackages.list-predicate | |
aarch64-darwin emacsPackages.guix | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.zfs_2_1 | |
x86_64-linux python311Packages.into-dbus-python | |
aarch64-darwin perl536Packages.librelative | |
aarch64-darwin ericw-tools | |
x86_64-darwin python311Packages.types-beautifulsoup4 | |
aarch64-darwin vimPlugins.pest-vim | |
aarch64-darwin emacsPackages.call-graph | |
x86_64-darwin rubyPackages_3_2.nokogiri | |
aarch64-linux python311Packages.django-paintstore | |
x86_64-linux xorg.xf86videowsfb | |
aarch64-darwin libmysqlclient_3_1 | |
x86_64-darwin python311Packages.flask-mysqldb | |
x86_64-linux lxqt.qtxdg-tools | |
aarch64-linux xandikos | |
aarch64-linux haskellPackages.gitlib | |
aarch64-linux haskellPackages.amazonka-cloudtrail | |
x86_64-linux nsq | |
x86_64-darwin python312Packages.ipycanvas | |
aarch64-linux grive2 | |
aarch64-darwin python312Packages.detect-secrets | |
aarch64-darwin haskellPackages.HDBC-odbc | |
aarch64-linux linuxPackages_5_4_hardened.rtl8812au | |
aarch64-darwin erlang-ls | |
x86_64-linux haskellPackages.hOpenPGP | |
aarch64-linux python311Packages.ctranslate2 | |
aarch64-darwin libsForQt5.mlt | |
x86_64-darwin vimPlugins.vim-easy-align | |
x86_64-darwin SDL2_Pango | |
x86_64-linux python312Packages.envs | |
aarch64-linux emacsPackages.ace-isearch | |
x86_64-linux python312Packages.reproject | |
aarch64-linux haskellPackages.control-monad-queue | |
x86_64-linux kodiPackages.visualization-matrix | |
aarch64-darwin perl536Packages.MooXTypeTiny | |
aarch64-linux python311Packages.drf-yasg | |
x86_64-darwin emacsPackages.swift-mode | |
x86_64-darwin python311Packages.mapbox-earcut | |
x86_64-darwin perl536Packages.PerlCriticPulp | |
x86_64-linux rubyPackages_3_2.libxml-ruby | |
x86_64-darwin haskellPackages.concur-core | |
x86_64-linux emacsPackages.fwb-cmds | |
aarch64-linux rubyPackages_3_2.activemodel | |
x86_64-linux python312Packages.logi-circle | |
aarch64-linux emacsPackages.helm-emms | |
aarch64-linux shell-hist | |
aarch64-linux vimPlugins.openingh-nvim | |
aarch64-linux ansible-lint | |
x86_64-linux arduino-ide | |
x86_64-darwin photofield | |
aarch64-linux libhwy | |
aarch64-darwin perl538Packages.CryptOpenSSLBignum | |
aarch64-linux python311Packages.msrestazure | |
x86_64-darwin haskellPackages.scalpel-core | |
aarch64-darwin luajitPackages.cldr | |
x86_64-linux maeparser | |
aarch64-darwin python311Packages.pyexcel | |
aarch64-linux python312Packages.yacs | |
aarch64-darwin emacsPackages.isearch-mb | |
x86_64-linux haskellPackages.fused-effects-random | |
x86_64-linux python311Packages.here-transit | |
x86_64-darwin heroic-unwrapped | |
x86_64-linux libsForQt5.breeze-grub | |
aarch64-darwin haskellPackages.hjson | |
aarch64-darwin haskellPackages.stm-orelse-io | |
aarch64-linux fx | |
x86_64-linux vimPlugins.modus-themes-nvim | |
x86_64-linux haskellPackages.wcwidth | |
aarch64-linux python311Packages.django-compression-middleware | |
x86_64-darwin python311Packages.pyspinel | |
aarch64-darwin emacsPackages.ob-html-chrome | |
aarch64-darwin onestepback | |
x86_64-linux kdePackages.kaccounts-integration | |
aarch64-linux webalizer | |
x86_64-linux python311Packages.types-aiobotocore-route53-recovery-control-config | |
x86_64-linux emacsPackages.notmuch-bookmarks | |
aarch64-darwin x264 | |
x86_64-darwin srtrelay | |
aarch64-darwin haskellPackages.hslua-packaging | |
aarch64-darwin rxvt-unicode-unwrapped | |
x86_64-linux shopware-cli | |
aarch64-linux appvm | |
x86_64-darwin haskellPackages.command-qq | |
x86_64-darwin haskellPackages.ekg-influxdb | |
aarch64-darwin vimPlugins.iosvkem | |
x86_64-darwin emacsPackages.teacode-expand | |
aarch64-darwin miniaudio | |
aarch64-linux linuxKernel.packages.linux_6_6.systemtap | |
aarch64-linux python311Packages.ratelimit | |
x86_64-linux emacsPackages.async | |
aarch64-linux python311Packages.applicationinsights | |
x86_64-linux python312Packages.rubymarshal | |
aarch64-darwin pomerium-cli | |
x86_64-linux pixelfed | |
aarch64-darwin lua53Packages.inspect | |
aarch64-darwin haskellPackages.Cabal_3_10_3_0 | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.gcadapter-oc-kmod | |
aarch64-darwin python312Packages.pyrdfa3 | |
aarch64-darwin perl536Packages.TestClass | |
x86_64-linux python312Packages.glyphsets | |
aarch64-linux python311Packages.microsoft-kiota-serialization-text | |
x86_64-darwin postgresql13Packages.tsearch_extras | |
x86_64-darwin perl536Packages.CatalystViewTT | |
x86_64-darwin emacsPackages.metronome | |
aarch64-darwin rubyPackages_3_1.webrick | |
aarch64-darwin haskellPackages.haskell-google-trends | |
aarch64-linux emacsPackages.caml | |
aarch64-linux python311Packages.pymorphy3 | |
x86_64-linux haskellPackages.lift-type | |
aarch64-darwin openscad-lsp | |
aarch64-linux python311Packages.seabreeze | |
x86_64-linux python311Packages.types-aiobotocore-cloudtrail | |
x86_64-linux python312Packages.ical | |
x86_64-darwin rethinkdb | |
aarch64-darwin buildbot-worker | |
x86_64-darwin python312Packages.justnimbus | |
x86_64-linux blender | |
aarch64-linux python312Packages.envs | |
x86_64-linux python311Packages.line-profiler | |
x86_64-linux emacsPackages.dark-krystal-theme | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.pony | |
x86_64-darwin coqPackages.metacoq | |
aarch64-linux rubyPackages_3_3.rexml | |
x86_64-linux python312Packages.websockets | |
aarch64-linux python311Packages.pyotgw | |
x86_64-linux haskellPackages.tasty-prelude | |
aarch64-darwin emacsPackages.persp-mode-projectile-bridge | |
aarch64-linux haskellPackages.left4deadrl | |
x86_64-darwin dnstake | |
aarch64-darwin emacsPackages.ob-julia-vterm | |
x86_64-linux python312Packages.hatch-vcs | |
x86_64-linux python312Packages.ms-cv | |
aarch64-darwin haskellPackages.gjk | |
x86_64-linux gnome.gnome-terminal | |
aarch64-linux gorilla-cli | |
aarch64-linux plasma5Packages.qt5.qtdeclarative | |
aarch64-darwin qt6.qttools | |
aarch64-darwin rubyPackages_3_2.thrift | |
x86_64-linux serve-d | |
x86_64-linux vcs | |
aarch64-linux vertcoind | |
aarch64-darwin haskellPackages.phonetic-languages-rhythmicity | |
x86_64-darwin libbfio | |
x86_64-darwin comixcursors | |
aarch64-darwin emacsPackages.magit-find-file | |
x86_64-darwin python311Packages.libsoundtouch | |
x86_64-linux python311Packages.multitasking | |
x86_64-darwin scaleway-cli | |
aarch64-linux emacsPackages.pack | |
aarch64-linux python311Packages.python-hpilo | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.rtl8821au | |
aarch64-darwin lua52Packages.tl | |
x86_64-darwin ntopng | |
x86_64-linux python312Packages.ismartgate | |
aarch64-darwin emacsPackages.uml-mode | |
x86_64-darwin python311Packages.whodap | |
x86_64-darwin git-subtrac | |
x86_64-linux sshuttle | |
x86_64-darwin dconf2nix | |
aarch64-darwin python311Packages.awsiotpythonsdk | |
aarch64-darwin cld2 | |
aarch64-darwin emacsPackages.smart-comment | |
x86_64-linux sbclPackages.sycamore | |
x86_64-linux home-assistant-component-tests.kmtronic | |
x86_64-linux linuxPackages_xanmod_latest.evdi | |
aarch64-linux haskellPackages.primecount | |
aarch64-darwin python311Packages.connio | |
x86_64-linux python311Packages.elmax-api | |
x86_64-linux kbibtex | |
aarch64-linux python312Packages.iotawattpy | |
x86_64-darwin smbmap | |
x86_64-darwin clustal-omega | |
x86_64-linux industrializer | |
aarch64-linux lua54Packages.http | |
x86_64-darwin vimPlugins.vim-gist | |
x86_64-linux haskellPackages.gll | |
aarch64-linux lshw-gui | |
aarch64-linux compactor | |
x86_64-darwin rubyPackages_3_2.treetop | |
aarch64-linux faba-mono-icons | |
aarch64-darwin haskellPackages.cassava-embed | |
aarch64-darwin haskellPackages.map-classes | |
x86_64-darwin haskellPackages.bv-little | |
aarch64-darwin mle | |
x86_64-darwin python312Packages.intbitset | |
aarch64-linux haskellPackages.gi-dbusmenugtk3 | |
x86_64-linux python311Packages.python-osc | |
aarch64-darwin python311Packages.py-desmume | |
aarch64-linux haskellPackages.genvalidity | |
x86_64-darwin perl538Packages.WWWFormUrlEncoded | |
aarch64-linux vimPlugins.vim-peekaboo | |
aarch64-linux dnglab | |
aarch64-linux python311Packages.takethetime | |
x86_64-darwin python312Packages.reptor | |
aarch64-linux python312Packages.zopfli | |
x86_64-linux replay-io | |
x86_64-linux emacsPackages.org-roam-ql | |
aarch64-darwin gbforth | |
x86_64-darwin haskellPackages.prob | |
aarch64-linux numix-icon-theme-circle | |
aarch64-linux python312Packages.bloodyad | |
aarch64-linux emacsPackages.hyde | |
aarch64-linux volk | |
x86_64-linux snowsql | |
aarch64-linux aravis | |
x86_64-linux opendrop | |
aarch64-linux python311Packages.keras | |
x86_64-darwin emacsPackages.org-id-cleanup | |
x86_64-darwin libyuv | |
x86_64-darwin python311Packages.clifford | |
x86_64-darwin rubyPackages_3_3.sequel | |
aarch64-linux python312Packages.ledger-bitcoin | |
x86_64-linux dvdstyler | |
aarch64-darwin emacsPackages.burnt-toast | |
x86_64-linux gspeech | |
aarch64-darwin python311Packages.mock-services | |
aarch64-darwin haskellPackages.web-rep | |
x86_64-darwin gnat12Packages.gnatcoll-xref | |
aarch64-linux asciinema | |
x86_64-darwin vimPlugins.statuscol-nvim | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.strace | |
x86_64-darwin vimPlugins.vim-addon-signs | |
x86_64-darwin python312Packages.nested-lookup | |
aarch64-darwin haskellPackages.random-variates | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.tuxedo-keyboard | |
aarch64-linux soapybladerf | |
x86_64-linux crcpp | |
x86_64-linux emacsPackages.isearch-project | |
aarch64-darwin rubyPackages.jekyll-seo-tag | |
aarch64-linux prometheus-wireguard-exporter | |
x86_64-linux python311Packages.pytest-raisesregexp | |
x86_64-linux python312Packages.x256 | |
aarch64-linux linuxKernel.packages.linux_5_15.zfs_2_1 | |
aarch64-darwin emacsPackages.flymake-puppet | |
x86_64-darwin aspellDicts.et | |
aarch64-darwin haskellPackages.lazysplines | |
x86_64-darwin vimPlugins.vim-anzu | |
x86_64-darwin emacsPackages.message-attachment-reminder | |
aarch64-darwin vimPlugins.falcon | |
aarch64-linux luajitPackages.nlua | |
aarch64-darwin awscli2 | |
aarch64-linux ayatana-webmail | |
x86_64-darwin llvmPackages_17.libstdcxxClang | |
x86_64-darwin ispc | |
aarch64-darwin dgraph | |
x86_64-linux meme-image-generator | |
aarch64-linux mark | |
x86_64-darwin zdbsp | |
x86_64-darwin python312Packages.unittest-data-provider | |
x86_64-darwin shadowsocks-v2ray-plugin | |
aarch64-darwin python311Packages.usb-devices | |
aarch64-darwin rubyPackages_3_1.rb-fsevent | |
aarch64-linux apacheHttpdPackages_2_4.mod_fastcgi | |
aarch64-darwin perl538Packages.HashDiff | |
aarch64-darwin python312Packages.dashing | |
x86_64-darwin python312Packages.navec | |
x86_64-linux python311Packages.chainstream | |
x86_64-linux python312Packages.cronsim | |
x86_64-darwin python311Packages.google-cloud-vision | |
x86_64-darwin rubyPackages.kramdown | |
x86_64-darwin python312Packages.psautohint | |
aarch64-linux aranym | |
x86_64-darwin llvmPackages_18.libunwind | |
x86_64-darwin perl536Packages.CatalystAuthenticationStoreHtpasswd | |
x86_64-darwin python311Packages.django-pwa | |
x86_64-darwin python311Packages.milc | |
x86_64-darwin python312Packages.autopage | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.nvidia_x11_beta_open | |
x86_64-linux chickenPackages_5.chickenEggs.git | |
x86_64-linux safety-cli | |
x86_64-darwin python311Packages.tagoio-sdk | |
aarch64-linux vimPlugins.vim-dirvish-git | |
aarch64-linux python312Packages.poppler-qt5 | |
x86_64-darwin antlr3_4 | |
x86_64-linux python311Packages.mypy-boto3-inspector | |
aarch64-linux python312Packages.ome-zarr | |
x86_64-linux haskellPackages.variadic-function | |
aarch64-darwin haskellPackages.dvorak | |
x86_64-darwin haskellPackages.witherable-class | |
aarch64-linux libsForQt5.qt5.qtxmlpatterns | |
aarch64-linux haskellPackages.mmorph | |
aarch64-linux bitcoin | |
aarch64-linux libsForQt5.shelf | |
aarch64-darwin emacsPackages.annotate-depth | |
x86_64-linux python311Packages.evohome-async | |
x86_64-darwin chickenPackages_5.chickenEggs.cairo | |
x86_64-darwin python311Packages.simplesat | |
aarch64-linux azure-cli-extensions.maintenance | |
x86_64-linux python311Packages.django_4 | |
aarch64-linux python311Packages.limitlessled | |
x86_64-linux python311Packages.aiohttp-openmetrics | |
aarch64-darwin python311Packages.textile | |
x86_64-linux python311Packages.pynose | |
x86_64-linux python312Packages.flet | |
aarch64-linux postgresqlJitPackages.pgvecto-rs | |
aarch64-linux rutabaga_gfx | |
x86_64-darwin jpylyzer | |
x86_64-linux home-assistant-component-tests.nexia | |
x86_64-linux vimPlugins.vim-terraform-completion | |
x86_64-darwin terragrunt | |
x86_64-linux tomlplusplus | |
aarch64-linux lua53Packages.luaposix | |
aarch64-linux grilo | |
aarch64-linux haskellPackages.metro-transport-crypto | |
x86_64-linux python311Packages.python-mbedtls | |
aarch64-linux asciidoctor-with-extensions | |
aarch64-darwin harfbuzz | |
x86_64-linux expenses | |
x86_64-linux tagparser | |
x86_64-linux usb-modeswitch-data | |
x86_64-linux macdylibbundler | |
x86_64-linux haskellPackages.statgrab | |
aarch64-darwin haskellPackages.monad-logger-json | |
aarch64-linux python311Packages.types-aiobotocore-fsx | |
aarch64-darwin bvi | |
x86_64-linux python312Packages.uptime-kuma-monitor | |
aarch64-linux haskellPackages.bluefin-internal | |
aarch64-darwin onefetch | |
x86_64-linux multiviewer-for-f1 | |
aarch64-linux jackmeter | |
aarch64-linux python312Packages.pyproj | |
x86_64-darwin deluge | |
aarch64-darwin rubyPackages_3_3.rest-client | |
aarch64-darwin emacsPackages.travis | |
aarch64-linux python312Packages.tilt-ble | |
x86_64-darwin darwin.sudo | |
aarch64-linux emacsPackages.gpt-commit | |
x86_64-linux meraki-cli | |
x86_64-linux python311Packages.dateutils | |
x86_64-linux inspectrum | |
x86_64-linux haskellPackages.gitlib-sample | |
aarch64-linux profont | |
x86_64-darwin haskellPackages.render-utf8 | |
aarch64-darwin rubber | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.drbd | |
aarch64-linux emacsPackages.bpftrace-mode | |
x86_64-darwin emacsPackages.ycm | |
aarch64-darwin python311Packages.ansi2html | |
x86_64-darwin kanata | |
x86_64-darwin emacsPackages.flycheck-cstyle | |
x86_64-darwin python312Packages.pytest-cid | |
aarch64-darwin python312Packages.mediapy | |
x86_64-darwin python311Packages.ircrobots | |
x86_64-darwin python312Packages.html-text | |
aarch64-linux scs | |
x86_64-linux emacsPackages.edit-server-htmlize | |
x86_64-darwin python311Packages.nbsmoke | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.bass | |
x86_64-linux emacsPackages.helm-themes | |
x86_64-linux linuxPackages.rtl8821cu | |
aarch64-linux plasma5Packages.qqc2-desktop-style | |
x86_64-linux haskellPackages.hnix | |
aarch64-darwin rubyPackages_3_1.redis-client | |
x86_64-linux python311Packages.azure-storage-blob | |
aarch64-linux vimPlugins.vim-ghost | |
x86_64-linux haskellPackages.concurrent-barrier | |
x86_64-darwin emacsPackages.dired-duplicates | |
aarch64-darwin invidious-router | |
x86_64-linux linuxKernel.packages.linux_5_10.drbd | |
x86_64-linux wayst | |
aarch64-darwin python311Packages.ruamel-base | |
aarch64-darwin python312Packages.mypy-boto3-keyspaces | |
x86_64-darwin python311Packages.signalslot | |
x86_64-linux haskellPackages.monad-logger-aeson | |
x86_64-darwin python311Packages.bugzilla | |
aarch64-darwin home-assistant-custom-components.govee-lan | |
aarch64-darwin haskellPackages.hyahtzee | |
x86_64-darwin haskellPackages.day-comonoid | |
aarch64-linux python311Packages.textile | |
aarch64-darwin python312Packages.pytest-warnings | |
aarch64-linux linuxKernel.packages.linux_5_10.rtl8189es | |
x86_64-darwin python311Packages.types-aiobotocore-amplifyuibuilder | |
aarch64-darwin rubyPackages.rbs | |
x86_64-linux haskellPackages.some-dict-of | |
x86_64-linux haskellPackages.clr-win-linker | |
aarch64-darwin vimPlugins.vim-gh-line | |
aarch64-darwin perl538Packages.LWPUserAgentDetermined | |
x86_64-linux titanium | |
x86_64-darwin python311Packages.mypy-boto3-glacier | |
x86_64-darwin perl538Packages.TextSimpleTable | |
aarch64-darwin haskellPackages.srcloc | |
aarch64-linux python311Packages.asyncio-rlock | |
aarch64-linux xfce.xfce4-battery-plugin | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.usd | |
x86_64-darwin perl538Packages.FontAFM | |
x86_64-darwin captive-browser | |
aarch64-linux python311Packages.jaraco-email | |
aarch64-darwin emacsPackages.letcheck | |
aarch64-linux python312Packages.scikit-learn-extra | |
aarch64-darwin python312Packages.pipx | |
x86_64-darwin python311Packages.greenlet | |
aarch64-darwin plasma5Packages.qca | |
x86_64-linux haskellPackages.language-slice | |
x86_64-darwin eksctl | |
x86_64-linux certgraph | |
x86_64-linux haskellPackages.sandwich-quickcheck | |
aarch64-linux haskellPackages.cabal-test-bin | |
aarch64-linux python312Packages.orvibo | |
aarch64-darwin lua54Packages.cldr | |
aarch64-darwin postgresql14JitPackages.pg_relusage | |
x86_64-darwin emacsPackages.flycheck-pycheckers | |
aarch64-darwin python311Packages.tika | |
aarch64-linux emacsPackages.electric-operator | |
aarch64-darwin haskellPackages.fedora-dists | |
aarch64-linux sqldef | |
x86_64-linux i3lock-blur | |
x86_64-darwin python311Packages.ciscoconfparse | |
aarch64-linux python311Packages.pytube | |
aarch64-darwin synergy | |
x86_64-linux python312Packages.youtube-dl-light | |
x86_64-linux rocmPackages.hsa-amd-aqlprofile-bin | |
x86_64-linux emacsPackages.lv | |
aarch64-linux emacsPackages.modular-config | |
aarch64-darwin haskellPackages.bindings-libzip | |
x86_64-linux python312Packages.simple-rest-client | |
aarch64-darwin emacsPackages.pygn-mode | |
aarch64-darwin govc | |
x86_64-darwin python311Packages.pyring-buffer | |
x86_64-darwin python312Packages.chirpstack-api | |
aarch64-darwin python312Packages.uarray | |
x86_64-darwin spoofer | |
x86_64-linux emacsPackages.google-c-style | |
x86_64-linux python311Packages.mypy-boto3-autoscaling | |
x86_64-linux haskellPackages.concur-core | |
aarch64-linux python312Packages.mypy-boto3-docdb | |
aarch64-linux python312Packages.mypy-boto3-savingsplans | |
aarch64-linux linuxPackages_lqx.cryptodev | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.zfs_2_1 | |
aarch64-linux linuxPackages_zen.openrazer | |
aarch64-linux python312Packages.types-aiobotocore-ssm-sap | |
aarch64-linux haskellPackages.rasterific-svg | |
aarch64-darwin haskellPackages.tst | |
x86_64-darwin python311Packages.oasatelematics | |
x86_64-linux python312Packages.iterative-telemetry | |
x86_64-darwin haskellPackages.amazonka-chime-sdk-messaging | |
aarch64-linux linuxPackages_6_6_hardened.dpdk-kmods | |
x86_64-linux home-assistant-component-tests.flick_electric | |
aarch64-darwin diskus | |
aarch64-darwin python311Packages.ipwhl | |
x86_64-darwin emacsPackages.bufferlo | |
x86_64-darwin libsForQt5.ktextwidgets | |
x86_64-linux linuxKernel.packages.linux_libre.ply | |
x86_64-linux emacsPackages.pocket-api | |
aarch64-linux linuxPackages.mwprocapture | |
x86_64-linux haskellPackages.posix-paths | |
x86_64-darwin vimPlugins.quickfixstatus | |
x86_64-linux gnomeExtensions.thinkpad-battery-threshold | |
x86_64-darwin paperless-ngx | |
x86_64-linux python311Packages.jaraco-abode | |
x86_64-darwin haskellPackages.ecstasy | |
x86_64-linux emacsPackages.dot-mode | |
x86_64-darwin vimPlugins.papercolor-theme | |
x86_64-linux haskell.packages.ghc96.haskell-language-server | |
aarch64-linux vimPlugins.ultimate-autopair-nvim | |
x86_64-linux python312Packages.aws-lambda-builders | |
aarch64-linux python312Packages.types-aiobotocore-neptune | |
x86_64-linux cudaPackagesGoogle.cuda_nvrtc | |
x86_64-linux hyperfine | |
x86_64-linux php81Extensions.shmop | |
x86_64-darwin haskellPackages.hsgtd | |
x86_64-darwin python311Packages.fpyutils | |
aarch64-linux python312Packages.cherrypy-cors | |
x86_64-linux emacsPackages.brazilian-holidays | |
aarch64-darwin llvmPackages_12.openmp | |
aarch64-linux python311Packages.redshift-connector | |
x86_64-linux kdePackages.kbruch | |
x86_64-darwin pico-sdk | |
aarch64-darwin postgresqlPackages.pgtap | |
aarch64-darwin ffmpeg_4-headless | |
x86_64-linux haskellPackages.science-constants-dimensional | |
aarch64-darwin haskellPackages.tree-sitter-ocaml | |
x86_64-linux grig | |
x86_64-darwin haskellPackages.functors | |
x86_64-darwin python311Packages.reikna | |
aarch64-darwin python312Packages.htmllistparse | |
x86_64-darwin rubyPackages.jekyll-feed | |
aarch64-darwin haskellPackages.terminal | |
aarch64-darwin uthash | |
aarch64-linux linuxKernel.packages.linux_6_8.evdi | |
aarch64-linux lua53Packages.lrexlib-pcre | |
x86_64-darwin ranger | |
aarch64-darwin python311Packages.jpype1 | |
x86_64-darwin htslib | |
aarch64-linux python312Packages.yappi | |
aarch64-linux tuleap-cli | |
aarch64-linux vimPlugins.mason-lspconfig-nvim | |
x86_64-linux rubyPackages_3_3.jekyll-webmention_io | |
x86_64-linux assh | |
aarch64-linux python311Packages.bracex | |
x86_64-linux ell | |
aarch64-darwin haskellPackages.ease | |
aarch64-linux python311Packages.ical | |
x86_64-darwin haskellPackages.ral-optics | |
x86_64-darwin haskellPackages.hspec-webdriver | |
x86_64-linux haskellPackages.iff | |
x86_64-linux python311Packages.pgsanity | |
aarch64-linux libsForQt5.plasma-workspace-wallpapers | |
aarch64-darwin python311Packages.filelock | |
x86_64-linux python311Packages.pytest-console-scripts | |
aarch64-linux emacsPackages.graphviz-dot-mode | |
aarch64-darwin unpaper | |
aarch64-linux python312Packages.mypy | |
x86_64-linux home-assistant-component-tests.overkiz | |
x86_64-linux hostapd-mana | |
x86_64-darwin emacsPackages.org-assistant | |
x86_64-linux hpl | |
x86_64-darwin sub-batch | |
aarch64-linux godot3-mono-headless | |
x86_64-linux haskellPackages.fgl | |
aarch64-darwin python311Packages.pdm-pep517 | |
x86_64-linux uv | |
aarch64-darwin vim-darwin | |
aarch64-darwin freetds | |
aarch64-darwin haskellPackages.NoHoed | |
x86_64-darwin haskellPackages.AesonBson | |
aarch64-linux linuxPackages.xone | |
x86_64-linux python311Packages.azure-mgmt-subscription | |
aarch64-darwin emacsPackages.imenu-extra | |
x86_64-linux python311Packages.rnginline | |
x86_64-linux python312Packages.sansio-multipart | |
aarch64-darwin python311Packages.types-click | |
aarch64-darwin python311Packages.dockerpty | |
aarch64-darwin emacsPackages.molokai-theme | |
aarch64-linux code-maat | |
aarch64-darwin haskellPackages.wd | |
aarch64-darwin vimpc | |
x86_64-darwin python311Packages.ephem | |
x86_64-linux ayatana-indicator-session | |
x86_64-linux python311Packages.django-sekizai | |
x86_64-darwin perl536Packages.HTMLStripScripts | |
x86_64-darwin haskellPackages.powerqueue-distributed | |
aarch64-linux python312Packages.pytikz-allefeld | |
x86_64-linux python311Packages.pykwb | |
aarch64-darwin haskellPackages.regex-with-pcre | |
x86_64-darwin python312Packages.moviepy | |
x86_64-linux python311Packages.iammeter | |
aarch64-darwin haskellPackages.FractalArt | |
aarch64-linux python312Packages.evohome-async | |
aarch64-linux python311Packages.pyusb | |
x86_64-darwin theLoungePlugins.themes.common | |
aarch64-darwin haskellPackages.ghc-syntax-highlighter | |
x86_64-darwin haskellPackages.infer-license | |
aarch64-darwin emacsPackages.codespaces | |
x86_64-darwin python311Packages.sasmodels | |
x86_64-darwin python312Packages.pyuca | |
x86_64-linux sioclient | |
aarch64-darwin juicefs | |
aarch64-darwin python311Packages.hetzner | |
x86_64-linux raven-reader | |
x86_64-linux linuxKernel.packages.linux_4_19.vhba | |
aarch64-darwin python312Packages.picosvg | |
x86_64-darwin vimPlugins.coc-fzf | |
x86_64-darwin python312Packages.types-aiobotocore-ivschat | |
aarch64-darwin perl538Packages.EmailMIME | |
x86_64-darwin cardpeek | |
x86_64-darwin haskellPackages.haskeline-repl | |
x86_64-linux idrisPackages.canvas | |
aarch64-darwin rubyPackages_3_2.pcaprub | |
x86_64-linux emacsPackages.racer | |
x86_64-linux home-assistant-component-tests.androidtv_remote | |
aarch64-darwin python311Packages.aws-secretsmanager-caching | |
aarch64-linux klog | |
aarch64-darwin python311Packages.jinja2 | |
aarch64-linux python311Packages.apprise | |
aarch64-linux python311Packages.pyspiflash | |
x86_64-linux nix-build-uncached | |
x86_64-darwin vimPlugins.vim-hardtime | |
x86_64-darwin perl536Packages.IPCSignal | |
aarch64-darwin python312Packages.html2text | |
x86_64-linux linuxKernel.packages.linux_6_1.ryzen-smu | |
x86_64-linux python311Packages.polyswarm-api | |
aarch64-linux haskellPackages.vector | |
x86_64-linux python312Packages.mortgage | |
aarch64-darwin haskellPackages.servant-serf | |
aarch64-darwin emacsPackages.wcheck-mode | |
x86_64-linux haskellPackages.extensible-exceptions | |
aarch64-linux psi | |
aarch64-linux python312Packages.pysigma | |
aarch64-darwin rubyPackages_3_1.builder | |
aarch64-darwin gargoyle | |
aarch64-linux aiac | |
x86_64-linux emacsPackages.editorconfig-generate | |
aarch64-darwin python311Packages.pycognito | |
aarch64-linux emacsPackages.ocamlformat | |
x86_64-linux python312Packages.pgpdump | |
aarch64-darwin trafficserver | |
aarch64-linux postgresql15JitPackages.pgrouting | |
aarch64-linux emacsPackages.runner | |
aarch64-linux emacsPackages.su | |
x86_64-linux dotool | |
x86_64-linux python312Packages.uonet-request-signer-hebe | |
x86_64-linux python311Packages.command-runner | |
x86_64-linux haskellPackages.EdisonAPI | |
x86_64-linux linuxKernel.kernels.linux_6_6 | |
x86_64-linux emacsPackages.timerfunctions | |
aarch64-darwin python312Packages.html5tagger | |
x86_64-darwin emacsPackages.datetime-format | |
x86_64-darwin rubyPackages_3_3.ruby-terminfo | |
x86_64-darwin python312Packages.pycurl | |
aarch64-linux linuxKernel.packages.linux_latest_libre.nvidia_x11_production | |
aarch64-linux linuxPackages-libre.nvidia_x11_beta_open | |
aarch64-linux emacsPackages.unicode-emoticons | |
aarch64-darwin python312Packages.sphinxcontrib-katex | |
x86_64-darwin python312Packages.ufonormalizer | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.r8125 | |
x86_64-darwin emacsPackages.elnode | |
x86_64-linux haskellPackages.regex-compat-tdfa | |
aarch64-darwin perl536Packages.LinguaStemFr | |
x86_64-darwin python311Packages.iocapture | |
aarch64-linux plasma5Packages.qtsensors | |
x86_64-linux emacsPackages.parsec | |
aarch64-darwin perl536Packages.IOPrompt | |
aarch64-darwin xorg.xfs | |
x86_64-linux snis | |
aarch64-linux luajitPackages.teal-language-server | |
x86_64-linux emacsPackages.textile-mode | |
aarch64-darwin perl536Packages.MixinLinewise | |
x86_64-linux python312Packages.duct-py | |
aarch64-linux haskellPackages.happy | |
x86_64-linux emacsPackages.rinari | |
x86_64-darwin unionfs-fuse | |
x86_64-linux libsForQt5.koko | |
x86_64-linux haskellPackages.observable-sharing | |
x86_64-darwin python311Packages.owslib | |
x86_64-darwin chickenPackages_5.chickenEggs.r7rs-tools | |
x86_64-linux python311Packages.pynndescent | |
x86_64-linux linuxPackages_5_15_hardened.r8168 | |
x86_64-darwin haskellPackages.timeless | |
x86_64-darwin python311Packages.enamlx | |
aarch64-darwin haskellPackages.monad-time-effectful | |
aarch64-linux emacsPackages.shell-command-x | |
aarch64-linux haskellPackages.glue-example | |
x86_64-linux linuxKernel.packages.linux_5_15.rtl8188eus-aircrack | |
aarch64-darwin argp-standalone | |
aarch64-darwin haskellPackages.generic-functor | |
x86_64-darwin pferd | |
aarch64-darwin hunspellDicts.de-de | |
x86_64-linux emacsPackages.signal | |
aarch64-darwin dapr-cli | |
x86_64-darwin docopts | |
aarch64-linux leaf | |
aarch64-linux python311Packages.okta | |
x86_64-linux python311Packages.onnxconverter-common | |
x86_64-linux python312Packages.insegel | |
x86_64-darwin facter | |
x86_64-darwin rustypaste | |
aarch64-linux emacsPackages.advice-patch | |
aarch64-darwin python311Packages.jsonlines | |
aarch64-darwin python312Packages.mailchimp | |
aarch64-darwin SDL_mixer | |
aarch64-linux vimPlugins.octo-nvim | |
aarch64-linux python311Packages.pdoc3 | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.fidl | |
x86_64-linux joshuto | |
x86_64-darwin python311Packages.proton-vpn-session | |
aarch64-darwin python312Packages.qcs-api-client | |
x86_64-linux llvmPackages_12.libcxxStdenv | |
x86_64-darwin font-config-info | |
x86_64-darwin haskellPackages.wai-middleware-static-embedded | |
aarch64-darwin python312Packages.namedlist | |
x86_64-linux plasma5Packages.oxygen-icons | |
aarch64-darwin emacsPackages.rect+ | |
x86_64-linux haskellPackages.conformance-gen | |
aarch64-linux emacsPackages.http-twiddle | |
aarch64-linux rubyPackages.opus-ruby | |
x86_64-linux python311Packages.cairosvg | |
x86_64-darwin supercronic | |
aarch64-darwin rdedup | |
x86_64-darwin python311Packages.flask-limiter | |
x86_64-linux haskellPackages.hedgehog | |
aarch64-darwin python311Packages.ots-python | |
x86_64-linux linuxKernel.packages.linux_5_4.zenpower | |
x86_64-linux python311Packages.hurry-filesize | |
aarch64-darwin python311Packages.single-version | |
aarch64-darwin python312Packages.pylacus | |
x86_64-linux libva | |
x86_64-darwin emacsPackages.mocha-snippets | |
x86_64-darwin python311Packages.compressai | |
x86_64-linux tests.hardeningFlags-clang.allExplicitDisabledRelRO | |
aarch64-linux luaPackages.compat53 | |
aarch64-linux humanity-icon-theme | |
aarch64-linux haskellPackages.midair | |
aarch64-darwin python312Packages.zc-lockfile | |
x86_64-darwin python311Packages.svgutils | |
aarch64-darwin emacsPackages.pc-bufsw | |
x86_64-darwin rubyPackages_3_1.xctasks | |
x86_64-darwin python311Packages.cherrypy-cors | |
aarch64-linux haskellPackages.genvalidity-sydtest-hashable | |
aarch64-linux haskellPackages.archive-sig | |
x86_64-linux emacsPackages.helm-backup | |
x86_64-linux python311Packages.linear-operator | |
aarch64-linux linuxKernel.packages.linux_latest_libre.zfs_unstable | |
x86_64-linux emacsPackages.pcmpl-pip | |
x86_64-darwin perl536Packages.HookLexWrap | |
aarch64-darwin emacsPackages.flycheck-haskell | |
x86_64-darwin plasma5Packages.qtsensors | |
aarch64-darwin python311Packages.capstone_4 | |
aarch64-darwin python312Packages.preshed | |
aarch64-darwin python311Packages.telegram-text | |
x86_64-linux gcp-scanner | |
x86_64-darwin python312Packages.cheroot | |
x86_64-darwin python312Packages.urwidgets | |
x86_64-darwin python312Packages.execnet | |
x86_64-linux haskellPackages.statsd-datadog | |
x86_64-linux libinsane | |
x86_64-darwin rubyPackages.rake | |
aarch64-linux python311Packages.neo | |
aarch64-darwin vimPlugins.arcanist-vim | |
x86_64-darwin python312Packages.debtcollector | |
x86_64-darwin haskellPackages.ansi-escape-codes | |
x86_64-linux home-assistant-component-tests.fritzbox | |
aarch64-linux libsForQt5.qtcurve | |
aarch64-darwin perl536Packages.ProcPIDFile | |
x86_64-linux sbclPackages.iolib | |
x86_64-linux yambar | |
aarch64-linux emacsPackages.kaesar | |
aarch64-linux mozart2 | |
aarch64-linux python311Packages.django-sesame | |
x86_64-linux vikunja | |
aarch64-darwin emacsPackages.faff-theme | |
aarch64-darwin python311Packages.lightwave2 | |
aarch64-darwin postgresqlPackages.pgjwt | |
x86_64-linux rofi-menugen | |
aarch64-darwin haskellPackages.with-index | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.odin | |
x86_64-darwin python311Packages.retry | |
aarch64-linux buildbot | |
aarch64-linux python312Packages.awacs | |
x86_64-linux deskew | |
aarch64-linux hysteria | |
x86_64-linux python311Packages.hatchling | |
aarch64-darwin python311Packages.sshfs | |
aarch64-linux linuxPackages_xanmod_stable.nvidia_x11_beta_open | |
aarch64-darwin zsh-autosuggestions | |
x86_64-darwin routinator | |
aarch64-darwin maphosts | |
x86_64-linux python312Packages.linear-garage-door | |
aarch64-darwin perl536Packages.TieFile | |
x86_64-linux linuxPackages_5_4_hardened.mwprocapture | |
aarch64-linux python311Packages.consonance | |
aarch64-linux emacsPackages.jest-test-mode | |
aarch64-linux emacsPackages.kaesar-file | |
x86_64-darwin haskellPackages.lz4-bytes | |
x86_64-darwin python311Packages.esphome-dashboard-api | |
x86_64-linux libdbiDrivers | |
aarch64-linux nixpacks | |
aarch64-darwin python312Packages.python-redis-lock | |
x86_64-linux rippled | |
aarch64-linux haskellPackages.digest | |
x86_64-darwin emacsPackages.ox-pukiwiki | |
x86_64-linux emacsPackages.hemera-theme | |
aarch64-linux lua51Packages.lpeglabel | |
aarch64-linux python311Packages.pykwalify | |
x86_64-linux openttd | |
x86_64-darwin lxqt.qtermwidget | |
x86_64-darwin haskellPackages.adaptive-cubature | |
x86_64-linux python311Packages.antlr4-python3-runtime | |
aarch64-darwin emacsPackages.fsbot-data-browser | |
aarch64-linux haskellPackages.buffer-pipe | |
x86_64-darwin python312Packages.mypy-boto3-codeguru-reviewer | |
x86_64-darwin haskellPackages.ordering-duplicates | |
x86_64-linux linuxPackages.mxu11x0 | |
x86_64-linux emacsPackages.vtm | |
x86_64-darwin perl536Packages.CloneChoose | |
aarch64-darwin python311Packages.aioshutil | |
x86_64-darwin gerrit | |
x86_64-darwin python312Packages.pypytools | |
x86_64-linux teip | |
aarch64-darwin mitm6 | |
x86_64-linux vscode-extensions.kamadorueda.alejandra | |
x86_64-linux git-standup | |
aarch64-darwin perl538Packages.DataTaxi | |
aarch64-darwin python312Packages.pvextractor | |
x86_64-linux emacsPackages.scratch-message | |
aarch64-darwin emacsPackages.package-utils | |
x86_64-darwin nixVersions.nix_2_19 | |
aarch64-darwin python311Packages.expiring-dict | |
x86_64-linux pantheon.elementary-dock | |
x86_64-linux home-assistant-component-tests.geonetnz_quakes | |
aarch64-linux plasma5Packages.qt5.qtpim | |
aarch64-linux linuxKernel.packages.linux_latest_libre.system76-scheduler | |
aarch64-darwin haskellPackages.amazonka-apigatewayv2 | |
aarch64-linux python311Packages.pybalboa | |
x86_64-darwin mqttui | |
x86_64-darwin haskellPackages.eveff | |
x86_64-darwin haskellPackages.LTree | |
x86_64-linux emacsPackages.darkroom | |
x86_64-linux deepin.dde-network-core | |
x86_64-darwin python311Packages.adb-enhanced | |
x86_64-darwin vimPluginsUpdater | |
aarch64-darwin python312Packages.push-receiver | |
x86_64-darwin butler | |
aarch64-darwin emacsPackages.ssh-config-mode | |
x86_64-linux rocmPackages.rocmlir | |
x86_64-darwin darwin.libresolvHeaders | |
aarch64-linux python312Packages.pyweatherflowudp | |
x86_64-darwin perl538Packages.TieSub | |
aarch64-darwin nixops_unstablePlugins.nixops-virtd | |
x86_64-linux sbclPackages.mathkit | |
x86_64-darwin homebank | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.ipu6-drivers | |
x86_64-darwin python311Packages.sphinx-testing | |
x86_64-darwin emacsPackages.related | |
aarch64-linux emacsPackages.ouroboros | |
aarch64-linux perl536Packages.Alienpatch | |
x86_64-linux haskellPackages.matrix | |
aarch64-darwin haskellPackages.hetzner | |
aarch64-darwin emacsPackages.acm | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.usbip | |
x86_64-linux linuxKernel.packages.linux_lqx.zenpower | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.Qt5QuickTest | |
aarch64-darwin chickenPackages_5.chickenEggs.yasos | |
aarch64-linux plasma5Packages.kauth | |
x86_64-linux emacsPackages.color-theme-sanityinc-tomorrow | |
x86_64-linux postgresql14Packages.lantern | |
x86_64-darwin python311Packages.gym-notices | |
x86_64-darwin python312Packages.manifestoo-core | |
aarch64-linux haskellPackages.hw-string-parse | |
x86_64-darwin python311Packages.gptcache | |
aarch64-darwin python311Packages.intervaltree | |
x86_64-darwin speexdsp | |
aarch64-darwin tremc | |
aarch64-linux libsForQt5.kquickcharts | |
aarch64-linux cudaPackages.libnvidia_nscq | |
x86_64-darwin theLoungePlugins.themes.flat-blue | |
aarch64-darwin haskellPackages.ocap-io | |
aarch64-darwin perl536Packages.IOSocketSSL | |
aarch64-linux azure-cli-extensions.azurelargeinstance | |
aarch64-linux virt-manager-qt | |
x86_64-linux libsForQt5.ksanecore | |
x86_64-linux haskellPackages.fixpoint | |
aarch64-linux home-assistant-component-tests.modern_forms | |
x86_64-darwin azure-cli-extensions.akshybrid | |
x86_64-darwin vimPlugins.vim-smalls | |
aarch64-linux pylint-exit | |
aarch64-linux perl536Packages.TestPostgreSQL | |
x86_64-darwin plasma5Packages.qtscxml | |
aarch64-darwin vimPlugins.vim-erlang-runtime | |
aarch64-darwin xmonad-log | |
aarch64-darwin emacsPackages.ido-exit-target | |
x86_64-linux haskellPackages.here | |
x86_64-darwin lomiri.geonames | |
aarch64-linux jellyfin-web | |
aarch64-linux haskellPackages.hspec-expectations | |
x86_64-darwin python312Packages.slovnet | |
x86_64-linux python311Packages.facenet-pytorch | |
x86_64-darwin haskellPackages.aern2-real | |
x86_64-linux haskellPackages.mattermost-api | |
aarch64-darwin perl538Packages.TestPerlTidy | |
aarch64-darwin python312Packages.devolo-plc-api | |
aarch64-darwin python311Packages.shippai | |
x86_64-darwin python311Packages.mypy-boto3-elasticache | |
aarch64-linux zola | |
aarch64-linux libtorrent-rasterbar | |
aarch64-linux python312Packages.aiolifx-connection | |
aarch64-darwin python312Packages.schema | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.mba6x_bl | |
aarch64-linux python312Packages.sphinx-issues | |
x86_64-darwin python311Packages.maison | |
x86_64-darwin lld_17 | |
aarch64-linux emacsPackages.erc | |
x86_64-linux python311Packages.alexapy | |
aarch64-linux emacsPackages.flymake-rakudo | |
aarch64-darwin emacsPackages.eww-lnum | |
x86_64-linux haskellPackages.hedgehog-extras | |
aarch64-linux linuxKernel.packages.linux_5_4.ax99100 | |
x86_64-darwin passExtensions.pass-file | |
x86_64-darwin python311Packages.python-secp256k1-cardano | |
x86_64-linux vimPlugins.vim-hybrid-material | |
x86_64-darwin python311Packages.scmrepo | |
x86_64-darwin file-rename | |
x86_64-linux linuxKernel.packages.linux_libre.hyperv-daemons | |
x86_64-darwin graylogPlugins.internal-logs | |
x86_64-darwin luajitPackages.luasec | |
aarch64-linux llvmPackages_13.clangUseLLVM | |
aarch64-linux python311Packages.pcapy-ng | |
aarch64-linux libhv | |
x86_64-linux libsForQt5.kzones | |
x86_64-linux emacsPackages.org-dp | |
aarch64-linux python312Packages.velbus-aio | |
x86_64-darwin python311Packages.pygsl | |
x86_64-linux aranym | |
x86_64-darwin haskellPackages.async-extra | |
aarch64-darwin python311Packages.pypillowfight | |
x86_64-linux python311Packages.types-aiobotocore-s3control | |
aarch64-darwin emacsPackages.seoul256-theme | |
x86_64-linux linuxPackages_5_4_hardened.zfs_2_2 | |
aarch64-darwin python312Packages.flake8-length | |
x86_64-linux vimPlugins.alpha-nvim | |
aarch64-darwin perl538Packages.NetLDAPSID | |
x86_64-linux gssdp-tools | |
x86_64-linux libsForQt5.knetwalk | |
x86_64-darwin haskellPackages.dyna-gloss | |
aarch64-darwin emacsPackages.talonscript-mode | |
aarch64-linux pivx | |
aarch64-darwin haskellPackages.amazonka-migration-hub-refactor-spaces | |
aarch64-linux plasma5Packages.ksmtp | |
aarch64-linux badrobot | |
x86_64-linux md-tangle | |
x86_64-darwin haskellPackages.ghc-make | |
aarch64-darwin python311Packages.dronecan | |
aarch64-linux python312Packages.types-html5lib | |
aarch64-linux cargo-all-features | |
aarch64-darwin gmime3 | |
x86_64-darwin python311Packages.types-aiobotocore-qldb-session | |
aarch64-darwin haskellPackages.co-log | |
x86_64-darwin python311Packages.mypy-boto3-synthetics | |
x86_64-linux emacsPackages.dynamic-graphs | |
aarch64-linux emacsPackages.sv-kalender-namnsdagar | |
aarch64-linux python312Packages.pygmars | |
aarch64-darwin cantoolz | |
x86_64-linux haskellPackages.gi-cairo-render | |
x86_64-linux vimPlugins.lualine-nvim | |
x86_64-darwin perl538Packages.DateTimeSet | |
aarch64-darwin haskellPackages.symmetric-properties | |
x86_64-linux vimPlugins.cmp-pandoc-references | |
aarch64-linux flintlock | |
x86_64-darwin python311Packages.llama-index-indices-managed-llama-cloud | |
aarch64-linux gimoji | |
aarch64-linux python312Packages.pystardict | |
aarch64-linux xgboostWithCuda | |
x86_64-darwin python311Packages.aiotankerkoenig | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.dpdk-kmods | |
aarch64-darwin _0x | |
aarch64-darwin perl536Packages.DateTimeEventRecurrence | |
x86_64-darwin python311Packages.ec2instanceconnectcli | |
aarch64-linux python311Packages.swagger-ui-bundle | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.netatop | |
aarch64-darwin skawarePackages.s6 | |
aarch64-linux haskellPackages.yesod-form-bulma | |
x86_64-linux emacsPackages.mobdebug-mode | |
x86_64-darwin rubyPackages_3_1.i18n | |
aarch64-darwin noto-fonts-lgc-plus | |
aarch64-linux python312Packages.pysqlitecipher | |
x86_64-darwin vimPlugins.zeavim-vim | |
aarch64-darwin python311Packages.mypy-boto3-license-manager-user-subscriptions | |
aarch64-linux rubyPackages_3_1.github-pages-health-check | |
aarch64-linux python312Packages.pytest-socket | |
x86_64-linux barrage | |
aarch64-darwin rustfmt | |
x86_64-linux python312Packages.python-mnist | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.systemtap | |
aarch64-darwin python311Packages.jishaku | |
x86_64-darwin xygrib | |
aarch64-darwin perl536Packages.CatalystPluginSessionStateCookie | |
aarch64-linux haskellPackages.roles | |
aarch64-darwin python312Packages.types-aiobotocore-waf | |
x86_64-linux magnetophonDSP.faustCompressors | |
aarch64-linux rubyPackages_3_2.sass-listen | |
x86_64-linux pace | |
x86_64-linux haskellPackages.hcwiid | |
aarch64-darwin python311Packages.safe-pysha3 | |
x86_64-darwin haskellPackages.weak | |
x86_64-linux emacsPackages.ivy | |
aarch64-linux inadyn | |
x86_64-linux python312Packages.ajpy | |
aarch64-darwin python312Packages.pyaudio | |
x86_64-linux haskellPackages.ad | |
x86_64-darwin haskellPackages.haskell-proxy-list | |
aarch64-linux python312Packages.flow-record | |
aarch64-linux haskellPackages.download | |
x86_64-linux gnomeExtensions.grand-theft-focus | |
x86_64-darwin emacsPackages.picpocket | |
x86_64-darwin gotop | |
x86_64-linux idrisPackages.comonad | |
aarch64-linux linuxPackages_xanmod_stable.v4l2loopback | |
aarch64-darwin perl536Packages.BusinessISBN | |
aarch64-linux haskellPackages.PropLogic | |
x86_64-linux python311Packages.py-dormakaba-dkey | |
x86_64-darwin python311Packages.mechanicalsoup | |
x86_64-linux python312Packages.opentelemetry-exporter-prometheus | |
aarch64-darwin python311Packages.argon2-cffi-bindings | |
x86_64-darwin python311Packages.libgpuarray | |
aarch64-linux python312Packages.stem | |
aarch64-darwin s3fs | |
x86_64-darwin dua | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.librtlsdr | |
aarch64-darwin python311Packages.yoda | |
aarch64-darwin haskellPackages.juicy-gcode | |
x86_64-linux psql2csv | |
aarch64-darwin emacsPackages.keyfreq | |
aarch64-linux dra-cla | |
aarch64-darwin cmctl | |
x86_64-darwin haskellPackages.include-env | |
aarch64-linux kubent | |
x86_64-darwin roon-tui | |
x86_64-linux postgresqlJitPackages.pg_squeeze | |
aarch64-darwin emacsPackages.isortify | |
x86_64-darwin perl536Packages.TestRunValgrind | |
aarch64-darwin vimPlugins.vim-gutentags | |
aarch64-linux pokerth | |
x86_64-linux emacsPackages.mustard-theme | |
aarch64-darwin python312Packages.log-symbols | |
aarch64-linux haskellPackages.abstract-deque | |
x86_64-linux emacsPackages.number-lock | |
aarch64-linux emacsPackages.tree-sitter | |
aarch64-linux go-minimock | |
aarch64-darwin python312Packages.dogtag-pki | |
x86_64-darwin emacsPackages.ein | |
aarch64-darwin sass | |
aarch64-darwin emacsPackages.fill-page | |
aarch64-darwin emacsPackages.ob-svgbob | |
x86_64-darwin haskellPackages.generic-lexicographic-order | |
x86_64-linux python311Packages.pyhanko-certvalidator | |
x86_64-linux parsero | |
aarch64-linux python312Packages.jsonrpc-base | |
aarch64-darwin perl538Packages.EmailValidLoose | |
x86_64-linux perl536Packages.ImageMagick | |
x86_64-linux zabbixctl | |
x86_64-linux openvdb | |
aarch64-linux amber-theme | |
aarch64-linux perl538Packages.GooCanvas2 | |
x86_64-linux linuxPackages_5_15_hardened.v86d | |
x86_64-darwin haskellPackages.dbus-app-launcher | |
x86_64-darwin python312Packages.playsound | |
x86_64-linux vimPlugins.plantuml-previewer-vim | |
aarch64-linux ayatana-ido | |
aarch64-linux ea | |
x86_64-linux firefox_decrypt | |
x86_64-linux linuxPackages_6_1_hardened.xone | |
aarch64-darwin haskellPackages.polysoup | |
aarch64-linux python312Packages.aiosmb | |
aarch64-darwin rubyPackages_3_1.og-corefoundation | |
x86_64-linux python312Packages.pysigma-pipeline-windows | |
aarch64-darwin perl536Packages.Perl6Junction | |
aarch64-linux postgresql16Packages.pg_bigm | |
x86_64-darwin haskellPackages.keys | |
x86_64-darwin rubyPackages_3_1.rb-readline | |
aarch64-darwin python312Packages.gotenberg-client | |
x86_64-darwin perl538Packages.ProcWaitStat | |
x86_64-darwin postgresqlJitPackages.cstore_fdw | |
aarch64-darwin encfs | |
x86_64-linux vimPlugins.gen_tags-vim | |
aarch64-darwin haskellPackages.nonempty-wrapper-quickcheck | |
aarch64-linux z3-tptp | |
x86_64-linux clairvoyant | |
aarch64-darwin python311Packages.opentelemetry-api | |
x86_64-linux python311Packages.sphinx-togglebutton | |
x86_64-darwin postgresql14JitPackages.pg_bigm | |
x86_64-linux haskellPackages.compact | |
aarch64-darwin angle-grinder | |
x86_64-darwin python312Packages.fortiosapi | |
aarch64-darwin emacsPackages.desktop-environment | |
aarch64-linux hdfview | |
x86_64-darwin python312Packages.mpldatacursor | |
aarch64-darwin python311Packages.snapshottest | |
aarch64-darwin emacsPackages.fastdef | |
x86_64-darwin perl536Packages.MathConvexHullMonotoneChain | |
aarch64-linux postgresqlPackages.pg_repack | |
aarch64-linux haskellPackages.tree-sitter-tsx | |
x86_64-linux nethack | |
aarch64-darwin taskwarrior-tui | |
x86_64-darwin vimPlugins.dropbar-nvim | |
aarch64-darwin libsForQt5.kjsembed | |
aarch64-linux python311Packages.units | |
x86_64-darwin protox | |
aarch64-darwin python312Packages.types-aiobotocore-fis | |
aarch64-linux python311Packages.pymysqlsa | |
aarch64-linux emacsPackages.gap-mode | |
x86_64-linux emacsPackages.goto-last-point | |
x86_64-darwin python312Packages.aioelectricitymaps | |
x86_64-darwin haskellPackages.strings | |
x86_64-darwin appdaemon | |
aarch64-darwin git-fame | |
aarch64-linux haskellPackages.data-lens-light | |
aarch64-darwin emacsPackages.hemisu-theme | |
aarch64-darwin python311Packages.channels-redis | |
aarch64-linux haskellPackages.ema | |
aarch64-darwin rubyPackages_3_3.minitest | |
x86_64-darwin lua54Packages.say | |
x86_64-darwin python311Packages.unicrypto | |
x86_64-darwin python312Packages.mypy-boto3-vpc-lattice | |
x86_64-darwin python312Packages.xiaomi-ble | |
aarch64-linux haskellPackages.http-mock | |
x86_64-darwin python312Packages.consul | |
x86_64-darwin python312Packages.lsassy | |
x86_64-darwin emacsPackages.buffer-name-relative | |
aarch64-linux python311Packages.pymemcache | |
x86_64-linux haskellPackages.lockfree-queue | |
aarch64-darwin python311Packages.mock-ssh-server | |
x86_64-darwin rubyPackages_3_2.hitimes | |
x86_64-linux python311Packages.genpy | |
x86_64-darwin emacsPackages.codeium | |
aarch64-darwin agebox | |
x86_64-linux python311Packages.pamqp | |
aarch64-darwin sing-geoip | |
x86_64-darwin python312Packages.mypy-boto3-route53resolver | |
aarch64-darwin fileinfo | |
aarch64-darwin nix-bash-completions | |
aarch64-linux openscenegraph | |
x86_64-darwin perl538Packages.TestArchiveLibarchive | |
aarch64-darwin libcork | |
x86_64-darwin emacsPackages.calfw-howm | |
aarch64-linux theLoungePlugins.themes.hexified | |
x86_64-linux python312Packages.downloader-cli | |
aarch64-darwin one-click-backup | |
x86_64-linux emacsPackages.luarocks | |
x86_64-darwin vimPlugins.thesaurus_query-vim | |
x86_64-darwin python312Packages.mypy-boto3-iotsecuretunneling | |
x86_64-linux signaturepdf | |
x86_64-darwin rabbit | |
aarch64-linux linuxPackages_5_10_hardened.evdi | |
aarch64-darwin paging-calculator | |
aarch64-linux python311Packages.recipe-scrapers | |
aarch64-darwin disfetch | |
aarch64-linux litecoin | |
aarch64-linux haskellPackages.music-dynamics-literal | |
aarch64-darwin python311Packages.langchain-community | |
x86_64-linux python312Packages.yappi | |
aarch64-darwin haskellPackages.defun-core | |
x86_64-darwin emacsPackages.powerline | |
aarch64-linux python311Packages.papermill | |
aarch64-darwin python311Packages.rns | |
x86_64-linux haskellPackages.amazonka-accessanalyzer | |
x86_64-linux python311Packages.dvc-studio-client | |
x86_64-linux libsForQt5.knights | |
x86_64-darwin python312Packages.vdirsyncer | |
aarch64-darwin perl536Packages.DBIxClassSchemaLoader | |
x86_64-linux emacsPackages.jst | |
aarch64-darwin jwm | |
x86_64-linux rubyPackages_3_2.pg | |
x86_64-linux hishtory | |
aarch64-linux openscad-lsp | |
aarch64-darwin python311Packages.indexed-bzip2 | |
x86_64-darwin chickenPackages_5.chickenEggs.directory-utils | |
x86_64-linux python311Packages.prompthub-py | |
aarch64-linux dump_syms | |
aarch64-linux lua52Packages.luadbi-mysql | |
aarch64-darwin perl538Packages.DBDPg | |
aarch64-linux pulumiPackages.pulumi-command | |
aarch64-linux jq-lsp | |
aarch64-linux linuxKernel.packages.linux_5_15.nvidia_x11_beta | |
aarch64-darwin emacsPackages.github-explorer | |
x86_64-linux emacsPackages.badger-theme | |
x86_64-darwin emacsPackages.evil-indent-textobject | |
aarch64-linux emacsPackages.underwater-theme | |
aarch64-linux haskellPackages.system-time-monotonic | |
aarch64-darwin qqwing | |
aarch64-darwin haskellPackages.data-binary-ieee754 | |
aarch64-linux python312Packages.enaml | |
aarch64-linux python311Packages.pytest-localserver | |
x86_64-linux python312Packages.zxing-cpp | |
x86_64-darwin dex-oidc | |
x86_64-darwin python312Packages.numba-scipy | |
x86_64-darwin llvmPackages_13.clangNoLibc | |
x86_64-linux bunbun | |
aarch64-darwin lua54Packages.lua-cjson | |
aarch64-linux haskellPackages.matrix-static | |
aarch64-linux python311Packages.cftime | |
aarch64-darwin python311Packages.pandas-stubs | |
aarch64-darwin haskellPackages.rerebase | |
x86_64-linux python311Packages.types-aiobotocore-sdb | |
x86_64-linux enlightenment.econnman | |
x86_64-linux linuxPackages_5_4_hardened.virtio_vmmci | |
x86_64-linux python311Packages.django-phonenumber-field | |
aarch64-darwin perl536Packages.PodCoverageTrustPod | |
x86_64-linux gnomeExtensions.wayland-or-x11 | |
x86_64-darwin python312Packages.mypy-boto3-cloudtrail | |
x86_64-linux python311Packages.unifi-discovery | |
x86_64-darwin uwc | |
aarch64-linux llvmPackages.libunwind | |
x86_64-darwin python311Packages.google-cloud-redis | |
x86_64-linux telegram-bot-api | |
aarch64-darwin emacsPackages.flex-compile | |
x86_64-darwin python312Packages.polarizationsolver | |
x86_64-linux poppler_min | |
x86_64-darwin python312Packages.latexify-py | |
aarch64-darwin mpvScripts.simple-mpv-webui | |
x86_64-linux python312Packages.pyproject-metadata | |
aarch64-darwin haskellPackages.line-size | |
x86_64-linux python311Packages.django-paintstore | |
aarch64-linux python312Packages.meld3 | |
x86_64-linux linuxKernel.packages.linux_hardened.zfs_2_1 | |
x86_64-linux emacsPackages.flutter | |
x86_64-darwin haskellPackages.brick-list-skip | |
aarch64-linux emacsPackages.declutter | |
aarch64-linux git-remote-codecommit | |
aarch64-darwin python312Packages.pydevd | |
aarch64-linux libical | |
x86_64-darwin emacsPackages.engine-mode | |
x86_64-darwin emacsPackages.helm-ghq | |
aarch64-linux python312Packages.humblewx | |
aarch64-darwin python312Packages.tesla-powerwall | |
aarch64-darwin rubyPackages_3_2.unicode-display_width | |
x86_64-linux emacsPackages.popup-switcher | |
aarch64-linux notify-osd | |
x86_64-darwin python311Packages.moonraker-api | |
aarch64-linux python311Packages.python-izone | |
x86_64-linux emacsPackages.maruo-macro-mode | |
x86_64-linux asm-lsp | |
aarch64-linux python312Packages.lingva | |
aarch64-linux python311Packages.dataprep-ml | |
x86_64-linux yelp-tools | |
aarch64-darwin emacsPackages.helm-safari | |
aarch64-darwin vorbis-tools | |
x86_64-linux lua53Packages.pathlib-nvim | |
x86_64-linux haskellPackages.science-constants | |
x86_64-darwin haskellPackages.tight-apply | |
x86_64-linux python312Packages.mpd2 | |
x86_64-linux kdePackages.phonon-vlc | |
x86_64-linux python312Packages.dissect-regf | |
aarch64-darwin python311Packages.python-ev3dev2 | |
x86_64-linux libmbim | |
aarch64-darwin python311Packages.numdifftools | |
x86_64-darwin xorg.xorgproto | |
aarch64-linux iruby | |
x86_64-linux python312Packages.sphinxcontrib-htmlhelp | |
x86_64-linux python311Packages.socketio-client | |
x86_64-darwin haskellPackages.wreq-stringless | |
x86_64-darwin python311Packages.sphinx-external-toc | |
aarch64-darwin python312Packages.joserfc | |
x86_64-darwin python312Packages.stups-zign | |
x86_64-linux mediaelch-qt5 | |
aarch64-linux emacsPackages.direx-grep | |
x86_64-linux kinect-audio-setup | |
x86_64-darwin haskellPackages.sdl2-cairo | |
aarch64-linux coqPackages.gaia | |
x86_64-linux pypy3 | |
x86_64-darwin vimPlugins.rose-pine | |
x86_64-darwin haskellPackages.sounddelay | |
aarch64-linux vimPlugins.lightline-gruvbox-vim | |
aarch64-darwin postgresql14Packages.pg_libversion | |
aarch64-linux easyjson | |
aarch64-darwin timetrap | |
x86_64-darwin python312Packages.govee-ble | |
x86_64-darwin postgresql13Packages.timescaledb_toolkit | |
aarch64-darwin oneDNN_2 | |
x86_64-darwin python312Packages.nbdev | |
aarch64-linux deepin.deepin-movie-reborn | |
x86_64-linux plasma5Packages.yuview | |
x86_64-linux gnomeExtensions.rectangle | |
aarch64-linux gcl | |
aarch64-linux vimPlugins.telescope-project-nvim | |
aarch64-darwin emacsPackages.x-path-walker | |
aarch64-linux haskellPackages.hzaif | |
aarch64-darwin ndn-cxx | |
x86_64-darwin rnote | |
aarch64-linux python312Packages.jsmin | |
aarch64-linux luajitPackages.lua-iconv | |
aarch64-darwin snappy | |
x86_64-linux vimPlugins.vim-deus | |
aarch64-linux rocmPackages.llvm.libclc | |
x86_64-linux haskellPackages.bytezap | |
aarch64-linux python312Packages.gdata | |
aarch64-darwin malcontent | |
x86_64-darwin perl536Packages.NetCoverArtArchive | |
x86_64-darwin libretro.play | |
aarch64-linux rubyPackages_3_2.ruby_parser | |
x86_64-linux emacsPackages.session-management-for-emacs | |
x86_64-linux arrow-glib | |
aarch64-darwin python311Packages.parsedmarc | |
x86_64-linux luaPackages.lush-nvim | |
aarch64-darwin python311Packages.yargy | |
aarch64-darwin eureka-ideas | |
x86_64-linux python311Packages.pynetbox | |
aarch64-darwin chickenPackages_5.chickenEggs.spiffy | |
x86_64-darwin python312Packages.owslib | |
aarch64-darwin matrix-synapse-plugins.matrix-synapse-shared-secret-auth | |
x86_64-linux libcef | |
aarch64-linux integresql | |
aarch64-linux python311Packages.llama-index-readers-weather | |
x86_64-darwin python311Packages.mlflow | |
x86_64-linux linuxKernel.packages.linux_lqx.nvidia_x11_beta | |
x86_64-linux troubadix | |
aarch64-linux python312Packages.python-telegram | |
x86_64-darwin python311Packages.sphinxcontrib-qthelp | |
x86_64-linux python311Packages.graphqlclient | |
x86_64-linux python312Packages.types-aiobotocore-kinesisvideo | |
x86_64-linux bibata-cursors | |
x86_64-linux nixVersions.nix_2_10 | |
aarch64-darwin emacsPackages.typo | |
x86_64-linux tint2 | |
x86_64-darwin haskellPackages.htsn-common | |
aarch64-linux python312Packages.pyviz-comms | |
aarch64-linux vimPlugins.ssr-nvim | |
aarch64-linux haskellPackages.flatparse | |
x86_64-darwin gopls | |
x86_64-linux libplacebo_5 | |
x86_64-darwin vimPlugins.vim-table-mode | |
x86_64-darwin perl536Packages.VMEC2 | |
x86_64-darwin perl538Packages.AlgorithmMerge | |
aarch64-linux whsniff | |
x86_64-linux python312Packages.codecov | |
aarch64-linux python311Packages.notebook | |
aarch64-linux python312Packages.google-cloud-websecurityscanner | |
x86_64-linux emacsPackages.ox-rfc | |
x86_64-linux linuxKernel.packages.linux_libre.tbs | |
aarch64-darwin vimPlugins.nvim-bufdel | |
aarch64-darwin libgcrypt_1_8 | |
x86_64-darwin python311Packages.ofxclient | |
aarch64-darwin testdisk | |
x86_64-linux php82Packages.deployer | |
aarch64-darwin mars-mips | |
aarch64-linux haskellPackages.prob | |
aarch64-darwin dnsmasq | |
aarch64-darwin haskellPackages.render-utf8 | |
x86_64-linux python312Packages.propka | |
aarch64-darwin python311Packages.types-aiobotocore-elasticache | |
x86_64-linux haskellPackages.inbox | |
x86_64-linux python311Packages.nikola | |
x86_64-linux python311Packages.plexapi | |
aarch64-linux lua54Packages.readline | |
x86_64-linux python312Packages.types-aiobotocore-vpc-lattice | |
x86_64-linux python312Packages.typing-inspect | |
x86_64-linux php82Extensions.session | |
aarch64-linux python311Packages.pysam | |
x86_64-darwin python311Packages.youtube-dl | |
x86_64-darwin python312Packages.json-rpc | |
x86_64-linux automirror | |
x86_64-linux python312Packages.iniconfig | |
x86_64-linux python312Packages.mypy-boto3-timestream-write | |
aarch64-darwin rubyPackages_3_3.simplecov-html | |
x86_64-linux davinci-resolve-studio | |
x86_64-darwin tome2 | |
x86_64-darwin libhomfly | |
x86_64-darwin python311Packages.torrequest | |
x86_64-linux python312Packages.respx | |
aarch64-linux emacsPackages.helm-c-moccur | |
x86_64-darwin rubyPackages_3_1.websocket-driver | |
x86_64-darwin kdoctor | |
x86_64-darwin emacsPackages.org-zettelkasten | |
x86_64-linux home-assistant-component-tests.escea | |
aarch64-linux mpg123 | |
x86_64-linux python311Packages.pynvim | |
aarch64-darwin emacsPackages.srv | |
x86_64-linux emacsPackages.volume | |
x86_64-darwin qt6Packages.qt5compat | |
aarch64-linux bibata-extra-cursors | |
x86_64-darwin llvmPackages.libclang | |
aarch64-linux k3s_1_26 | |
x86_64-darwin emacsPackages.gptel | |
aarch64-linux python312Packages.lsassy | |
aarch64-darwin rain | |
aarch64-darwin python312Packages.pysmartdl | |
aarch64-linux emacsPackages.filetags | |
aarch64-linux terminal-parrot | |
x86_64-darwin haskellPackages.han2zen | |
x86_64-darwin haskellPackages.bank-holiday-usa | |
x86_64-darwin rubyPackages_3_3.cocoapods-wholemodule | |
aarch64-linux loc | |
aarch64-darwin python311Packages.matplotlib-sixel | |
x86_64-linux sublime | |
x86_64-linux python311Packages.hishel | |
aarch64-darwin perl536Packages.ClassISA | |
aarch64-linux python311Packages.rpm | |
x86_64-darwin emacsPackages.jack | |
x86_64-darwin emacsPackages.uwu-theme | |
x86_64-linux python311Packages.bleach | |
x86_64-linux emacsPackages.fuzzy | |
aarch64-darwin perl536Packages.TestSharedFork | |
aarch64-linux python312Packages.aiorun | |
x86_64-linux vimPlugins.DoxygenToolkit-vim | |
aarch64-darwin perl536Packages.ClassClassgenclassgen | |
x86_64-linux linuxKernel.packages.linux_zen.perf | |
aarch64-linux protobuf_26 | |
aarch64-darwin arduino-mk | |
aarch64-darwin python312Packages.infinity | |
aarch64-linux askalono | |
aarch64-linux emacsPackages.map-progress | |
x86_64-darwin php83Packages.phing | |
aarch64-linux libtoxcore | |
x86_64-darwin packet-sd | |
x86_64-linux python312Packages.dlinfo | |
x86_64-darwin haskellPackages.nemesis | |
x86_64-darwin arc_unpacker | |
x86_64-linux python312Packages.anywidget | |
x86_64-linux kdePackages.messagelib | |
aarch64-darwin perl538Packages.ForksSuper | |
aarch64-linux haskellPackages.hpc-threshold | |
aarch64-linux python312Packages.posthog | |
x86_64-linux linuxPackages_5_4_hardened.nct6687d | |
x86_64-linux haskellPackages.hsgtd | |
aarch64-linux haskellPackages.amazonka-healthlake | |
x86_64-linux python311Packages.joblib | |
x86_64-darwin xorg.fontschumachermisc | |
x86_64-darwin python312Packages.num2words | |
aarch64-darwin linkerd_edge | |
aarch64-linux emacsPackages.sumibi | |
aarch64-linux python312Packages.pproxy | |
aarch64-linux mutest | |
x86_64-darwin qt6Packages.qtspeech | |
aarch64-darwin emacsPackages.hyperkitty | |
x86_64-linux home-assistant-component-tests.aussie_broadband | |
aarch64-darwin steam-acf | |
x86_64-linux linuxPackages_6_6_hardened.usbip | |
x86_64-linux grizzly | |
aarch64-darwin python312Packages.pyexploitdb | |
aarch64-linux dnf5 | |
x86_64-darwin haskellPackages.happstack-jmacro | |
aarch64-linux linuxPackages_zen.lkrg | |
aarch64-linux home-assistant-custom-lovelace-modules.multiple-entity-row | |
x86_64-linux satallax | |
x86_64-darwin haskellPackages.box | |
x86_64-linux haskellPackages.yi-vty | |
x86_64-linux linuxPackages_latest-libre.rust-out-of-tree-module | |
x86_64-darwin idrisPackages.smproc | |
aarch64-linux dogdns | |
x86_64-darwin python312Packages.opentelemetry-exporter-otlp-proto-http | |
aarch64-linux haskellPackages.niv | |
x86_64-linux yambar-hyprland-wses | |
aarch64-linux pmount | |
x86_64-linux linuxKernel.packages.linux_zen.nvidia_x11_stable_open | |
x86_64-darwin emacsPackages.org-tracktable | |
x86_64-darwin perl538Packages.CPANChanges | |
x86_64-linux python312Packages.ete3 | |
x86_64-linux libnabo | |
x86_64-darwin telepathy-idle | |
x86_64-linux driversi686Linux.intel-media-driver | |
x86_64-darwin haskellPackages.random-names | |
x86_64-linux emacsPackages.ruby-test-mode | |
aarch64-darwin haskellPackages.boopadoop | |
x86_64-darwin aspellDicts.id | |
aarch64-linux emacsPackages.flycheck-grammarly | |
aarch64-linux emacsPackages.cats | |
aarch64-linux vimPlugins.gruvbox-flat-nvim | |
aarch64-darwin emacsPackages.esmond-theme | |
x86_64-darwin python311Packages.kml2geojson | |
x86_64-linux vhdl-ls | |
aarch64-darwin haskellPackages.gloss-juicy | |
aarch64-linux home-assistant-component-tests.pegel_online | |
x86_64-linux python312Packages.seccomp | |
x86_64-linux emacsPackages.bonjourmadame | |
aarch64-linux python311Packages.dlms-cosem | |
aarch64-linux python311Packages.mypy-boto3-mediaconnect | |
x86_64-darwin perl538Packages.ImageScale | |
x86_64-linux haskellPackages.hmatrix-gsl | |
x86_64-linux tests.hardeningFlags-clang.fortify3StdenvUnsuppDoesntUnsuppFortify | |
aarch64-linux python311Packages.flask-gravatar | |
x86_64-linux python311Packages.segno | |
x86_64-darwin haskellPackages.alarmclock | |
aarch64-darwin python312Packages.dvc-objects | |
x86_64-darwin python312Packages.pkgconfig | |
x86_64-darwin perl536Packages.PodPOM | |
x86_64-darwin emacsPackages.quiz | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.openafs_1_8 | |
x86_64-darwin haskellPackages.amazonka-route53-recovery-control-config | |
aarch64-darwin azure-cli-extensions.eventgrid | |
aarch64-darwin haskellPackages.servant-options | |
aarch64-linux uri | |
x86_64-linux haskellPackages.fountain | |
aarch64-darwin emacsPackages.litecoin-ticker | |
aarch64-linux libbitcoin-client | |
aarch64-darwin haskellPackages.flippers | |
x86_64-darwin python311Packages.west | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.nim | |
aarch64-linux haskellPackages.char-decode | |
x86_64-darwin gnome.gnome-backgrounds | |
x86_64-linux python312Packages.brian2 | |
x86_64-linux haskellPackages.zenhack-prelude | |
aarch64-darwin python311Packages.west | |
x86_64-linux python312Packages.hikvision | |
x86_64-darwin terranix | |
aarch64-linux emacsPackages.asn1-mode | |
x86_64-linux python312Packages.dbt-bigquery | |
x86_64-darwin lua53Packages.luaexpat | |
aarch64-darwin gpicview | |
x86_64-darwin perl538Packages.TimePiece | |
aarch64-linux emacsPackages.dionysos | |
aarch64-linux python312Packages.pyimpfuzzy | |
aarch64-darwin libccd | |
aarch64-darwin vimPlugins.auto-save-nvim | |
x86_64-darwin dotnet-sdk_8 | |
x86_64-linux rocmPackages_6.hipblas | |
x86_64-darwin python312Packages.pyfnip | |
x86_64-darwin python311Packages.empty-files | |
x86_64-linux obfs4 | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.lua | |
aarch64-darwin emacsPackages.go-impl | |
x86_64-linux haskellPackages.prettyprinter-interp | |
aarch64-darwin perl536Packages.XMLEncoding | |
x86_64-linux xgalagapp | |
aarch64-linux haskellPackages.opensource | |
aarch64-darwin haskellPackages.myers-diff | |
aarch64-linux haskellPackages.hspray | |
x86_64-linux haskellPackages.hslua-marshalling | |
aarch64-linux lua51Packages.luaposix | |
aarch64-linux haskellPackages.prettyprinter | |
aarch64-linux gmtk | |
x86_64-darwin loramon | |
x86_64-linux emacsPackages.fm-bookmarks | |
x86_64-darwin haskellPackages.hmatrix-glpk | |
x86_64-linux home-assistant-component-tests.daikin | |
aarch64-darwin emacsPackages.nofrils-acme-theme | |
aarch64-linux prettypst | |
x86_64-darwin python311Packages.prodict | |
aarch64-linux neardal | |
x86_64-linux python312Packages.types-aiobotocore-docdb-elastic | |
x86_64-linux inkscape-extensions.textext | |
x86_64-linux apacheKafka_3_0 | |
x86_64-linux fishPlugins.fishtape | |
aarch64-darwin xorg.libXfont2 | |
x86_64-linux linuxPackages_lqx.system76-io | |
x86_64-darwin libsForQt5.kcodecs | |
aarch64-linux python311Packages.antlr4-python3-runtime | |
x86_64-darwin python312Packages.sourmash | |
x86_64-darwin emacsPackages.ess-smart-equals | |
x86_64-darwin aba | |
aarch64-linux circus | |
x86_64-linux qt6Packages.appstream-qt | |
x86_64-linux emacsPackages.sexp-diff | |
aarch64-linux python312Packages.asdf | |
x86_64-darwin subversionClient | |
aarch64-linux vimPlugins.preto | |
x86_64-linux greetd.greetd | |
x86_64-linux haskellPackages.range-set-list | |
x86_64-darwin rubyPackages_3_3.excon | |
x86_64-linux libfx2 | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.libssh2 | |
aarch64-darwin perl536Packages.Win32ShellQuote | |
aarch64-darwin llvmPackages_13.compiler-rt-no-libc | |
x86_64-linux mednaffe | |
aarch64-linux emacsPackages.flycheck-jest | |
x86_64-darwin postgresql14JitPackages.timescaledb_toolkit | |
x86_64-darwin python311Packages.jupyter-ui-poll | |
aarch64-linux emacsPackages.quack | |
x86_64-linux gnomeExtensions.gbinaryclock | |
x86_64-linux kodiPackages.visualization-starburst | |
aarch64-darwin python311Packages.denonavr | |
x86_64-linux vk-cli | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.nvidia_x11_stable_open | |
aarch64-linux python311Packages.pybrowsers | |
x86_64-linux home-assistant-component-tests.transmission | |
x86_64-linux lerc | |
x86_64-linux kdePackages.libkmahjongg | |
aarch64-darwin loudmouth | |
aarch64-linux luaPackages.lua-resty-session | |
x86_64-darwin haskellPackages.Monadoro | |
aarch64-darwin python312Packages.gplaycli | |
x86_64-linux python311Packages.rns | |
x86_64-darwin python311Packages.sqlbag | |
aarch64-linux home-assistant-component-tests.androidtv | |
aarch64-linux libsForQt5.klines | |
x86_64-linux python312Packages.scancode-toolkit | |
aarch64-darwin vimPlugins.replacer-nvim | |
x86_64-linux emacsPackages.sphinx-frontend | |
x86_64-linux python311Packages.mediapy | |
aarch64-darwin python312Packages.mypy-boto3-inspector2 | |
x86_64-linux python312Packages.openevsewifi | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.ompi-f77 | |
x86_64-linux python311Packages.rjsmin | |
aarch64-linux hivemind | |
x86_64-linux icewm | |
x86_64-darwin python311Packages.troposphere | |
aarch64-darwin haskellPackages.releaser | |
x86_64-linux python312Packages.fake-useragent | |
aarch64-darwin perl536Packages.AttributeParamsValidate | |
aarch64-darwin perl536Packages.Env | |
aarch64-linux cirrus-cli | |
x86_64-linux python311Packages.cramjam | |
x86_64-linux python312Packages.ibm-cloud-sdk-core | |
aarch64-linux python312Packages.sqlbag | |
aarch64-linux emacsPackages.osx-trash | |
x86_64-darwin python311Packages.sqlite-migrate | |
aarch64-linux cifs-utils | |
aarch64-darwin haskellPackages.monad-control-identity | |
x86_64-linux python311Packages.ply | |
x86_64-linux postgresql15JitPackages.anonymizer | |
x86_64-linux haskellPackages.tasty-hspec | |
aarch64-linux epr | |
x86_64-linux libindicator-gtk3 | |
x86_64-darwin luaPackages.nvim-cmp | |
x86_64-linux python311Packages.romy | |
aarch64-darwin fritz-exporter | |
x86_64-darwin emacsPackages.helm-bind-key | |
x86_64-linux emacsPackages.tmux-mode | |
aarch64-darwin postgresql12Packages.jsonb_deep_sum | |
x86_64-linux pw3270 | |
x86_64-darwin herwig | |
aarch64-darwin rubyPackages_3_2.io-console | |
aarch64-linux emacsPackages.buttercup-junit | |
aarch64-darwin llvm_15 | |
aarch64-darwin emacsPackages.elfeed-org | |
aarch64-darwin haskellPackages.filtrable | |
aarch64-darwin pg-dump-anon | |
aarch64-darwin vimPlugins.nvim-dap-go | |
aarch64-darwin emacsPackages.livid-mode | |
aarch64-linux python312Packages.aio-geojson-usgs-earthquakes | |
x86_64-linux python312Packages.biopython | |
x86_64-linux python312Packages.redis | |
x86_64-linux seqtk | |
aarch64-linux emacsPackages.power-mode | |
aarch64-darwin python312Packages.markdown-it-py | |
x86_64-linux minia | |
aarch64-darwin python312Packages.essentials | |
aarch64-linux qt6Packages.qwlroots | |
x86_64-linux python312Packages.pyinotify | |
aarch64-darwin haskellPackages.watchdog | |
x86_64-darwin vimPlugins.friendly-snippets | |
aarch64-darwin python311Packages.upb-lib | |
aarch64-linux emacsPackages.sql-smie | |
x86_64-linux haskellPackages.process-extras | |
x86_64-linux remkrom | |
aarch64-linux git-imerge | |
x86_64-linux python312Packages.google-cloud-workstations | |
aarch64-darwin haskellPackages.ghc-debug-stub | |
aarch64-linux python311Packages.about-time | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.system76-scheduler | |
x86_64-linux emacsPackages.flycheck-clangcheck | |
x86_64-linux unstick | |
aarch64-darwin drawpile | |
x86_64-linux haskellPackages.unicode-data | |
x86_64-darwin git2-cpp | |
aarch64-darwin aspellDicts.sr | |
aarch64-darwin mariadb_110 | |
x86_64-linux haskellPackages.Tensor | |
x86_64-linux emacsPackages.emr | |
x86_64-linux python312Packages.python-lzf | |
aarch64-linux haskellPackages.nagios-check | |
aarch64-linux libressl_3_7 | |
x86_64-darwin ifuse | |
x86_64-darwin haskellPackages.ordered-containers | |
aarch64-linux kubo-migrator-unwrapped | |
x86_64-linux harsh | |
x86_64-darwin rubyPackages_3_1.jekyll-webmention_io | |
x86_64-darwin sloth-app | |
aarch64-darwin apacheHttpd | |
aarch64-darwin haskellPackages.tasty-program | |
aarch64-linux haskellPackages.gettext-th | |
x86_64-darwin python311Packages.pyairvisual | |
aarch64-linux kcp | |
x86_64-darwin image-roll | |
x86_64-darwin emacsPackages.magma-mode | |
x86_64-linux proxmark3 | |
aarch64-darwin python311Packages.smtpdfix | |
aarch64-darwin xorg.libxcb | |
aarch64-linux python312Packages.aiohttp-zlib-ng | |
x86_64-darwin rubyPackages.ruby2_keywords | |
aarch64-darwin python311Packages.pyannote-metrics | |
aarch64-darwin aspellDicts.sk | |
x86_64-linux haskellPackages.ppm | |
aarch64-darwin haskellPackages.futhark-manifest | |
x86_64-linux emacsPackages.js2-highlight-vars | |
x86_64-linux wineWowPackages.staging | |
x86_64-darwin emacsPackages.vimrc-mode | |
x86_64-linux python311Packages.misaka | |
x86_64-linux emacsPackages.git-time-metric | |
x86_64-darwin emacsPackages.cue-sheet-mode | |
aarch64-linux python311Packages.mypy-boto3-voice-id | |
aarch64-darwin emacsPackages.clipmon | |
x86_64-linux python312Packages.sphinx-autoapi | |
x86_64-linux haskellPackages.text-format | |
aarch64-darwin vimPlugins.Jenkinsfile-vim-syntax | |
aarch64-linux konbucase | |
aarch64-darwin python311Packages.pastel | |
x86_64-linux python311Packages.twinkly-client | |
aarch64-darwin orbitron | |
aarch64-darwin vimPlugins.vim-ipython | |
aarch64-linux emacsPackages.flycheck-clj-kondo | |
x86_64-darwin haskellPackages.mbox | |
x86_64-darwin haskellPackages.monoid-insertleft | |
aarch64-darwin emacsPackages.rectangle-utils | |
x86_64-linux python312Packages.segno | |
x86_64-linux vimPlugins.vim-operator-user | |
aarch64-darwin meerk40t-camera | |
x86_64-linux python312Packages.pydicom-seg | |
aarch64-darwin vimPlugins.SimpylFold | |
x86_64-darwin vimPlugins.vim-haskell-module-name | |
aarch64-linux mailcore2 | |
x86_64-linux home-assistant-component-tests.suez_water | |
x86_64-linux lua53Packages.lua-resty-http | |
x86_64-darwin radicale2 | |
aarch64-darwin python311Packages.itemdb | |
x86_64-darwin python312Packages.pgpdump | |
aarch64-darwin perl536Packages.ClassInspector | |
aarch64-linux rubyPackages_3_2.sqlite3 | |
aarch64-linux haskellPackages.hasty-hamiltonian | |
x86_64-darwin perl538Packages.HashSharedMem | |
x86_64-linux python312Packages.grpcio-status | |
aarch64-linux vimPlugins.autoload_cscope-vim | |
x86_64-linux coriander | |
aarch64-darwin emacsPackages.wordreference | |
aarch64-darwin vimPlugins.lazy-lsp-nvim | |
aarch64-darwin pdfchain | |
x86_64-linux vencord-web-extension | |
x86_64-darwin perl538Packages.ClassVirtual | |
x86_64-darwin linien-gui | |
x86_64-darwin haskellPackages.ciphersaber2 | |
aarch64-linux python311Packages.simplehound | |
x86_64-darwin haskellPackages.nlopt-haskell | |
aarch64-linux migra | |
x86_64-linux gnome.nautilus-python | |
x86_64-linux python312Packages.inotify | |
aarch64-darwin python312Packages.unidata-blocks | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.rtw88 | |
x86_64-darwin haskellPackages.pointfree | |
x86_64-linux lollypop | |
x86_64-darwin chart-testing | |
aarch64-darwin revive | |
aarch64-linux linuxKernel.packages.linux_6_6.mbp2018-bridge-drv | |
aarch64-darwin emacsPackages.meyvn | |
x86_64-linux fx | |
x86_64-linux linuxKernel.packages.linux_lqx.hyperv-daemons | |
aarch64-darwin posteid-seed-extractor | |
x86_64-linux python311Packages.tls-client | |
aarch64-linux lua54Packages.luarocks-nix | |
aarch64-linux python311Packages.pyment | |
aarch64-linux haskellPackages.amazonka-cloudsearch | |
x86_64-darwin sagittarius-scheme | |
aarch64-linux python311Packages.maxcube-api | |
x86_64-linux vimPlugins.cmp-emoji | |
aarch64-darwin haskellPackages.ssv | |
aarch64-linux linuxPackages_xanmod_stable.nct6687d | |
x86_64-darwin python312Packages.ltpycld2 | |
x86_64-darwin python311Packages.mwoauth | |
aarch64-darwin rubyPackages_3_3.websocket-extensions | |
aarch64-linux dasht | |
aarch64-darwin luaPackages.fluent | |
x86_64-darwin perl538Packages.SysCpuAffinity | |
aarch64-darwin haskellPackages.network-run | |
x86_64-linux haskellPackages.language-java | |
aarch64-darwin certipy | |
x86_64-darwin python312Packages.click-spinner | |
x86_64-linux python312Packages.multitasking | |
aarch64-linux webanalyze | |
x86_64-darwin haskellPackages.snap-server | |
aarch64-darwin python312Packages.ochre | |
x86_64-darwin emacsPackages.kaomoji | |
x86_64-darwin chickenPackages_5.chickenEggs.ws-client | |
x86_64-darwin beneath-a-steel-sky | |
aarch64-darwin spdx-license-list-data | |
x86_64-darwin perl536Packages.LinguaENWords2Nums | |
aarch64-linux linuxPackages_5_4_hardened.decklink | |
x86_64-darwin auto-multiple-choice | |
aarch64-linux emacsPackages.geiser-stklos | |
aarch64-darwin python311Packages.sunwatcher | |
aarch64-linux elmPackages.elmi-to-json | |
x86_64-darwin haskellPackages.generic-lens | |
x86_64-linux home-assistant-component-tests.automation | |
x86_64-darwin jless | |
aarch64-linux temurin-bin | |
x86_64-darwin rubyPackages_3_3.cocoapods-dependencies | |
aarch64-linux haskellPackages.netlib-comfort-array | |
x86_64-darwin emacsPackages.greymatters-theme | |
aarch64-linux yesplaymusic | |
x86_64-linux tengine | |
aarch64-linux emacsPackages.leaf-tree | |
x86_64-darwin python312Packages.editor | |
x86_64-darwin haskellPackages.QuickCheck-GenT | |
x86_64-darwin vimPlugins.nvim-terminal-lua | |
aarch64-linux vimPlugins.vim-gas | |
x86_64-linux python312Packages.mkdocs-autolinks-plugin | |
aarch64-darwin haskellPackages.quantizer | |
aarch64-darwin tijolo | |
aarch64-linux haskellPackages.monad-stm | |
aarch64-darwin emacsPackages.frimacs | |
x86_64-darwin haskell.compiler.ghc964 | |
aarch64-linux rubyPackages_3_1.gdk3 | |
x86_64-linux python311Packages.easywatch | |
x86_64-linux haskellPackages.open-browser | |
aarch64-darwin python311Packages.aqipy-atmotech | |
aarch64-linux python311Packages.ifcopenshell | |
x86_64-darwin haskellPackages.fromhtml | |
x86_64-darwin calculix | |
x86_64-linux gef | |
x86_64-darwin python311Packages.graphtage | |
aarch64-linux python312Packages.llama-index-embeddings-huggingface | |
x86_64-darwin buildbot-worker | |
aarch64-darwin haskellPackages.ebird-cli | |
aarch64-linux jx | |
x86_64-linux emacsPackages.eldoc-box | |
x86_64-darwin perl538Packages.CatalystXRoleApplicator | |
aarch64-darwin python312Packages.connect-box | |
x86_64-darwin xorg.xf86videoati | |
x86_64-linux myfitnesspal | |
x86_64-linux barman | |
x86_64-linux solc | |
aarch64-linux mupdf-headless | |
aarch64-linux enc | |
x86_64-linux goat | |
x86_64-linux python312Packages.pysensors | |
aarch64-linux emacsPackages.chronometrist-goal | |
aarch64-darwin python312Packages.dash-core-components | |
aarch64-darwin python311Packages.bencoder | |
x86_64-linux jellyseerr | |
aarch64-linux python311Packages.aiohttp-socks | |
x86_64-darwin rubyPackages_3_2.jekyll | |
aarch64-linux haskellPackages.linux-file-extents | |
x86_64-darwin python311Packages.snakeviz | |
aarch64-linux vimPlugins.ncm2-ultisnips | |
aarch64-darwin python311Packages.cli-helpers | |
aarch64-linux protonvpn-cli_2 | |
x86_64-linux haskellPackages.hs-opentelemetry-otlp | |
x86_64-linux kops | |
aarch64-linux ingen | |
x86_64-darwin haskellPackages.async-io-either | |
aarch64-linux snallygaster | |
aarch64-linux python312Packages.django-sesame | |
aarch64-linux emacsPackages.ivy-hoogle | |
x86_64-darwin python312Packages.mandown | |
aarch64-linux ghostie | |
aarch64-darwin vimPlugins.vim-colemak | |
aarch64-linux handbrake | |
aarch64-darwin haskellPackages.amazonka-sagemaker-metrics | |
aarch64-darwin xortool | |
x86_64-darwin python311Packages.asdf-transform-schemas | |
aarch64-linux python311Packages.brotli | |
aarch64-darwin python311Packages.django-tables2 | |
aarch64-linux spf-engine | |
aarch64-linux vimPlugins.tsuquyomi | |
aarch64-darwin cmake-format | |
aarch64-darwin python312Packages.aioeagle | |
aarch64-darwin rubyPackages_3_2.cocoapods-deintegrate | |
aarch64-darwin python311Packages.python-ndn | |
aarch64-darwin python312Packages.clarifai | |
x86_64-linux emacsPackages.dsvn | |
aarch64-linux cero | |
aarch64-darwin tests.haskell.cabalSdist.helloFromCabalSdist | |
aarch64-darwin vimPlugins.vim-ReplaceWithSameIndentRegister | |
x86_64-linux python312Packages.geocoder | |
aarch64-linux haskellPackages.static-bytes | |
aarch64-darwin filebrowser | |
aarch64-darwin irpf | |
aarch64-darwin python312Packages.affine | |
x86_64-linux postgresql15JitPackages.pg_ed25519 | |
aarch64-darwin cfripper | |
x86_64-linux emacsPackages.xref-union | |
x86_64-darwin perl538Packages.OpenAPIClient | |
x86_64-darwin svnfs | |
aarch64-linux haskellPackages.FailT | |
aarch64-linux linuxPackages_latest.lkrg | |
x86_64-linux c2patool | |
aarch64-darwin emacsPackages.auth-source-keytar | |
x86_64-linux emacsPackages.org-roam | |
x86_64-linux emacsPackages.scrollable-quick-peek | |
aarch64-linux pdfdiff | |
aarch64-linux calligra | |
x86_64-linux python312Packages.types-aiobotocore-finspace-data | |
aarch64-darwin python311Packages.dask-glm | |
x86_64-darwin haskellPackages.boring | |
x86_64-darwin haskellPackages.amazonka-quicksight | |
x86_64-darwin python312Packages.base58 | |
aarch64-darwin rfc-bibtex | |
x86_64-linux emacsPackages.lcb-mode | |
aarch64-darwin unihan-database | |
aarch64-darwin python311Packages.mypy-boto3-sagemaker-a2i-runtime | |
x86_64-linux emacsPackages.org-arbeitszeit | |
x86_64-linux sbclPackages.cl-gtk2-pango | |
x86_64-darwin emacsPackages.better-scroll | |
aarch64-darwin haskellPackages.quickcheck-with-counterexamples | |
aarch64-darwin opl3bankeditor | |
aarch64-darwin vimPlugins.latex-box | |
aarch64-linux emacsPackages.mustard-theme | |
x86_64-darwin rshell | |
x86_64-darwin haskellPackages.lucid-extras | |
aarch64-linux home-assistant-component-tests.air_quality | |
x86_64-linux unix-privesc-check | |
aarch64-darwin perl536Packages.BoostGeometryUtils | |
x86_64-darwin vimPlugins.vim-windowswap | |
aarch64-darwin python312Packages.lz4 | |
x86_64-linux terraform-providers.spotinst | |
aarch64-linux kind2 | |
x86_64-linux python312Packages.fjaraskupan | |
x86_64-linux emacsPackages.hide-mode-line | |
x86_64-linux gcalcli | |
x86_64-linux py-spy | |
aarch64-darwin haskellPackages.metro-transport-crypto | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.fusion | |
x86_64-linux haskellPackages.indexed-traversable | |
x86_64-darwin emacsPackages.flycheck-clolyze | |
x86_64-linux emacsPackages.gitolite-clone | |
x86_64-darwin libretro.fmsx | |
x86_64-linux vimPlugins.midnight-nvim | |
x86_64-darwin w3m-batch | |
aarch64-darwin emacsPackages.itail | |
aarch64-darwin python311Packages.frozenlist | |
aarch64-linux python312Packages.aioambient | |
x86_64-darwin commonsLang | |
x86_64-darwin urdfdom-headers | |
x86_64-darwin emacsPackages.ess-view | |
aarch64-darwin haskellPackages.doctest-extract | |
aarch64-darwin pleroma | |
x86_64-linux emacsPackages.robot-mode | |
aarch64-linux rocmPackages.rocgdb | |
aarch64-darwin python311Packages.mypy-boto3-m2 | |
x86_64-linux workcraft | |
aarch64-darwin python312Packages.jinja2-pluralize | |
x86_64-darwin python312Packages.i3-py | |
aarch64-darwin emacsPackages.transwin | |
aarch64-darwin perl538Packages.PlackMiddlewareHeader | |
x86_64-linux rubyPackages_3_3.xctasks | |
x86_64-linux python312Packages.zope-i18nmessageid | |
x86_64-linux python312Packages.oras | |
aarch64-darwin libspecbleach | |
aarch64-darwin python312Packages.nbdev | |
x86_64-darwin emacsPackages.keyword-search | |
x86_64-darwin haskellPackages.HSmarty | |
x86_64-linux obex_data_server | |
aarch64-darwin postgresql16Packages.lantern | |
x86_64-linux sbclPackages.cl-containers | |
x86_64-linux kodiPackages.requests | |
x86_64-linux prometheus-nats-exporter | |
x86_64-linux python312Packages.advocate | |
aarch64-linux haskellPackages.average | |
aarch64-darwin emacsPackages.ant | |
aarch64-darwin python312Packages.ueagle | |
x86_64-darwin vimPlugins.miasma-nvim | |
x86_64-linux linuxPackages_latest-libre.tuxedo-keyboard | |
x86_64-darwin python311Packages.google-cloud-language | |
aarch64-darwin perl538Packages.MethodSignaturesSimple | |
x86_64-darwin miredo | |
x86_64-linux python311Packages.pyscreeze | |
aarch64-linux kdePackages.qtwebview | |
x86_64-darwin python311Packages.types-aiobotocore-datapipeline | |
aarch64-linux llvmPackages_13.clangNoCompilerRt | |
aarch64-linux python311Packages.ge25519 | |
x86_64-darwin python311Packages.mypy-boto3-dynamodbstreams | |
x86_64-darwin haskellPackages.openssl-streams | |
aarch64-linux i3lock-blur | |
aarch64-linux haskellPackages.amazonka-servicecatalog-appregistry | |
x86_64-linux linuxKernel.packages.linux_5_4.kvmfr | |
x86_64-darwin python311Packages.opencensus-context | |
aarch64-linux python311Packages.datefinder | |
x86_64-linux python311Packages.ziamath | |
x86_64-darwin botamusique | |
x86_64-darwin lua53Packages.luaunit | |
x86_64-linux python312Packages.dissect-cstruct | |
aarch64-darwin python312Packages.mypy-boto3-cognito-idp | |
x86_64-linux gnome.gucharmap | |
x86_64-darwin luaPackages.linenoise | |
x86_64-darwin dtrx | |
x86_64-darwin iodine | |
x86_64-darwin emacsPackages.gnuplot | |
aarch64-linux python312Packages.gunicorn | |
aarch64-linux grafana-dash-n-grab | |
x86_64-darwin tmuxPlugins.extrakto | |
x86_64-darwin perl538Packages.TemplateTiny | |
aarch64-darwin wallabag | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.bicep | |
aarch64-linux codespell | |
x86_64-darwin vimPlugins.lens-vim | |
x86_64-darwin emacsPackages.consult-ghq | |
x86_64-linux python312Packages.regional | |
x86_64-darwin python312Packages.verboselogs | |
x86_64-linux libmicrodns | |
aarch64-darwin python312Packages.meteocalc | |
aarch64-linux python311Packages.stdlib-list | |
x86_64-darwin rubyPackages.zookeeper | |
aarch64-darwin teams-for-linux | |
x86_64-linux python311Packages.twiggy | |
x86_64-linux sway-contrib.inactive-windows-transparency | |
x86_64-linux emacsPackages.comware-router-mode | |
aarch64-darwin vimPlugins.git-blame-nvim | |
x86_64-linux linuxKernel.packages.linux_5_4.framework-laptop-kmod | |
x86_64-linux python311Packages.css-inline | |
aarch64-linux emacsPackages.go-stacktracer | |
x86_64-linux python312Packages.mattermostdriver | |
x86_64-darwin emacsPackages.agenix | |
x86_64-linux haskellPackages.haskoin-core | |
aarch64-darwin lf | |
x86_64-darwin python311Packages.pymitv | |
x86_64-darwin libsForQt5.syndication | |
aarch64-darwin haskellPackages.GLM | |
aarch64-darwin haskellPackages.bytestring-short | |
aarch64-darwin python312Packages.fire | |
x86_64-linux haskellPackages.tile | |
x86_64-linux vimPlugins.yats-vim | |
x86_64-linux emacsPackages.evil-textobj-entire | |
x86_64-linux python311Packages.asn1crypto | |
aarch64-linux haskellPackages.amazonka-ssm-sap | |
x86_64-linux simpleTpmPk11 | |
x86_64-darwin vimPlugins.calendar-vim | |
aarch64-darwin emacsPackages.use-package-ensure-system-package | |
x86_64-darwin emacsPackages.prassee-theme | |
x86_64-darwin python311Packages.eliqonline | |
aarch64-darwin python311Packages.glean-sdk | |
x86_64-linux python312Packages.thermopro-ble | |
aarch64-linux emacsPackages.ace-link | |
aarch64-darwin coq_8_6 | |
x86_64-darwin haskellPackages.mini | |
aarch64-linux vimPlugins.vim-husk | |
x86_64-linux foliate | |
x86_64-linux emacsPackages.atom-dark-theme | |
x86_64-darwin emacsPackages.skeletor | |
aarch64-linux python311Packages.python-gvm | |
x86_64-linux python311Packages.invoke | |
x86_64-darwin python312Packages.mypy-boto3-cloudfront | |
aarch64-darwin python312Packages.variants | |
x86_64-linux python312Packages.aqualogic | |
x86_64-darwin creduce | |
x86_64-linux postgresql12JitPackages.postgis | |
x86_64-linux xorg.fontxfree86type1 | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.fsh | |
x86_64-darwin postgresqlJitPackages.pg_libversion | |
x86_64-linux python312Packages.python-ctags3 | |
aarch64-linux emacsPackages.sql-beeline | |
x86_64-linux linuxKernel.packages.linux_6_1.tp_smapi | |
x86_64-linux lua51Packages.haskell-tools-nvim | |
aarch64-darwin python312Packages.pylitterbot | |
aarch64-linux python311Packages.py3status | |
x86_64-darwin vimPlugins.vim-multiple-cursors | |
x86_64-darwin haskellPackages.http-reverse-proxy | |
x86_64-linux python311Packages.mypy-boto3-cognito-idp | |
x86_64-darwin postgresql16JitPackages.periods | |
aarch64-linux glabels | |
aarch64-darwin emacsPackages.lua-mode | |
x86_64-linux linuxKernel.packages.linux_hardened.hid-ite8291r3 | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.hid-tmff2 | |
x86_64-darwin legitify | |
aarch64-darwin foxotron | |
x86_64-linux legit-web | |
x86_64-darwin python312Packages.requests-aws4auth | |
aarch64-linux gzdoom | |
x86_64-linux python312Packages.broadbean | |
aarch64-darwin lld_17 | |
x86_64-darwin python312Packages.json-home-client | |
aarch64-linux read-edid | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.can-isotp | |
aarch64-darwin haskellPackages.discord-haskell | |
aarch64-linux asciidoc-full-with-plugins | |
aarch64-linux haskellPackages.cabal-scripts | |
x86_64-darwin liblcf | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.typoscript | |
x86_64-darwin knightos-scas | |
x86_64-linux sbclPackages.cl-sat | |
x86_64-linux gnomeExtensions.custom-accent-colors | |
x86_64-darwin python311Packages.types-aiobotocore-detective | |
x86_64-darwin smallwm | |
x86_64-linux python312Packages.orbax-checkpoint | |
aarch64-linux emacsPackages.company-erlang | |
aarch64-darwin perl536Packages.ExtUtilsDepends | |
aarch64-darwin python312Packages.click-log | |
x86_64-linux umr | |
aarch64-linux home-assistant-component-tests.qingping | |
aarch64-darwin python312Packages.google-cloud-container | |
aarch64-darwin haskellPackages.line-break | |
aarch64-darwin python312Packages.graphtage | |
x86_64-darwin python312Packages.xrootd | |
aarch64-darwin SDL_image | |
aarch64-linux haskellPackages.shell-utility | |
x86_64-linux python311Packages.tzdata | |
x86_64-linux ipe | |
aarch64-linux xorg.bitmap | |
x86_64-linux python311Packages.clize | |
x86_64-linux versus | |
x86_64-darwin emacsPackages.vdirel | |
aarch64-darwin mpc123 | |
x86_64-linux linuxKernel.packages.linux_xanmod.liquidtux | |
x86_64-darwin python311Packages.nipy | |
aarch64-darwin haskellPackages.async-refresh | |
x86_64-linux emacsPackages.ox-rss | |
x86_64-linux aliyun-cli | |
x86_64-darwin python311Packages.beancount-docverif | |
aarch64-linux lomiri.qqc2-suru-style | |
aarch64-darwin openhmd | |
x86_64-darwin python311Packages.mypy-boto3-ebs | |
aarch64-linux python312Packages.django-appconf | |
x86_64-darwin libunarr | |
x86_64-darwin python311Packages.solax | |
x86_64-darwin hunspellDicts.th_TH | |
aarch64-linux obconf | |
x86_64-darwin vimPlugins.jdaddy-vim | |
aarch64-darwin python312Packages.feedgenerator | |
aarch64-linux cbqn-standalone | |
aarch64-darwin python312Packages.griffe | |
aarch64-darwin libsForQt5.syndication | |
aarch64-linux oversteer | |
aarch64-darwin gxemul | |
aarch64-darwin abook | |
x86_64-darwin emacsPackages.evil-owl | |
x86_64-darwin perl536Packages.TextAspell | |
x86_64-darwin haskellPackages.packer | |
x86_64-darwin luaPackages.lua | |
x86_64-darwin python312Packages.woodblock | |
x86_64-linux haskellPackages.terminal-punch | |
x86_64-linux haskellPackages.applicable | |
x86_64-linux theharvester | |
x86_64-linux python311Packages.cachier | |
aarch64-linux emacsPackages.org-mru-clock | |
aarch64-linux python311Packages.django-appconf | |
x86_64-darwin python312Packages.azure-mgmt-search | |
x86_64-linux swiftPackages.swift | |
aarch64-darwin luajitPackages.lmathx | |
aarch64-linux mx-puppet-discord | |
x86_64-linux jupyter-all | |
aarch64-linux python312Packages.ale-py | |
aarch64-linux python311Packages.medpy | |
aarch64-darwin systemfd | |
aarch64-darwin perl536Packages.AuthenSASLSASLprep | |
aarch64-linux llvmPackages.compiler-rt-no-libc | |
aarch64-linux kdePackages.kimagemapeditor | |
x86_64-linux rubyPackages_3_3.cocoapods-disable-podfile-validations | |
aarch64-linux python312Packages.pdb2pqr | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.oci-seccomp-bpf-hook | |
x86_64-darwin haskellPackages.hackage-db | |
aarch64-darwin python311Packages.pyqt6 | |
aarch64-linux rubyPackages_3_3.actiontext | |
x86_64-darwin python311Packages.textual-universal-directorytree | |
x86_64-linux python311Packages.whois-api | |
x86_64-linux python312Packages.rangeparser | |
aarch64-darwin emacsPackages.pinyin | |
x86_64-darwin wayfirePlugins.wayfire-shadows | |
aarch64-linux lomiri.lomiri-app-launch | |
aarch64-darwin python311Packages.automate-home | |
aarch64-linux emacsPackages.nano-theme | |
x86_64-darwin smarty3-i18n | |
aarch64-linux python311Packages.locationsharinglib | |
x86_64-linux emacsPackages.spdx | |
aarch64-linux python311Packages.python-olm | |
aarch64-linux ddate | |
x86_64-darwin emacsPackages.base32 | |
x86_64-darwin python311Packages.scramp | |
aarch64-darwin _7kaa | |
aarch64-linux python312Packages.pyannote-metrics | |
x86_64-darwin emacsPackages.howdoi | |
aarch64-darwin emacsPackages.yahtzee | |
aarch64-linux sshocker | |
aarch64-linux postgresql13JitPackages.pgvector | |
aarch64-darwin python312Packages.openwebifpy | |
aarch64-darwin python312Packages.quart | |
aarch64-darwin chickenPackages_5.chickenEggs.graph-scc | |
aarch64-darwin python311Packages.arrayqueues | |
x86_64-darwin cyclonedx-gomod | |
aarch64-linux emacsPackages.rats | |
x86_64-linux gnomeExtensions.xazantimes | |
aarch64-linux haskellPackages.reroute | |
x86_64-darwin contour | |
aarch64-darwin python311Packages.smart-meter-texas | |
aarch64-linux postgresqlJitPackages.citus | |
aarch64-linux haskellPackages.data-function-meld | |
aarch64-darwin python312Packages.bundlewrap-pass | |
aarch64-linux haskellPackages.tardis | |
aarch64-darwin gnome.gnome-calculator | |
x86_64-linux emacsPackages.format-sql | |
x86_64-linux linuxKernel.packages.linux_6_8.ryzen-smu | |
x86_64-darwin emacsPackages.browse-at-remote | |
x86_64-darwin luaPackages.luassert | |
aarch64-darwin python311Packages.pymetno | |
aarch64-darwin emacsPackages.dape | |
x86_64-linux clickable | |
x86_64-linux jconvolver | |
aarch64-linux gnome.gnome-themes-extra | |
aarch64-linux netassert | |
aarch64-darwin python311Packages.mypy-boto3-ivschat | |
aarch64-darwin python312Packages.fpdf | |
aarch64-linux haskellPackages.proto-lens-protobuf-types | |
aarch64-darwin emacsPackages.magnatune | |
aarch64-linux python312Packages.sphinxcontrib-applehelp | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.Qt5QuickWidgets | |
aarch64-darwin emacsPackages.haskell-mode | |
aarch64-darwin emacsPackages.helm-ctest | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.vhba | |
aarch64-darwin pretendard-gov | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.racket | |
x86_64-linux plasma5Packages.kapidox | |
aarch64-linux libxc | |
aarch64-darwin emacsPackages.helm-ls-git | |
x86_64-darwin python311Packages.gpy | |
x86_64-linux sbclPackages.cl-unification | |
x86_64-linux emacsPackages.librera-sync | |
x86_64-linux python311Packages.flask-expects-json | |
aarch64-linux tcpreplay | |
x86_64-linux rtabmap | |
aarch64-darwin chickenPackages_5.chickenEggs.awful-main | |
aarch64-linux libdecor | |
aarch64-linux emacsPackages.ietf-docs | |
aarch64-linux gnome.gnome-calculator | |
x86_64-linux home-assistant-component-tests.trafikverket_train | |
aarch64-darwin luajitPackages.std-_debug | |
x86_64-darwin emacsPackages.stumpwm-mode | |
x86_64-linux linuxKernel.packages.linux_6_8.ena | |
x86_64-linux emacsPackages.company-ipa | |
aarch64-darwin emacsPackages.gitlab-ci-mode-flycheck | |
x86_64-darwin postgresql14Packages.pg_net | |
x86_64-linux apktool | |
x86_64-darwin chickenPackages_5.chickenEggs.fast-generic | |
x86_64-linux gnomeExtensions.swap-finger-gestures-3-to-4 | |
aarch64-linux emacsPackages.cl-libify | |
x86_64-darwin emacsPackages.helm-describe-modes | |
aarch64-linux emacsPackages.zuul | |
aarch64-darwin feishin | |
x86_64-linux python312Packages.base2048 | |
x86_64-darwin emacsPackages.helm-lib-babel | |
aarch64-linux emacsPackages.describe-number | |
x86_64-linux flutterPackages.stable | |
x86_64-darwin haskellPackages.hex | |
x86_64-linux libsForQt5.kquickcharts | |
aarch64-darwin texliveMinimal | |
aarch64-darwin emacsPackages.grass-mode | |
x86_64-linux snowcrash | |
x86_64-linux sublime4-dev | |
aarch64-darwin emacsPackages.ccc | |
aarch64-linux python312Packages.apprise | |
aarch64-darwin dcrd | |
aarch64-linux linuxKernel.packages.linux_lqx.rtl8821cu | |
aarch64-linux the-way | |
x86_64-linux schemacrawler | |
x86_64-darwin python311Packages.isoweek | |
aarch64-linux python312Packages.ha-mqtt-discoverable | |
aarch64-linux python311Packages.azure-synapse-managedprivateendpoints | |
aarch64-darwin haskellPackages.persistent-pagination | |
aarch64-linux python312Packages.asysocks | |
x86_64-linux sbclPackages.parachute | |
x86_64-linux emacsPackages.jack-ts-mode | |
x86_64-darwin mvebu64boot | |
aarch64-linux llvmPackages_13.clangNoLibcxx | |
x86_64-linux dub-to-nix | |
aarch64-linux haskellPackages.genvalidity-hspec | |
aarch64-darwin perl538Packages.InlineJava | |
x86_64-linux python312Packages.posix-ipc | |
x86_64-linux haskellPackages.period | |
x86_64-linux plasma5Packages.qtgamepad | |
x86_64-linux emacsPackages.tree-sitter-ess-r | |
x86_64-linux python312Packages.types-aiobotocore-elastictranscoder | |
aarch64-darwin mtxclient | |
aarch64-darwin haskellPackages.streaming-histogram | |
x86_64-linux python312Packages.flask-restx | |
x86_64-linux cudaPackagesGoogle.cudnn_8_3 | |
x86_64-darwin haskellPackages.ascii-predicates | |
aarch64-darwin haskellPackages.conduit-merge | |
aarch64-darwin haskellPackages.data-reify | |
x86_64-linux emacsPackages.cubicaltt | |
aarch64-linux home-assistant-component-tests.teslemetry | |
aarch64-darwin http-getter | |
aarch64-linux haskellPackages.split-record | |
x86_64-darwin vimPlugins.hurl | |
aarch64-linux python312Packages.mypy-boto3-sagemaker-a2i-runtime | |
aarch64-linux toxvpn | |
aarch64-linux uget-integrator | |
x86_64-linux vimPlugins.coc-nginx | |
aarch64-linux python311Packages.yosys | |
x86_64-linux linuxPackages_latest.lkrg | |
aarch64-darwin dum | |
aarch64-linux haskellPackages.cpuinfo | |
x86_64-darwin redland | |
x86_64-darwin emacsPackages.org-tagged | |
x86_64-linux emacsPackages.sxhkdrc-mode | |
aarch64-linux crawley | |
aarch64-darwin as31 | |
x86_64-darwin trilinos | |
aarch64-darwin rufo | |
x86_64-linux haskellPackages.amazonka-apigatewaymanagementapi | |
aarch64-darwin emacsPackages.zoom | |
aarch64-darwin hermit | |
x86_64-darwin libsForQt5.kcoreaddons | |
aarch64-darwin python312Packages.regress | |
aarch64-darwin python312Packages.starline | |
aarch64-linux python312Packages.starlette | |
x86_64-linux argus-clients | |
x86_64-linux schemaspy | |
aarch64-darwin emacsPackages.environ | |
aarch64-linux haskellPackages.amazonka-codepipeline | |
x86_64-darwin haskellPackages.hgmp | |
x86_64-darwin kb | |
x86_64-linux focuswriter | |
x86_64-darwin python311Packages.mypy-boto3-amp | |
aarch64-darwin python311Packages.rpdb | |
x86_64-linux emacsPackages.cheat-sh | |
x86_64-darwin emacsPackages.helm-projectile | |
x86_64-darwin libretro.scummvm | |
aarch64-linux python311Packages.types-aiobotocore-voice-id | |
x86_64-linux python311Packages.pyecowitt | |
aarch64-linux python312Packages.whisper | |
x86_64-linux postgresql15JitPackages.postgis | |
aarch64-linux plasma5Packages.minuet | |
x86_64-linux cinelerra | |
aarch64-linux icr | |
x86_64-darwin perl538Packages.FindLib | |
aarch64-linux rubyPackages.ruby-vips | |
x86_64-linux gittyup | |
aarch64-linux python311Packages.unidata-blocks | |
x86_64-linux python311Packages.bracex | |
x86_64-darwin perl536Packages.ImageOCRTesseract | |
aarch64-linux openxray | |
x86_64-linux sunpaper | |
aarch64-linux nix-prefetch-git | |
x86_64-linux pms | |
x86_64-linux commitizen | |
aarch64-darwin emacsPackages.tango-plus-theme | |
x86_64-darwin llvmPackages_12.compiler-rt | |
aarch64-linux gobby | |
x86_64-linux python311Packages.asgi-logger | |
x86_64-linux python311Packages.setuptoolsBuildHook | |
x86_64-darwin discount | |
x86_64-linux linuxKernel.packages.linux_latest_libre.fwts-efi-runtime | |
aarch64-linux emacsPackages.teacode-expand | |
x86_64-darwin perl536Packages.AppClusterSSH | |
x86_64-linux emacsPackages.monochrome-theme | |
x86_64-darwin bbe | |
x86_64-darwin python311Packages.pyvista | |
aarch64-linux lua54Packages.lua-cmsgpack | |
aarch64-darwin haskellPackages.http-download | |
x86_64-darwin python311Packages.base58 | |
aarch64-darwin sockperf | |
aarch64-linux terraform-providers.hcloud | |
x86_64-darwin haskellPackages.boomange | |
aarch64-linux python311Packages.azure-mgmt-nspkg | |
aarch64-darwin postgresql14JitPackages.hypopg | |
x86_64-darwin haskellPackages.unicode-data | |
aarch64-darwin perl538Packages.UserIdentity | |
x86_64-linux haskellPackages.posix-socket | |
aarch64-darwin python311Packages.google-ai-generativelanguage | |
x86_64-darwin hermit | |
aarch64-linux realmd | |
x86_64-darwin vimPlugins.vim-snipmate | |
aarch64-linux vimPlugins.ncm2-path | |
x86_64-darwin proj | |
aarch64-linux python311Packages.mypy-boto3-amplify | |
aarch64-linux emacsPackages.testcover-mark-line | |
aarch64-darwin python311Packages.lsassy | |
x86_64-linux linuxPackages_lqx.broadcom_sta | |
aarch64-linux lua52Packages.toml | |
aarch64-darwin perl538Packages.MLDBM | |
x86_64-linux python311Packages.hydra-core | |
aarch64-linux ratarmount | |
x86_64-linux lucenepp | |
x86_64-linux gtkterm | |
x86_64-darwin perl536Packages.Workflow | |
x86_64-linux perl538Packages.GnuPGInterface | |
x86_64-linux python312Packages.flask-migrate | |
x86_64-linux msldapdump | |
x86_64-darwin schismtracker | |
aarch64-darwin haskellPackages.SHA2 | |
x86_64-darwin emacsPackages.company-box | |
x86_64-linux wike | |
x86_64-linux gnomeExtensions.fnlock-switch-thinkpad-compact-usb-keyboard | |
aarch64-linux haskellPackages.insert-ordered-containers | |
x86_64-linux python311Packages.shiboken2 | |
aarch64-darwin perl538Packages.ProtocolRedisFaster | |
aarch64-linux linuxPackages_zen.hyperv-daemons | |
x86_64-linux python312Packages.mypy-boto3-networkmanager | |
aarch64-darwin python312Packages.types-aiobotocore-sso-oidc | |
x86_64-linux cargo-chef | |
x86_64-linux emacsPackages.quelpa | |
aarch64-linux python311Packages.functiontrace | |
x86_64-linux python312Packages.azure-mgmt-reservations | |
x86_64-linux haskellPackages.wai-frontend-monadcgi | |
aarch64-linux python311Packages.paddle-bfloat | |
aarch64-darwin rubyPackages_3_1.prettier_print | |
x86_64-darwin python311Packages.pynrrd | |
x86_64-darwin python311Packages.termgraph | |
aarch64-linux python311Packages.base64io | |
aarch64-darwin smbscan | |
x86_64-linux emacsPackages.emacs-gc-stats | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.gowork | |
aarch64-darwin haskellPackages.amazonka-pinpoint-sms-voice-v2 | |
aarch64-linux haskell.compiler.native-bignum.ghc925 | |
aarch64-linux python312Packages.afsapi | |
aarch64-darwin haskellPackages.amazonka-cloudwatch | |
aarch64-linux haskellPackages.idiomatic | |
aarch64-darwin haskellPackages.websockets | |
x86_64-darwin python312Packages.pycep-parser | |
x86_64-darwin haskellPackages.pipes-network | |
aarch64-linux libretro.tgbdual | |
aarch64-darwin postgresql14Packages.jsonb_deep_sum | |
x86_64-linux python311Packages.types-aiobotocore-license-manager-user-subscriptions | |
aarch64-linux home-assistant-component-tests.matter | |
x86_64-linux vimPlugins.suda-vim | |
aarch64-darwin gotypist | |
aarch64-darwin azure-cli-extensions.costmanagement | |
aarch64-linux julia_110-bin | |
x86_64-linux haskellPackages.opentheory-unicode | |
aarch64-linux emacsPackages.cilk-mode | |
aarch64-linux emacsPackages.ant | |
aarch64-linux fail2ban | |
aarch64-linux emacsPackages.move-text | |
x86_64-darwin haskellPackages.html-validator-cli | |
x86_64-linux haskellPackages.lsp-test | |
x86_64-linux python311Packages.pygls | |
aarch64-darwin python312Packages.atpublic | |
x86_64-darwin python311Packages.deebot-client | |
x86_64-darwin libsamplerate | |
x86_64-linux python311Packages.pymupdf | |
aarch64-darwin rubyPackages_3_3.libv8 | |
aarch64-linux vimPlugins.satellite-nvim | |
aarch64-darwin python311Packages.cookiecutter | |
aarch64-darwin python311Packages.marisa | |
x86_64-darwin emacsPackages.mpmc-queue | |
aarch64-linux ldb | |
aarch64-linux kubevela | |
aarch64-darwin python312Packages.aiooss2 | |
x86_64-linux python311Packages.pytest-httpbin | |
aarch64-darwin rubyPackages_3_2.openssl | |
x86_64-darwin python311Packages.yosys | |
x86_64-linux php82Packages.phing | |
x86_64-linux linuxKernel.packages.linux_6_6.rtw88 | |
aarch64-darwin jwx | |
x86_64-linux python311Packages.ipytablewidgets | |
x86_64-darwin haskellPackages.csound-catalog | |
x86_64-linux linuxPackages_5_15_hardened.system76-io | |
aarch64-linux vimPlugins.tlib_vim | |
aarch64-linux lua54Packages.std-_debug | |
aarch64-darwin gcc11 | |
aarch64-linux picotool | |
x86_64-darwin python311Packages.certbot-dns-rfc2136 | |
x86_64-darwin fzy | |
x86_64-linux python311Packages.tendo | |
x86_64-linux python312Packages.python-pidfile | |
aarch64-linux emacsPackages.stash | |
aarch64-linux vimPlugins.markid | |
aarch64-linux haskellPackages.duckdb-haskell | |
aarch64-linux python311Packages.wtforms-bootstrap5 | |
x86_64-linux vimPlugins.bullets-vim | |
aarch64-linux https-dns-proxy | |
aarch64-linux rubyPackages_3_3.formatador | |
x86_64-linux home-assistant-component-tests.whirlpool | |
x86_64-linux emacsPackages.pip-requirements | |
x86_64-linux haskellPackages.nix-deploy | |
aarch64-linux atinout | |
aarch64-linux lua53Packages.tl | |
x86_64-linux haskellPackages.test-sandbox-hunit | |
aarch64-darwin haskellPackages.emhell | |
x86_64-darwin mdbook-d2 | |
aarch64-darwin vimPlugins.persisted-nvim | |
aarch64-linux python311Packages.progress | |
x86_64-linux haskellPackages.async-refresh | |
x86_64-linux python311Packages.genanki | |
aarch64-darwin python312Packages.types-aiobotocore-kinesisanalyticsv2 | |
x86_64-darwin haskellPackages.handa-data | |
x86_64-darwin librespeed-cli | |
x86_64-darwin hey | |
x86_64-darwin vimPlugins.supertab | |
x86_64-linux python311Packages.mypy-boto3-keyspaces | |
aarch64-linux woeusb | |
aarch64-linux ets | |
aarch64-linux python312Packages.tox | |
aarch64-darwin haskellPackages.fold-debounce | |
aarch64-darwin hash-identifier | |
x86_64-darwin python312Packages.colanderalchemy | |
aarch64-linux haskellPackages.fakedata | |
x86_64-linux python311Packages.findpython | |
aarch64-darwin haskellPackages.amazonka-kinesis-analytics | |
aarch64-darwin python312Packages.asyncserial | |
x86_64-darwin haskellPackages.hack | |
x86_64-linux linuxPackages.ixgbevf | |
x86_64-darwin perl538Packages.MailIMAPClient | |
x86_64-darwin postgresql15JitPackages.postgis | |
aarch64-darwin rubyPackages_3_2.jekyll-theme-primer | |
x86_64-linux python311Packages.pychannels | |
aarch64-darwin python311Packages.pytorch-lightning | |
aarch64-linux python311Packages.py-multicodec | |
aarch64-darwin python312Packages.btrfsutil | |
aarch64-darwin python312Packages.css-html-js-minify | |
aarch64-linux python311Packages.mpd2 | |
x86_64-linux python312Packages.django-mysql | |
aarch64-linux python312Packages.torchmetrics | |
aarch64-darwin pynitrokey | |
aarch64-linux azpainter | |
x86_64-linux python312Packages.survey | |
x86_64-linux luaPackages.luasql-sqlite3 | |
x86_64-linux python311Packages.hpp-fcl | |
x86_64-linux haskellPackages.amazonka-datapipeline | |
aarch64-linux key | |
x86_64-linux rubyPackages_3_2.actioncable | |
x86_64-darwin python311Packages.arrayqueues | |
x86_64-linux python312Packages.aioredis | |
x86_64-darwin python312Packages.faraday-plugins | |
x86_64-darwin python312Packages.packvers | |
aarch64-darwin opam2json | |
x86_64-linux cargo-ndk | |
x86_64-linux python312Packages.parameter-expansion-patched | |
x86_64-darwin python311Packages.mypy-boto3-elastictranscoder | |
x86_64-linux gnomeExtensions.kmonad-toggle | |
aarch64-darwin python311Packages.waitress-django | |
x86_64-darwin python311Packages.psrpcore | |
x86_64-linux haskellPackages.ebird-cli | |
aarch64-linux python311Packages.paste | |
x86_64-darwin python312Packages.configupdater | |
x86_64-darwin python311Packages.pook | |
x86_64-darwin quodlibet-full | |
x86_64-darwin emacsPackages.dot-mode | |
x86_64-darwin python311Packages.python-velbus | |
aarch64-darwin postgresql15Packages.pg_squeeze | |
x86_64-darwin moe | |
aarch64-darwin emacsPackages.company-posframe | |
aarch64-darwin emacsPackages.osx-browse | |
aarch64-darwin haskellPackages.gtk-traymanager | |
aarch64-darwin emacsPackages.leader-key | |
aarch64-darwin libxml2 | |
x86_64-linux python311Packages.flit | |
x86_64-darwin python312Packages.authcaptureproxy | |
aarch64-linux kns | |
x86_64-linux session-desktop | |
x86_64-linux emacsPackages.bufferbin | |
aarch64-darwin perl536Packages.ParseWin32Registry | |
x86_64-linux squid | |
aarch64-darwin bitcoind-knots | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.mermaid | |
x86_64-linux emacsPackages.flycheck-d-unittest | |
aarch64-linux emacsPackages.flycheck-swift3 | |
aarch64-darwin fastjet | |
x86_64-darwin libxc | |
x86_64-darwin emacsPackages.comment-tags | |
x86_64-darwin python311Packages.lark | |
x86_64-linux deadpixi-sam-unstable | |
aarch64-linux python312Packages.lazy-imports | |
aarch64-linux haskellPackages.simple-session | |
x86_64-linux azure-cli-extensions.sentinel | |
aarch64-linux python312Packages.python-libnmap | |
x86_64-darwin zstxtns-utils | |
aarch64-linux haskellPackages.control-event | |
aarch64-darwin rubyPackages_3_2.irb | |
aarch64-linux oxlint | |
aarch64-linux home-assistant-component-tests.senz | |
x86_64-linux emacsPackages.lsp-julia | |
aarch64-darwin python311Packages.mypy-boto3-dynamodb | |
x86_64-darwin python312Packages.beautysh | |
x86_64-linux emacsPackages.restart-emacs | |
aarch64-linux postgresql15JitPackages.lantern | |
x86_64-darwin cdogs-sdl | |
x86_64-linux cargo-watch | |
x86_64-linux tests.writers.bin.rust | |
x86_64-darwin terraform-providers.wavefront | |
x86_64-linux vimPlugins.zoxide-vim | |
aarch64-linux python311Packages.flake8-debugger | |
x86_64-linux dnsrecon | |
x86_64-darwin python311Packages.pyinsteon | |
aarch64-darwin schismtracker | |
aarch64-darwin termtekst | |
aarch64-linux vimPlugins.smartyank-nvim | |
aarch64-linux haskellPackages.natural-sort | |
aarch64-darwin emacsPackages.ido-hacks | |
aarch64-linux angie | |
aarch64-linux emacsPackages.helm-js-codemod | |
x86_64-linux gocyclo | |
aarch64-linux lemonbar | |
aarch64-darwin mdzk | |
aarch64-darwin python311Packages.google-cloud-secret-manager | |
aarch64-linux luajitPackages.penlight | |
x86_64-darwin python312Packages.cccolutils | |
x86_64-darwin python311Packages.mypy-boto3-chime-sdk-media-pipelines | |
aarch64-linux xfce.parole | |
aarch64-darwin python311Packages.ctranslate2 | |
aarch64-linux linuxPackages_5_4_hardened.bcc | |
x86_64-darwin python312Packages.crashtest | |
x86_64-darwin rubyPackages.rb-readline | |
aarch64-darwin garmintools | |
aarch64-darwin python312Packages.dnf4 | |
x86_64-darwin python311Packages.pysmartdl | |
x86_64-linux python312Packages.bme680 | |
x86_64-darwin perl536Packages.EvalSafe | |
aarch64-darwin mapserver | |
aarch64-darwin perl538Packages.vidir | |
x86_64-linux python311Packages.python-pipedrive | |
aarch64-darwin haskellPackages.jose-jwt | |
x86_64-linux xosview2 | |
x86_64-darwin emacsPackages.pr-review | |
aarch64-linux nix-health | |
x86_64-linux python311Packages.ijson | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.rtl8723ds | |
aarch64-linux linuxPackages_hardened.ithc | |
aarch64-darwin perl536Packages.AuthenPassphrase | |
x86_64-darwin haskellPackages.zeromq4-haskell | |
x86_64-linux postgresql16Packages.citus | |
x86_64-linux haskellPackages.phonetic-languages-phonetics-basics | |
x86_64-linux python312Packages.git-sweep | |
x86_64-linux plasma5Packages.colord-kde | |
x86_64-linux php83Extensions.pdo_odbc | |
x86_64-linux python312Packages.stringparser | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.mxu11x0 | |
aarch64-darwin rubyPackages.charlock_holmes | |
x86_64-linux prs | |
x86_64-linux haskellPackages.postgresql-simple-url | |
x86_64-darwin python311Packages.mypy-boto3-emr-serverless | |
x86_64-linux nvpy | |
x86_64-linux python312Packages.zigpy-zigate | |
aarch64-darwin libacr38u | |
x86_64-darwin xorg.xf86videovesa | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.tuxedo-keyboard | |
aarch64-darwin aw-watcher-window | |
x86_64-darwin python312Packages.pysimplesoap | |
aarch64-linux python311Packages.marshmallow-oneofschema | |
aarch64-linux emacsPackages.moe-theme | |
x86_64-linux python311Packages.pydsdl | |
x86_64-darwin haskellPackages.asn1-parse | |
aarch64-linux linuxKernel.packages.linux_6_6.perf | |
aarch64-linux emacsPackages.naquadah-theme | |
x86_64-darwin python311Packages.pytest-harvest | |
x86_64-linux linuxKernel.packages.linux_libre.rtl8188eus-aircrack | |
aarch64-linux mastotool | |
x86_64-linux python311Packages.pythonocc-core | |
aarch64-darwin dotnet-aspnetcore_8 | |
x86_64-linux gnomeExtensions.containers | |
x86_64-linux emacsPackages.ob-ess-julia | |
x86_64-darwin emacsPackages.perspective-exwm | |
x86_64-linux python311Packages.luftdaten | |
x86_64-linux git-secrets | |
aarch64-linux python312Packages.gps3 | |
x86_64-linux haskellPackages.QuickCheck | |
x86_64-linux emacsPackages.denote | |
x86_64-darwin emacsPackages.lister | |
aarch64-darwin emacsPackages.dim-autoload | |
x86_64-linux emacsPackages.poker | |
x86_64-darwin haskellPackages.xmlbf | |
x86_64-darwin comby | |
x86_64-linux python311Packages.amaranth-soc | |
x86_64-linux maestral | |
aarch64-linux suitesparse | |
aarch64-darwin python311Packages.typical | |
aarch64-linux emacsPackages.keg-mode | |
x86_64-darwin perl536Packages.Corona | |
x86_64-darwin perl538Packages.NumberPhone | |
x86_64-darwin python311Packages.ofxtools | |
aarch64-linux resonance | |
x86_64-darwin emacsPackages.sqlite | |
aarch64-darwin mspdebug | |
aarch64-darwin perl538Packages.NetAsyncHTTP | |
aarch64-darwin haskellPackages.symbols | |
aarch64-linux epkowa | |
aarch64-linux azure-cli-extensions.amg | |
aarch64-darwin haskellPackages.inline-c | |
x86_64-linux python312Packages.pysmf | |
aarch64-darwin python312Packages.sphinxcontrib-excel-table | |
x86_64-darwin unp | |
aarch64-linux python311Packages.sshpubkeys | |
x86_64-linux python312Packages.meteocalc | |
aarch64-darwin python312Packages.git-sweep | |
aarch64-darwin python312Packages.pytest-xprocess | |
aarch64-darwin python311Packages.types-aiobotocore-glacier | |
aarch64-darwin pgpool | |
aarch64-darwin python311Packages.takethetime | |
aarch64-darwin vimPlugins.clever-f-vim | |
aarch64-linux python311Packages.rnginline | |
aarch64-darwin python311Packages.pypng | |
x86_64-linux python311Packages.wasmerPackages.wasmer | |
x86_64-linux prometheus-script-exporter | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.poe_filter | |
aarch64-linux emacsPackages.karma | |
aarch64-linux emacsPackages.magit-find-file | |
aarch64-linux haskellPackages.xeno | |
aarch64-darwin haskellPackages.semver | |
aarch64-linux ciel | |
x86_64-linux emacsPackages.helm-esa | |
x86_64-linux lua54Packages.binaryheap | |
aarch64-linux route-graph | |
x86_64-darwin xorg.xfsinfo | |
x86_64-linux azure-cli-extensions.authV2 | |
x86_64-linux emacsPackages.ott-mode | |
aarch64-linux python311Packages.platformdirs | |
x86_64-darwin python311Packages.grpcio-gcp | |
x86_64-darwin emacsPackages.poly-ansible | |
aarch64-linux xorg.xcbutilkeysyms | |
x86_64-linux luaPackages.lmathx | |
aarch64-linux haskellPackages.silently | |
aarch64-linux python311Packages.dynalite-panel | |
x86_64-darwin kdePackages.quazip | |
aarch64-darwin haskellPackages.memzero | |
aarch64-darwin python311Packages.python-arango | |
x86_64-linux subsonic | |
x86_64-linux python312Packages.gehomesdk | |
aarch64-darwin emacsPackages.sdcv | |
aarch64-linux dcrwallet | |
aarch64-darwin python312Packages.jupyter-packaging | |
aarch64-linux kdePackages.kmouth | |
x86_64-darwin mopidy-spotify | |
aarch64-linux plasma5Packages.alligator | |
aarch64-darwin gnome.gnome-robots | |
x86_64-linux lua54Packages.luabitop | |
aarch64-linux lil-pwny | |
aarch64-darwin python312Packages.python-snap7 | |
aarch64-linux python311Packages.geopandas | |
x86_64-linux haskellPackages.text-latin1 | |
aarch64-linux linuxKernel.packages.linux_4_19.shufflecake | |
aarch64-linux python311Packages.mac-alias | |
aarch64-linux ani-cli | |
x86_64-darwin haskellPackages.bytezap | |
aarch64-linux emacsPackages.ibuffer-sidebar | |
aarch64-linux openrct2 | |
x86_64-linux vimPlugins.vim-signature | |
aarch64-linux emacsPackages.zpl-mode | |
aarch64-darwin vimPlugins.tremor-vim | |
x86_64-darwin chickenPackages_5.chickenEggs.bencode | |
aarch64-linux python312Packages.django-scopes | |
x86_64-darwin libtorrent-rasterbar | |
x86_64-darwin libsForQt5.kdbusaddons | |
x86_64-darwin haskellPackages.uncaught-exception | |
aarch64-linux emanote | |
aarch64-darwin emacsPackages.flymake | |
aarch64-linux haskellPackages.pagure | |
x86_64-darwin python311Packages.fastapi-sso | |
aarch64-linux postgresql13Packages.cstore_fdw | |
x86_64-linux python311Packages.pyversasense | |
x86_64-darwin haskellPackages.streaming-eversion | |
x86_64-linux linuxPackages_xanmod_stable.drbd | |
x86_64-linux vimPlugins.vim-swap | |
x86_64-linux linuxPackages_latest.nvidia_x11_beta_open | |
x86_64-linux rocmPackages.miopen-hip | |
x86_64-linux rocmPackages_6.roctracer | |
x86_64-darwin python312Packages.ephemeral-port-reserve | |
x86_64-linux haskellPackages.machines | |
aarch64-linux python311Packages.referencing | |
aarch64-linux emacsPackages.devdocs-browser | |
x86_64-linux radeontools | |
x86_64-darwin Xaw3d | |
x86_64-linux algol68g | |
aarch64-linux yamlfix | |
x86_64-darwin python312Packages.types-aiobotocore-docdb-elastic | |
x86_64-darwin python312Packages.sphinxcontrib-serializinghtml | |
aarch64-linux python312Packages.azure-mgmt-powerbiembedded | |
aarch64-linux xorg.bdftopcf | |
aarch64-darwin perl536Packages.ImageOCRTesseract | |
x86_64-linux nix-du | |
aarch64-linux pidginPackages.pidgin-latex | |
x86_64-linux gaphor | |
aarch64-darwin python311Packages.adext | |
aarch64-linux gnomeExtensions.no-title-bar | |
aarch64-linux git-nomad | |
aarch64-linux haskellPackages.bytestring-strict-builder | |
x86_64-linux heimdall-gui | |
aarch64-linux lua54Packages.telescope-manix | |
x86_64-linux mariadb_105 | |
x86_64-darwin python312Packages.click-help-colors | |
x86_64-linux sbclPackages.cl-syntax | |
x86_64-linux llvmPackages_12.llvm | |
x86_64-darwin haskellPackages.amazonka-signer | |
x86_64-darwin haskellPackages.amazonka-sagemaker-metrics | |
aarch64-linux haskellPackages.hs-opentelemetry-instrumentation-postgresql-simple | |
x86_64-linux haskellPackages.hspec-discover | |
x86_64-darwin git-credential-gopass | |
aarch64-darwin emacs29-macport | |
x86_64-linux emacsPackages.feebleline | |
x86_64-linux haskellPackages.SDL-image | |
x86_64-linux python312Packages.pyside2-tools | |
aarch64-linux haskellPackages.th-strict-compat | |
aarch64-linux python312Packages.simpful | |
x86_64-darwin python311Packages.pyqt6-webengine | |
aarch64-linux python311Packages.qualysclient | |
x86_64-linux pbpctrl | |
aarch64-darwin python311Packages.dirtyjson | |
x86_64-linux cozy-drive | |
x86_64-darwin haskellPackages.probability | |
aarch64-linux python312Packages.ovh | |
aarch64-darwin rubyPackages.rails-html-sanitizer | |
aarch64-darwin emacsPackages.shackle | |
aarch64-linux emacsPackages.evil-lisp-state | |
x86_64-linux imwheel | |
x86_64-linux cockatrice | |
x86_64-darwin emacsPackages.loop | |
aarch64-darwin elmPackages.elm-xref | |
aarch64-darwin python312Packages.vsure | |
aarch64-linux rke2 | |
x86_64-darwin python311Packages.awesome-slugify | |
x86_64-linux python312Packages.schemainspect | |
x86_64-linux ayu-theme-gtk | |
aarch64-linux home-assistant-component-tests.mullvad | |
x86_64-darwin radare2 | |
x86_64-linux uhk-agent | |
aarch64-linux python312Packages.rlp | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.bitbake | |
x86_64-darwin llvmPackages_16.llvm | |
aarch64-darwin emacsPackages.ddskk | |
aarch64-linux python311Packages.dash-html-components | |
aarch64-linux python311Packages.colored | |
aarch64-linux python312Packages.volatile | |
x86_64-darwin python312Packages.types-appdirs | |
x86_64-linux haskellPackages.fail | |
aarch64-linux sipwitch | |
x86_64-linux sbclPackages.cl-unicode | |
x86_64-darwin wordpress6_5 | |
x86_64-linux home-assistant-component-tests.blebox | |
x86_64-darwin ogre | |
aarch64-darwin haskellPackages.immortal | |
x86_64-darwin gnome.gnome-maps | |
aarch64-linux python311Packages.pysychonaut | |
x86_64-linux haskellPackages.prettyprinter-compat-ansi-wl-pprint | |
x86_64-linux rocmPackages_6.llvm.lld | |
x86_64-linux python312Packages.testtools | |
aarch64-linux haskellPackages.zip-cmd | |
x86_64-darwin python312Packages.types-aiobotocore-chime-sdk-media-pipelines | |
x86_64-linux coqPackages.vcfloat | |
x86_64-darwin haskellPackages.turtle | |
x86_64-linux eww | |
aarch64-linux qt6Packages.qt5compat | |
aarch64-linux python311Packages.tokenlib | |
aarch64-darwin jwt-cli | |
aarch64-linux python311Packages.rfc3339 | |
aarch64-linux dhcpcd | |
x86_64-linux linuxKernel.packages.linux_xanmod.rtl88x2bu | |
aarch64-linux python311Packages.pynose | |
aarch64-linux python312Packages.pywaze | |
x86_64-darwin python311Packages.sharp-aquos-rc | |
x86_64-darwin haskellPackages.vector-quicksort | |
x86_64-darwin rubyPackages_3_2.rails | |
x86_64-darwin watchman | |
x86_64-linux nasmfmt | |
x86_64-darwin python312Packages.icontract | |
x86_64-darwin soundmodem | |
aarch64-darwin python312Packages.nbexec | |
x86_64-darwin perl536Packages.ExtUtilsTypemapsDefault | |
aarch64-darwin dotnetCorePackages.sdk_6_0 | |
x86_64-linux gnomeExtensions.logout-button-on-panel | |
x86_64-linux python312Packages.xcffib | |
aarch64-linux liblapack | |
aarch64-darwin python311Packages.types-aiobotocore-elb | |
x86_64-darwin haskellPackages.network-uri-static | |
aarch64-darwin wavm | |
aarch64-darwin python312Packages.pylibftdi | |
x86_64-linux python311Packages.cx-oracle | |
aarch64-linux emacsPackages.sideline | |
x86_64-darwin editline | |
aarch64-darwin s6-networking-man-pages | |
x86_64-linux linuxKernel.packages.linux_6_8.ax99100 | |
x86_64-linux python311Packages.astropy-healpix | |
aarch64-darwin lua53Packages.luazip | |
aarch64-darwin switcheroo | |
x86_64-linux pcm | |
aarch64-linux rubyPackages_3_3.unf | |
aarch64-linux rubyPackages_3_2.prettier_print | |
aarch64-linux kail | |
aarch64-linux seehecht | |
aarch64-linux python311Packages.python-opendata-transport | |
aarch64-linux linuxKernel.packages.linux_xanmod.system76-scheduler | |
x86_64-linux linuxKernel.packages.linux_6_6.kvmfr | |
x86_64-darwin lite-xl | |
aarch64-darwin luajitPackages.luassert | |
aarch64-darwin python312Packages.ciscomobilityexpress | |
x86_64-darwin perl536Packages.ImagerQRCode | |
x86_64-darwin luajitPackages.luaexpat | |
aarch64-darwin rubyPackages.timeout | |
aarch64-darwin python311Packages.types-aiobotocore-elastic-inference | |
aarch64-darwin python312Packages.pysnmp-pyasn1 | |
aarch64-darwin sampradaya | |
x86_64-darwin perl538Packages.BHooksOPCheck | |
x86_64-linux python312Packages.libsass | |
aarch64-linux vimPlugins.dressing-nvim | |
x86_64-darwin emacsPackages.enwc | |
x86_64-linux paco | |
aarch64-darwin python311Packages.snakemake-storage-plugin-s3 | |
aarch64-linux topgrade | |
x86_64-darwin python312Packages.humblewx | |
x86_64-linux home-assistant-component-tests.emulated_hue | |
aarch64-linux python312Packages.googletrans | |
aarch64-linux ngrep | |
x86_64-darwin terraform-providers.bigip | |
x86_64-darwin python311Packages.pyvis | |
aarch64-darwin gotests | |
aarch64-darwin python312Packages.simpleeval | |
x86_64-darwin python311Packages.rjpl | |
aarch64-linux haskellPackages.listsafe | |
x86_64-darwin tryton | |
x86_64-linux optifinePackages.optifine_1_13 | |
x86_64-darwin sipp | |
aarch64-linux emacsPackages.spotify | |
aarch64-linux python312Packages.pytest-pylint | |
aarch64-linux snapper | |
aarch64-linux onnxruntime | |
x86_64-darwin python312Packages.pygame | |
x86_64-linux linuxKernel.packages.linux_6_8.phc-intel | |
x86_64-linux rubyPackages_3_2.whois | |
x86_64-darwin grex | |
x86_64-darwin python312Packages.types-aiobotocore-sagemaker-a2i-runtime | |
x86_64-darwin python311Packages.gurobipy | |
aarch64-darwin tests.testers.nixosTest-example | |
aarch64-darwin haskellPackages.locators | |
x86_64-linux gnatPackages.gnatcoll-syslog | |
x86_64-linux linuxPackages_latest.jool | |
x86_64-linux python311Packages.epion | |
aarch64-darwin python312Packages.mailcap-fix | |
x86_64-linux python312Packages.pynvim | |
aarch64-linux haskellPackages.Vec | |
aarch64-linux python312Packages.google-cloud-tasks | |
x86_64-darwin python312Packages.asyauth | |
x86_64-darwin adapta-backgrounds | |
aarch64-darwin python311Packages.graphviz | |
aarch64-darwin haskellPackages.hlex | |
x86_64-darwin haskellPackages.HsSyck | |
aarch64-darwin haskellPackages.vcs-ignore | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.rtl8189fs | |
aarch64-linux haskellPackages.servant-queryparam-core | |
x86_64-darwin ankisyncd | |
aarch64-linux pantheon.switchboard | |
aarch64-darwin terraformer | |
aarch64-linux tml | |
aarch64-linux radeon-profile | |
x86_64-linux python311Packages.mypy-boto3-resourcegroupstaggingapi | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.php_only | |
x86_64-linux pprof | |
aarch64-darwin python312Packages.types-aiobotocore-applicationcostprofiler | |
x86_64-darwin gargoyle | |
x86_64-linux vimPlugins.peskcolor-vim | |
aarch64-linux haskellPackages.ghc | |
x86_64-linux icdiff | |
x86_64-linux haskellPackages.interlude | |
aarch64-darwin perl538Packages.MIMELite | |
x86_64-linux vimPlugins.vim-pandoc | |
aarch64-linux python311Packages.python-musicpd | |
aarch64-linux senpai | |
aarch64-darwin python311Packages.dotmap | |
x86_64-darwin haskellPackages.prefetch | |
aarch64-darwin vimPlugins.vim-gitbranch | |
aarch64-linux texture-synthesis | |
aarch64-darwin dashing | |
x86_64-linux python311Packages.quart-cors | |
aarch64-darwin rocksdb_7_10 | |
x86_64-darwin perl538Packages.CryptX509 | |
x86_64-darwin emacsPackages.highlight-doxygen | |
aarch64-darwin python312Packages.geant4 | |
x86_64-linux texliveConTeXt | |
x86_64-darwin vimPlugins.MatchTagAlways | |
aarch64-linux python312Packages.papis-python-rofi | |
aarch64-darwin clang-tools | |
x86_64-darwin python312Packages.ctap-keyring-device | |
aarch64-darwin tau-hydrogen | |
x86_64-linux haskell.compiler.native-bignum.ghc982 | |
x86_64-linux postgresql14JitPackages.periods | |
aarch64-linux python311Packages.agent-py | |
x86_64-linux python312Packages.urlextract | |
aarch64-linux helvum | |
x86_64-linux python311Packages.jupyterlab-pygments | |
aarch64-darwin zsh-autocomplete | |
x86_64-linux emacsPackages.evil-matchit | |
x86_64-linux plasma5Packages.kglobalaccel | |
aarch64-linux python312Packages.newversion | |
aarch64-linux homeassistant-satellite | |
aarch64-linux postgresql14Packages.pg_safeupdate | |
x86_64-darwin optifinePackages.optifine_1_8_0 | |
aarch64-darwin haskell.compiler.native-bignum.ghc902 | |
aarch64-linux idasen | |
aarch64-linux goxlr-utility | |
x86_64-darwin apacheHttpdPackages_2_4.php | |
aarch64-linux python312Packages.django-admin-sortable2 | |
x86_64-linux haskellPackages.monad-bool | |
x86_64-linux gtkwave | |
aarch64-linux parallel-disk-usage | |
aarch64-darwin oauth2c | |
aarch64-darwin atlantis | |
x86_64-linux python312Packages.readabilipy | |
aarch64-darwin emacsPackages.idle-org-agenda | |
aarch64-darwin martin | |
x86_64-linux python311Packages.mujoco | |
aarch64-darwin rubyPackages.actioncable | |
aarch64-darwin glas | |
aarch64-darwin rubyPackages.concurrent-ruby | |
x86_64-darwin elasticsearchPlugins.discovery-ec2 | |
x86_64-linux vdrPlugins.softhddevice | |
x86_64-darwin spidermonkey_102 | |
x86_64-darwin python311Packages.django | |
x86_64-darwin python311Packages.tblib | |
x86_64-linux haskellPackages.shqq | |
aarch64-linux home-assistant-component-tests.lyric | |
x86_64-darwin python312Packages.skia-pathops | |
x86_64-darwin python312Packages.eval-type-backport | |
aarch64-darwin emacsPackages.vdm-comint | |
aarch64-linux hurl | |
aarch64-linux plasma5Packages.phonon | |
aarch64-darwin python311Packages.eth-typing | |
x86_64-darwin rubyPackages_3_3.markaby | |
x86_64-darwin vimPlugins.cheatsheet-nvim | |
x86_64-linux home-assistant-component-tests.conversation | |
x86_64-linux python312Packages.flask-jwt-extended | |
aarch64-linux idrisPackages.software_foundations | |
x86_64-darwin veilid | |
x86_64-linux haskellPackages.predicates | |
x86_64-darwin apacheHttpdPackages.mod_itk | |
aarch64-linux python311Packages.dungeon-eos | |
aarch64-darwin python311Packages.succulent | |
aarch64-linux python312Packages.trio-asyncio | |
aarch64-darwin python311Packages.remarshal | |
aarch64-darwin perl536Packages.TestPodNo404s | |
aarch64-darwin haskellPackages.nyan-interpolation-core | |
x86_64-linux libappindicator-gtk2 | |
aarch64-darwin rubyPackages_3_3.ffi | |
x86_64-darwin haskellPackages.log-effectful | |
x86_64-linux nlopt | |
aarch64-linux python312Packages.wtforms-bootstrap5 | |
aarch64-linux python311Packages.pymodbus | |
x86_64-darwin tivodecode | |
aarch64-darwin perl536Packages.DBIxClassCandy | |
aarch64-darwin vimPlugins.ncm2-path | |
x86_64-linux ripes | |
x86_64-linux rubyPackages_3_2.sequel | |
x86_64-linux libsForQt5.akonadi-contacts | |
aarch64-darwin blocky | |
x86_64-linux gammastep | |
aarch64-darwin emacsPackages.versuri | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.yang | |
aarch64-darwin haskellPackages.amazonka-memorydb | |
aarch64-linux linuxPackages.nvidia_x11_beta_open | |
x86_64-darwin python312Packages.pygetwindow | |
x86_64-linux home-assistant-component-tests.thermopro | |
aarch64-linux cudaPackagesGoogle.cutensor_1_6 | |
x86_64-linux gnatPackages.gnatcoll-readline | |
x86_64-darwin python311Packages.zfec | |
aarch64-darwin python312Packages.sphinxcontrib-qthelp | |
aarch64-darwin haskellPackages.chronologique | |
x86_64-darwin idrisPackages.pruviloj | |
aarch64-linux python312Packages.character-encoding-utils | |
aarch64-linux emacsPackages.skerrick | |
aarch64-linux haskellPackages.jord | |
aarch64-darwin python311Packages.mypy-boto3-s3 | |
aarch64-darwin limesurvey | |
aarch64-linux python312Packages.tensorflow-probability | |
aarch64-darwin emacsPackages.smarty-mode | |
x86_64-darwin perl538Packages.CanaryStability | |
x86_64-darwin perl536Packages.LWPxParanoidAgent | |
x86_64-linux lua53Packages.fluent | |
aarch64-linux haskellPackages.temporary | |
aarch64-darwin rubyPackages_3_2.pg | |
x86_64-darwin pwntools | |
aarch64-darwin python311Packages.diff-cover | |
x86_64-linux python311Packages.snorkel | |
x86_64-darwin python312Packages.jupyter-repo2docker | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.cairo | |
aarch64-linux haskellPackages.hashing | |
aarch64-darwin rubyPackages.jekyll-titles-from-headings | |
aarch64-darwin perl536Packages.Version | |
aarch64-darwin python311Packages.progressbar33 | |
aarch64-linux sshfs | |
x86_64-linux python312Packages.molecule-plugins | |
x86_64-darwin webfs | |
x86_64-darwin llvmPackages_13.clangNoCompilerRt | |
aarch64-darwin plasma5Packages.kpurpose | |
x86_64-linux python311Packages.aiowaqi | |
aarch64-darwin python311Packages.rfc3339-validator | |
x86_64-linux home-assistant-component-tests.pi_hole | |
x86_64-darwin owncast | |
aarch64-linux python312Packages.pycups | |
aarch64-darwin libsForQt5.qtquickcontrols2 | |
aarch64-linux dav1d | |
aarch64-linux haskellPackages.base91 | |
x86_64-linux filebrowser | |
aarch64-darwin emacsPackages.elpl | |
x86_64-darwin genromfs | |
aarch64-linux haskellPackages.wd | |
aarch64-darwin perl536Packages.BarcodeZBar | |
x86_64-darwin emacsPackages.latex-unicode-math-mode | |
x86_64-darwin python312Packages.openwrt-ubus-rpc | |
aarch64-linux emacsPackages.smart-dash | |
x86_64-darwin haskellPackages.invertible-hxt | |
x86_64-darwin xearth | |
x86_64-linux python312Packages.nftables | |
aarch64-linux linuxKernel.packages.linux_xanmod_stable.rtl8192eu | |
x86_64-darwin perl536Packages.Importer | |
x86_64-darwin python311Packages.robotframework-sshlibrary | |
x86_64-linux updog | |
x86_64-linux emacsPackages.cypher-mode | |
aarch64-linux hydron | |
aarch64-linux python311Packages.imantics | |
aarch64-darwin python312Packages.inform | |
x86_64-darwin python312Packages.python-box | |
x86_64-linux rubyPackages_3_3.unf_ext | |
aarch64-darwin norwester-font | |
aarch64-darwin python312Packages.usb-devices | |
aarch64-darwin xorg.xcbproto | |
aarch64-linux postgresqlPackages.h3-pg | |
aarch64-linux python311Packages.atpublic | |
aarch64-linux linuxKernel.packages.linux_lqx.gasket | |
x86_64-linux vimPlugins.asyncomplete-omni-vim | |
aarch64-darwin haskellPackages.tw | |
aarch64-linux linuxPackages.rtl8821ce | |
aarch64-darwin python312Packages.types-aiobotocore-pinpoint | |
x86_64-linux haskellPackages.ghcjs-websockets | |
aarch64-linux haskellPackages.zip-archive | |
aarch64-linux lomiri.mediascanner2 | |
x86_64-linux lua51Packages.lualdap | |
aarch64-darwin rubyPackages.connection_pool | |
aarch64-linux rubyPackages_3_3.jekyll-default-layout | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.evdi | |
x86_64-linux nyx | |
aarch64-darwin minecraftServers.vanilla-1-5 | |
x86_64-darwin python312Packages.diagrams | |
x86_64-linux python312Packages.withings-sync | |
x86_64-linux dayon | |
x86_64-darwin haskellPackages.parametric-functor | |
x86_64-darwin vimPlugins.iosvkem | |
x86_64-linux vimPlugins.vim-unicoder | |
x86_64-linux python311Packages.opentypespec | |
aarch64-darwin python311Packages.m2r | |
aarch64-linux amber-secret | |
aarch64-darwin python311Packages.ratelim | |
x86_64-darwin python312Packages.flask | |
x86_64-darwin vale | |
aarch64-darwin go-bare | |
x86_64-darwin python311Packages.tatsu | |
x86_64-linux python312Packages.soundfile | |
x86_64-darwin haskellPackages.stompl | |
aarch64-darwin vimPlugins.impatient-nvim | |
x86_64-darwin python311Packages.types-aiobotocore-simspaceweaver | |
x86_64-darwin haskellPackages.unleash-client-haskell-core | |
aarch64-linux rst2pdf | |
x86_64-darwin python311Packages.gibberish-detector | |
aarch64-linux emacsPackages.sixcolors-mode | |
aarch64-linux python311Packages.pylutron-caseta | |
x86_64-linux haskellPackages.polyToMonoid | |
aarch64-linux python312Packages.pybrowsers | |
x86_64-darwin ipinfo | |
x86_64-darwin spacecookie | |
aarch64-darwin pycflow2dot | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.scfg | |
aarch64-linux matcha-rss-digest | |
aarch64-darwin faust | |
x86_64-linux python312Packages.quantum-gateway | |
x86_64-darwin haskellPackages.amazonka-autoscaling-plans | |
aarch64-linux python311Packages.floret | |
aarch64-linux zombietrackergps | |
x86_64-darwin emacsPackages.octopress | |
x86_64-darwin perl536Packages.Appperlbrew | |
aarch64-darwin emacsPackages.howdoi | |
aarch64-darwin haskellPackages.tailfile-hinotify | |
aarch64-linux haskellPackages.tau | |
aarch64-linux tests.hardeningFlags.fortifyStdenvUnsupp | |
x86_64-darwin python312Packages.rising | |
aarch64-darwin brill | |
x86_64-darwin python312Packages.clickgen | |
x86_64-darwin python312Packages.pyglet | |
x86_64-darwin perl536Packages.FormValidatorSimple | |
x86_64-darwin python311Packages.types-aiobotocore-kinesis-video-signaling | |
aarch64-darwin blas-ilp64 | |
x86_64-linux lua51Packages.image-nvim | |
x86_64-darwin frigate | |
aarch64-darwin python311Packages.microsoft-kiota-serialization-text | |
aarch64-linux python312Packages.curio | |
aarch64-darwin vimPlugins.vim-lion | |
x86_64-linux luajitPackages.luv | |
x86_64-linux emacsPackages.flx-isearch | |
x86_64-linux llvmPackages_13.compiler-rt | |
x86_64-darwin vimPlugins.kommentary | |
x86_64-linux linuxPackages_5_4_hardened.lttng-modules | |
aarch64-darwin emacsPackages.common-lisp-snippets | |
aarch64-linux vimPlugins.rainbow-delimiters-nvim | |
x86_64-linux idrisPackages.semidirect | |
x86_64-darwin inav-blackbox-tools | |
aarch64-darwin bkt | |
x86_64-darwin emacsPackages.snapshot-timemachine-rsnapshot | |
x86_64-linux isabelle | |
x86_64-linux python311Packages.asyncio-rlock | |
x86_64-darwin postgresql_15 | |
aarch64-linux python312Packages.py-air-control | |
aarch64-darwin vimPlugins.open-browser-github-vim | |
aarch64-darwin haskellPackages.emoji | |
x86_64-linux mautrix-whatsapp | |
aarch64-darwin python311Packages.cu2qu | |
x86_64-linux fish | |
aarch64-darwin python311Packages.mypy-boto3-medialive | |
aarch64-darwin haskellPackages.bcp47 | |
aarch64-darwin python311Packages.mypy-boto3-devops-guru | |
aarch64-linux azure-cli-extensions.workloads | |
aarch64-linux emacsPackages.jsfmt | |
aarch64-linux linuxPackages.nvidia_x11_production_open | |
x86_64-darwin haskellPackages.amazonka-emr-containers | |
aarch64-darwin clojure-lsp | |
aarch64-linux emacsPackages.sideline-flycheck | |
aarch64-darwin rubyPackages_3_1.dip | |
aarch64-linux unbook | |
x86_64-darwin perl538Packages.ExtUtilsInstallPaths | |
aarch64-darwin emacsPackages.flycheck-coverity | |
aarch64-darwin python312Packages.pygments | |
aarch64-linux vimPlugins.vim-jsonnet | |
x86_64-darwin emacsPackages.tco | |
x86_64-darwin rubyPackages_3_1.rubyzip | |
aarch64-linux linuxPackages_xanmod.oci-seccomp-bpf-hook | |
x86_64-linux cbatticon | |
aarch64-linux csvkit | |
aarch64-linux fantomas | |
x86_64-linux python311Packages.klein | |
x86_64-linux linuxPackages_4_19_hardened.virtio_vmmci | |
x86_64-darwin postgresql_16_jit | |
aarch64-darwin rubyPackages_3_1.syntax_tree-rbs | |
x86_64-linux antibody | |
x86_64-linux linuxPackages_latest.decklink | |
x86_64-linux emacsPackages.pod-mode | |
x86_64-darwin python312Packages.pinecone-client | |
aarch64-darwin haskellPackages.ua-parser | |
aarch64-darwin python311Packages.pydateinfer | |
aarch64-linux emacsPackages.vertigo | |
x86_64-linux linuxPackages_5_15_hardened.nvidia_x11_vulkan_beta_open | |
x86_64-linux emacsPackages.mustang-theme | |
aarch64-darwin vimPlugins.vim-ocaml | |
x86_64-linux haskellPackages.mnist-idx-conduit | |
aarch64-darwin aspellDicts.ml | |
x86_64-darwin perl536Packages.GSSAPI | |
x86_64-linux emacsPackages.liberime | |
x86_64-linux haskellPackages.lift-generics | |
aarch64-darwin python312Packages.pyls-memestra | |
x86_64-darwin qt5.qtsystems | |
x86_64-linux perl536Packages.Appperlbrew | |
aarch64-linux python312Packages.azure-mgmt-apimanagement | |
aarch64-darwin guile-fibers | |
x86_64-linux rex | |
x86_64-linux haskellPackages.commander-cli | |
x86_64-linux netevent | |
aarch64-darwin libretro.beetle-pcfx | |
aarch64-linux python311Packages.govee-ble | |
x86_64-linux linuxPackages_xanmod.rtl8821ce | |
aarch64-darwin emacsPackages.org-recent-headings | |
x86_64-linux linuxKernel.packages.linux_5_4.xone | |
aarch64-linux opencv2 | |
x86_64-darwin terraform-providers.jetstream | |
aarch64-darwin trillian | |
aarch64-darwin perl536Packages.CompressRawBzip2 | |
x86_64-linux haskellPackages.libsodium-bindings | |
x86_64-darwin python312Packages.mypy-boto3-cloudhsm | |
aarch64-linux hpp-fcl | |
x86_64-linux llvmPackages_16.clangNoLibcxx | |
aarch64-darwin alt-ergo | |
aarch64-darwin moosefs | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.asm | |
aarch64-darwin h3_3 | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.qmldir | |
x86_64-linux nix-tree | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.qmldir | |
aarch64-darwin gpgme | |
aarch64-darwin haskellPackages.sha1 | |
aarch64-darwin aucatctl | |
x86_64-darwin postgresql15Packages.citus | |
x86_64-linux haskellPackages.hs-bibutils | |
aarch64-darwin libarchive | |
x86_64-darwin ledger | |
x86_64-linux tests.hardeningFlags.relROExplicitDisabled | |
x86_64-linux python312Packages.types-html5lib | |
aarch64-darwin haskellPackages.preprocessor-tools | |
aarch64-linux emacsPackages.autoscratch | |
x86_64-linux fmsynth | |
aarch64-darwin haskellPackages.positive | |
x86_64-linux emacsPackages.northcode-theme | |
aarch64-linux kubelogin | |
x86_64-linux sbclPackages.cl-mustache | |
aarch64-darwin libsForQt5.kemoticons | |
aarch64-darwin python311Packages.pytest-aiohttp | |
x86_64-linux pzip | |
aarch64-linux haskellPackages.rtld | |
x86_64-linux haskellPackages.bzip2-clib | |
x86_64-darwin haskellPackages.hs-opentelemetry-vendor-honeycomb | |
x86_64-darwin gambit | |
aarch64-darwin python312Packages.smpplib | |
x86_64-darwin perl538Packages.CatalystPluginLogHandler | |
x86_64-linux python312Packages.musicbrainzngs | |
x86_64-linux asn | |
aarch64-linux shades-of-gray-theme | |
aarch64-linux linuxKernel.packages.linux_zen.acpi_call | |
x86_64-darwin haskellPackages.amazonka-panorama | |
x86_64-darwin python311Packages.sphinxcontrib-apidoc | |
x86_64-darwin mpvScripts.sponsorblock-minimal | |
x86_64-darwin python312Packages.xvfbwrapper | |
aarch64-linux python311Packages.mscerts | |
x86_64-linux emacsPackages.pcsv | |
aarch64-darwin goperf | |
x86_64-darwin maigret | |
x86_64-linux python312Packages.aiounittest | |
aarch64-darwin emacsPackages.initsplit | |
x86_64-linux sqlboiler | |
aarch64-darwin python312Packages.dash-renderer | |
aarch64-linux python311Packages.hypothesmith | |
aarch64-darwin python311Packages.psd-tools | |
x86_64-darwin rubyPackages_3_2.polyglot | |
x86_64-darwin python311Packages.sphinxext-opengraph | |
x86_64-linux kdePackages.kdesdk-thumbnailers | |
aarch64-linux python311Packages.service-identity | |
x86_64-darwin python311Packages.weboob | |
aarch64-linux qt5.qtremoteobjects | |
x86_64-darwin tests.cuda.cudaPackages_11_3.saxpy | |
x86_64-linux python312Packages.pyscaffold | |
aarch64-darwin blflash | |
aarch64-darwin emacsPackages.ox-ioslide | |
aarch64-linux linuxPackages_zen.ithc | |
x86_64-darwin haskellPackages.amazonka-applicationcostprofiler | |
x86_64-linux python312Packages.mypy-boto3-shield | |
x86_64-linux python311Packages.freetype-py | |
x86_64-darwin haskellPackages.ListLike | |
x86_64-darwin python312Packages.oauth | |
x86_64-darwin topfew-rs | |
x86_64-darwin python312Packages.repoze-sphinx-autointerface | |
x86_64-linux tuxclocker-plugins-with-unfree | |
x86_64-linux postgresql14JitPackages.rum | |
aarch64-darwin python312Packages.aiowebostv | |
x86_64-darwin nasin-nanpa | |
x86_64-linux python311Packages.cvelib | |
aarch64-linux linuxPackages.nvidia_x11_vulkan_beta_open | |
x86_64-linux emacsPackages.ctune | |
x86_64-linux webmacs | |
aarch64-linux python312Packages.aionanoleaf | |
aarch64-linux python312Packages.py-partiql-parser | |
aarch64-darwin haskellPackages.hpc-strobe | |
x86_64-darwin perl536Packages.RESTUtils | |
x86_64-linux emacsPackages.test-simple | |
x86_64-darwin perl538Packages.FileSlurp | |
x86_64-linux superiotool | |
x86_64-darwin ghz | |
x86_64-darwin perl538Packages.IOCompress | |
aarch64-linux haskellPackages.alternators | |
aarch64-linux python311Packages.txtai | |
aarch64-darwin perl536Packages.ParallelLoops | |
x86_64-darwin emacsPackages.cljsbuild-mode | |
x86_64-linux python312Packages.pyfxa | |
x86_64-linux linuxPackages_xanmod_stable.qc71_laptop | |
aarch64-darwin libremidi | |
x86_64-darwin emacsPackages.dispass | |
aarch64-linux python312Packages.pyoverkiz | |
x86_64-linux haskellPackages.linear-base | |
x86_64-linux haskellPackages.pbkdf | |
aarch64-linux haskellPackages.linux-inotify | |
aarch64-darwin python312Packages.azure-mgmt-trafficmanager | |
aarch64-darwin python311Packages.sphinx-hoverxref | |
x86_64-linux redis | |
aarch64-darwin python312Packages.youtokentome | |
x86_64-darwin tusc-sh | |
aarch64-linux python311Packages.alpha-vantage | |
aarch64-darwin python312Packages.dot2tex | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.rtl8821au | |
x86_64-darwin python312Packages.base58check | |
x86_64-linux notmuch | |
x86_64-darwin perl538Packages.WebServiceLinode | |
aarch64-linux python311Packages.qcodes | |
x86_64-linux python312Packages.colout | |
x86_64-linux kdePackages.qttools | |
x86_64-linux osv-detector | |
aarch64-darwin gretl | |
aarch64-darwin python311Packages.pygments-style-github | |
aarch64-linux mloader | |
aarch64-darwin python311Packages.py17track | |
aarch64-linux psw | |
x86_64-darwin python311Packages.lineedit | |
x86_64-darwin python311Packages.toggl-cli | |
x86_64-darwin python312Packages.cryptg | |
aarch64-darwin haskellPackages.mnist-idx-conduit | |
aarch64-linux python312Packages.opentelemetry-instrumentation-grpc | |
x86_64-linux kompose | |
x86_64-darwin perl536Packages.ClassRefresh | |
x86_64-darwin alertmanager-irc-relay | |
aarch64-darwin emacsPackages.geiser-chibi | |
aarch64-linux python311Packages.ipdbplugin | |
x86_64-darwin perl538Packages.DataUniqid | |
aarch64-darwin perl536Packages.ProtocolWebSocket | |
x86_64-darwin idrisPackages.refined | |
aarch64-darwin python311Packages.pytest-param-files | |
x86_64-linux xsnow | |
aarch64-linux python311Packages.salmon-mail | |
aarch64-linux linuxPackages_5_15_hardened.mxu11x0 | |
aarch64-darwin perl538Packages.Paranoid | |
x86_64-linux haskellPackages.language-javascript | |
aarch64-linux terraform-providers.age | |
x86_64-linux libvisio | |
x86_64-linux libsForQt5.kscreenlocker | |
aarch64-linux emacsPackages.dtrt-indent | |
aarch64-linux emacsPackages.evil-ex-shell-command | |
aarch64-darwin python311Packages.manifestoo | |
aarch64-linux linuxPackages_xanmod_stable.r8125 | |
x86_64-linux haskellPackages.processing-for-haskell | |
aarch64-darwin caudec | |
x86_64-darwin python312Packages.yamlloader | |
aarch64-linux python312Packages.xapian | |
aarch64-darwin emacsPackages.dashboard-ls | |
aarch64-linux inkscape-extensions.applytransforms | |
aarch64-darwin python311Packages.gtts | |
x86_64-darwin python311Packages.tailscale | |
x86_64-darwin aspcud | |
aarch64-darwin emacsPackages.ox-gfm | |
x86_64-linux linuxPackages_latest.bpftrace | |
x86_64-darwin python311Packages.calmjs | |
x86_64-linux python311Packages.pika-pool | |
aarch64-linux haskellPackages.hinduce-associations-apriori | |
aarch64-linux linuxPackages_hardened.v4l2loopback | |
aarch64-linux python311Packages.ago | |
aarch64-darwin didu | |
x86_64-linux gnomeExtensions.wallhub | |
x86_64-linux python311Packages.lifelines | |
x86_64-darwin python312Packages.git-url-parse | |
x86_64-linux unicap | |
x86_64-linux pmtiles | |
x86_64-linux emacsPackages.cheatsheet | |
aarch64-darwin me_cleaner | |
aarch64-linux python312Packages.dask | |
aarch64-linux vimPlugins.vim-qml | |
aarch64-darwin haskellPackages.reflex-vty | |
x86_64-linux kdePackages.ktexttemplate | |
aarch64-linux vimPlugins.vim-snipmate | |
aarch64-darwin libpng12 | |
aarch64-darwin perl538Packages.DataInteger | |
x86_64-darwin optifinePackages.optifine_1_19_4 | |
aarch64-darwin haskellPackages.plot-light-examples | |
x86_64-darwin emacsPackages.parrot | |
x86_64-darwin vimPlugins.neoyank-vim | |
aarch64-darwin perl538Packages.GitRepository | |
aarch64-darwin python311Packages.powerline | |
aarch64-linux python311Packages.digi-xbee | |
x86_64-linux python311Packages.mypy-boto3-support | |
x86_64-darwin python311Packages.simplefix | |
x86_64-linux python312Packages.mypy-boto3-chime-sdk-voice | |
x86_64-linux html-minifier | |
aarch64-darwin chickenPackages_5.chickenEggs.aes | |
x86_64-darwin mdformat | |
x86_64-linux emacsPackages.el-init | |
x86_64-linux haskellPackages.hpc-strobe | |
x86_64-linux terser | |
x86_64-linux haskellPackages.DAV | |
aarch64-darwin python312Packages.django-classy-tags | |
x86_64-linux kodiPackages.inputstream-ffmpegdirect | |
x86_64-darwin python311Packages.dvc-ssh | |
aarch64-linux lua54Packages.lua-messagepack | |
aarch64-darwin python311Packages.gspread | |
aarch64-darwin python312Packages.zxing-cpp | |
x86_64-darwin haskellPackages.rtld | |
aarch64-linux haskellPackages.HsOpenSSL-x509-system | |
x86_64-linux python311Packages.sphinxcontrib-plantuml | |
x86_64-darwin perl536Packages.TestRunPluginAlternateInterpreters | |
x86_64-darwin python312Packages.ripser | |
aarch64-linux emacsPackages.org-epa-gpg | |
aarch64-linux python311Packages.python-otbr-api | |
x86_64-darwin python312Packages.mypy-boto3-ivschat | |
x86_64-darwin python312Packages.pylsp-mypy | |
aarch64-darwin emacsPackages.ranger | |
aarch64-darwin haskellPackages.rfc1413-types | |
x86_64-darwin terraform-landscape | |
x86_64-linux linuxKernel.packages.linux_5_4.openrazer | |
aarch64-darwin haskellPackages.apecs-stm | |
aarch64-darwin emacsPackages.tree-mode | |
x86_64-linux python311Packages.unicurses | |
x86_64-linux frostwire-bin | |
x86_64-linux ledmon | |
x86_64-linux supabase-cli | |
x86_64-darwin haskellPackages.twain | |
aarch64-darwin emacsPackages.unicode-escape | |
aarch64-linux python312Packages.niapy | |
aarch64-darwin perl536 | |
x86_64-linux haskellPackages.amazonka-customer-profiles | |
aarch64-linux mkbootimage | |
aarch64-linux python311Packages.re-assert | |
x86_64-linux php81Extensions.mongodb | |
aarch64-linux haskellPackages.scanner | |
x86_64-darwin emacsPackages.paredit-everywhere | |
aarch64-linux python311Packages.sphinxcontrib-httpdomain | |
x86_64-darwin python311Packages.eradicate | |
aarch64-darwin haskellPackages.hpath-posix | |
aarch64-linux kubernetes-metrics-server | |
aarch64-darwin emacsPackages.ruby-electric | |
x86_64-darwin glucose | |
x86_64-linux python311Packages.llama-index-graph-stores-neptune | |
x86_64-linux python312Packages.addict | |
aarch64-linux libsForQt5.libcommuni | |
aarch64-linux python312Packages.stix2-patterns | |
aarch64-linux python312Packages.autoflake | |
x86_64-linux wsjtx | |
aarch64-darwin emacsPackages.zotxt | |
x86_64-darwin perl538Packages.MooXOptions | |
x86_64-linux luajitPackages.magick | |
aarch64-darwin libsForQt5.kparts | |
x86_64-linux ctpl | |
aarch64-linux kodiPackages.visualization-matrix | |
aarch64-darwin perl538Packages.TestMojibake | |
aarch64-linux python312Packages.pyfma | |
aarch64-darwin python311Packages.chispa | |
aarch64-darwin python312Packages.pylibjpeg-libjpeg | |
aarch64-linux linuxKernel.packages.linux_5_15_hardened.framework-laptop-kmod | |
aarch64-linux tests.hardeningFlags-gcc.fortify3ExplicitDisabled | |
aarch64-linux emacsPackages.noir-mode | |
aarch64-linux python312Packages.pyftgl | |
aarch64-darwin python312Packages.xdg | |
aarch64-linux matrix-synapse-tools.synadm | |
aarch64-linux python312Packages.types-retry | |
x86_64-darwin azure-cli-extensions.virtual-network-tap | |
aarch64-darwin emacsPackages.clocker | |
aarch64-linux facter | |
x86_64-linux python311Packages.applicationinsights | |
aarch64-linux signaldctl | |
x86_64-darwin rubyPackages_3_3.net-http | |
x86_64-darwin chickenPackages_5.chickenEggs.micro-benchmark | |
aarch64-linux tailor | |
x86_64-darwin perl536Packages.HTMLScrubber | |
x86_64-linux haskellPackages.zenacy-unicode | |
x86_64-linux sgx-ssl | |
aarch64-darwin critcl | |
aarch64-linux haskellPackages.bodhi | |
aarch64-linux bluez-tools | |
x86_64-linux python312Packages.pytest-lazy-fixture | |
x86_64-linux gnome-recipes | |
aarch64-linux haskellPackages.tsetchan | |
x86_64-linux python311Packages.espeak-phonemizer | |
x86_64-linux rubyPackages_3_3.openssl | |
aarch64-darwin idrisPackages.tp | |
x86_64-darwin libmowgli | |
x86_64-darwin haskellPackages.not-in-base | |
x86_64-linux home-assistant-component-tests.google_travel_time | |
aarch64-linux oneDNN | |
x86_64-darwin perl538Packages.MailMboxMessageParser | |
x86_64-darwin python312Packages.pytest-httpbin | |
x86_64-linux libsForQt5.qtfeedback | |
x86_64-linux python312Packages.virtualenvwrapper | |
aarch64-linux rubyPackages_3_2.snappy | |
x86_64-linux emacsPackages.flycheck-aspell | |
x86_64-linux linuxKernel.packages.linux_5_15.nvidia_x11_vulkan_beta_open | |
x86_64-darwin emacsPackages.adjust-parens | |
x86_64-linux emacsPackages.the-matrix-theme | |
aarch64-linux mtr-exporter | |
x86_64-darwin python311Packages.miauth | |
aarch64-linux linuxPackages_xanmod_stable.linux-gpib | |
aarch64-darwin python311Packages.tokenizers | |
x86_64-darwin haskellPackages.sandwich-quickcheck | |
x86_64-linux xorg.libxcb | |
x86_64-linux emacsPackages.pepita | |
x86_64-darwin python311Packages.mwparserfromhell | |
x86_64-darwin emacsPackages.sequences | |
x86_64-darwin vimPlugins.bluloco-nvim | |
aarch64-darwin python312Packages.pyqt6-sip | |
aarch64-linux xcat | |
x86_64-darwin haskellPackages.amazonka-personalize | |
x86_64-linux haskellPackages.ap-normalize | |
x86_64-linux identity | |
aarch64-darwin python311Packages.s3-credentials | |
x86_64-darwin python311Packages.loguru | |
x86_64-darwin rubyPackages_3_1.colored2 | |
aarch64-darwin python312Packages.aiokef | |
x86_64-linux haskellPackages.newtype-zoo | |
aarch64-linux python311Packages.webauthn | |
aarch64-darwin perl536Packages.CPANAudit | |
x86_64-linux knightos-z80e | |
x86_64-linux haskellPackages.type-flip | |
x86_64-darwin python311Packages.plyfile | |
aarch64-linux haskellPackages.fakedata-parser | |
x86_64-darwin emacsPackages.scrollkeeper | |
aarch64-darwin pijuice | |
aarch64-linux percona-xtrabackup | |
x86_64-linux python311Packages.mypy-boto3-ecr | |
aarch64-darwin perl536Packages.ConfigMVPReaderINI | |
x86_64-darwin python312Packages.mypy-boto3-simspaceweaver | |
x86_64-linux home-assistant-component-tests.dremel_3d_printer | |
x86_64-linux python312Packages.labmath | |
x86_64-linux emacsPackages.calendar-norway | |
x86_64-linux gnomeExtensions.alternate-menu-for-hplip2 | |
aarch64-darwin python312Packages.aioairzone-cloud | |
x86_64-linux anime-downloader | |
aarch64-linux linuxPackages_latest.nct6687d | |
aarch64-linux emacsPackages.ah | |
aarch64-darwin rubyPackages_3_1.rexml | |
aarch64-darwin hologram | |
x86_64-linux home-assistant-component-tests.scrape | |
aarch64-linux python312Packages.show-in-file-manager | |
x86_64-darwin python311Packages.lnkparse3 | |
x86_64-linux python311Packages.azure-mgmt-imagebuilder | |
x86_64-linux python311Packages.pymfy | |
x86_64-darwin netbeans | |
aarch64-darwin emacsPackages.diffview | |
aarch64-linux linuxKernel.packages.linux_lqx.hid-ite8291r3 | |
aarch64-linux haskellPackages.debug-dump | |
aarch64-darwin hyperscrypt-font | |
x86_64-darwin python311Packages.uritools | |
aarch64-linux vimPlugins.vim-strip-trailing-whitespace | |
aarch64-darwin rubyPackages.jekyll-relative-links | |
x86_64-linux python311Packages.types-aiobotocore-macie2 | |
aarch64-linux rocmPackages.llvm.pstl | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-47 | |
x86_64-linux klipper-flash | |
aarch64-linux python312Packages.aplpy | |
aarch64-darwin python311Packages.connection-pool | |
aarch64-darwin emacsPackages.erc-scrolltoplace | |
aarch64-linux python312Packages.dkimpy | |
aarch64-linux candy-icons | |
aarch64-linux exhaustive | |
x86_64-darwin cargo-c | |
x86_64-darwin python311Packages.aiooss2 | |
x86_64-linux rocmPackages_6.hipfft | |
aarch64-linux python312Packages.sphinx-jinja | |
x86_64-linux vimPlugins.clever-f-vim | |
x86_64-linux haskellPackages.char-qq | |
x86_64-darwin emacsPackages.db | |
x86_64-linux emacsPackages.moonscript | |
x86_64-darwin kakoune-lsp | |
aarch64-darwin tailscale-systray | |
x86_64-linux openfec | |
aarch64-darwin vimPlugins.vim-terraform | |
x86_64-linux anyrun | |
x86_64-linux emacsPackages.html-to-markdown | |
x86_64-darwin python311Packages.mdformat-nix-alejandra | |
aarch64-linux python312Packages.rstr | |
aarch64-darwin perl538Packages.EncodeHanExtra | |
x86_64-linux python311Packages.watchdog | |
x86_64-linux haskellPackages.llvm-pkg-config | |
aarch64-darwin haskellPackages.amazonka-config | |
aarch64-linux lua53Packages.compat53 | |
aarch64-darwin emacsPackages.xpm | |
aarch64-darwin perl536Packages.TextSimpleTable | |
aarch64-darwin python312Packages.smart-meter-texas | |
x86_64-linux emacsPackages.multicolumn | |
aarch64-linux home-assistant-component-tests.opentherm_gw | |
aarch64-linux dirt | |
x86_64-darwin minecraftia | |
x86_64-linux python311Packages.testing-postgresql | |
aarch64-darwin rubyPackages_3_2.loofah | |
x86_64-darwin python312Packages.nbval | |
aarch64-darwin python311Packages.sphinxcontrib-newsfeed | |
aarch64-linux linuxPackages_6_1_hardened.openafs_1_8 | |
aarch64-darwin worldengine-cli | |
x86_64-darwin python312Packages.openllm-client | |
x86_64-linux theLoungePlugins.themes.abyss | |
x86_64-linux python312Packages.comm | |
x86_64-darwin perl538Packages.NetDNS | |
aarch64-linux python311Packages.aiowatttime | |
aarch64-linux python312Packages.pycollada | |
x86_64-darwin postgresql16Packages.pgaudit | |
x86_64-linux haskellPackages.amazonka-fsx | |
x86_64-linux haskellPackages.postgresql-simple | |
aarch64-darwin python311Packages.aiokef | |
aarch64-linux haskellPackages.bindings-svm | |
x86_64-linux python312Packages.sphinxcontrib-bayesnet | |
x86_64-linux home-assistant-component-tests.intent | |
aarch64-linux emacsPackages.gpastel | |
aarch64-linux python312Packages.playsound | |
x86_64-darwin rubyPackages_3_3.rspec-core | |
aarch64-darwin python312Packages.hfst | |
aarch64-darwin emacsPackages.flycheck-checkbashisms | |
x86_64-linux python311Packages.mypy-boto3-servicecatalog-appregistry | |
aarch64-linux python311Packages.bottleneck | |
x86_64-darwin emacsPackages.birds-of-paradise-plus-theme | |
x86_64-darwin libu2f-host | |
aarch64-darwin jupyter-all | |
x86_64-darwin mate.mate-user-guide | |
aarch64-darwin python311Packages.types-aiobotocore-kinesis-video-webrtc-storage | |
x86_64-linux python312Packages.grpclib | |
x86_64-linux python312Packages.quantiphy-eval | |
x86_64-darwin emacsPackages.fn | |
aarch64-darwin rbspy | |
x86_64-linux python311Packages.bitcoinlib | |
aarch64-linux haskellPackages.smtpbz | |
aarch64-linux haskellPackages.language-dot | |
x86_64-linux linuxKernel.packages.linux_zen.ndiswrapper | |
aarch64-darwin python312Packages.s3-credentials | |
x86_64-linux python312Packages.pyepsg | |
x86_64-linux arp-scan | |
x86_64-darwin haskellPackages.ghcprofview | |
aarch64-darwin python312Packages.aspectlib | |
x86_64-darwin emacsPackages.unfill | |
aarch64-darwin reptor | |
aarch64-linux emacsPackages.zeal-at-point | |
x86_64-darwin goaccess | |
aarch64-linux emacsPackages.helm-tramp | |
aarch64-linux python311Packages.aiopinboard | |
aarch64-darwin python311Packages.bap | |
x86_64-darwin openvas-scanner | |
x86_64-darwin emacsPackages.kubernetes-tramp | |
aarch64-darwin python311Packages.afdko | |
aarch64-linux emacsPackages.ruby-end | |
aarch64-darwin python312Packages.pywatchman | |
x86_64-linux cudaPackagesGoogle.cudnn_8_9 | |
aarch64-linux xorg.libSM | |
x86_64-linux cloudflared | |
x86_64-linux python312Packages.azure-mgmt-eventgrid | |
x86_64-linux haskellPackages.data-default-instances-containers | |
x86_64-linux cargo-xbuild | |
aarch64-darwin rubyPackages_3_1.hitimes | |
x86_64-linux nix-visualize | |
aarch64-linux python312Packages.ipykernel | |
x86_64-darwin leatherman | |
aarch64-darwin check-jsonschema | |
x86_64-darwin hyphen | |
aarch64-linux dino | |
x86_64-linux linuxPackages_xanmod_latest.system76 | |
x86_64-linux keepwn | |
x86_64-darwin python312Packages.rethinkdb | |
x86_64-darwin perl536Packages.CatalystDispatchTypeRegex | |
x86_64-darwin haskellPackages.sleep | |
x86_64-linux python311Packages.azure-keyvault-secrets | |
aarch64-linux google-cloud-bigtable-tool | |
x86_64-darwin emacsPackages.flappymacs | |
aarch64-darwin jack-example-tools | |
x86_64-linux vimPlugins.vim-flog | |
x86_64-darwin libcyaml | |
x86_64-linux gitkraken | |
aarch64-darwin rubyPackages.pg | |
x86_64-darwin rubyPackages_3_3.redcarpet | |
aarch64-darwin perl536Packages.TextUnaccent | |
aarch64-linux python312Packages.bson | |
x86_64-darwin libisofs | |
x86_64-linux mautrix-facebook | |
aarch64-darwin erlang_odbc | |
aarch64-darwin pip-audit | |
x86_64-linux home-assistant-component-tests.trend | |
x86_64-darwin theLoungePlugins.themes.zenburn-monospace | |
aarch64-darwin python312Packages.pixel-ring | |
x86_64-linux python311Packages.crontab | |
aarch64-darwin dnsx | |
aarch64-darwin emacsPackages.indent-lint | |
x86_64-linux godot3-mono | |
aarch64-darwin python312Packages.azure-cosmosdb-table | |
x86_64-darwin python312Packages.async-tkinter-loop | |
aarch64-linux wev | |
x86_64-linux python311Packages.pymsgbox | |
aarch64-darwin emacsPackages.org-bulletproof | |
x86_64-linux python311Packages.types-aiobotocore-nimble | |
aarch64-linux haskellPackages.debian-build | |
x86_64-darwin haskellPackages.ebml | |
x86_64-darwin theLoungePlugins.themes.nord | |
aarch64-darwin rubyPackages_3_1.ast | |
aarch64-linux linuxPackages_4_19_hardened.exfat-nofuse | |
x86_64-linux sbclPackages.cl-colors2 | |
aarch64-darwin python311Packages.medpy | |
aarch64-linux python311Packages.mypy-boto3-compute-optimizer | |
aarch64-linux postgresqlPackages.age | |
x86_64-linux haskellPackages.lca | |
x86_64-linux linuxKernel.packages.linux_lqx.veikk-linux-driver | |
aarch64-darwin emacsPackages.el-search | |
aarch64-linux python312Packages.doorbirdpy | |
x86_64-darwin chickenPackages_5.chickenEggs.simple-sequences | |
x86_64-linux bzip2_1_1 | |
x86_64-linux python311Packages.diffusers | |
x86_64-darwin python312Packages.sacn | |
aarch64-darwin emacsPackages.indent-control | |
x86_64-darwin hunspellDicts.es_SV | |
aarch64-darwin lemon | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.openrazer | |
x86_64-linux vimPlugins.vim-bufkill | |
aarch64-darwin lua51Packages.sqlite | |
aarch64-darwin pngtools | |
aarch64-darwin vimPlugins.neotest-haskell | |
aarch64-darwin actionlint | |
x86_64-linux linuxKernel.packages.linux_6_8.bcc | |
x86_64-darwin emacsPackages.say-what-im-doing | |
x86_64-linux haskellPackages.tasty-golden | |
x86_64-linux emacsPackages.mc-extras | |
x86_64-darwin python312Packages.epson-projector | |
x86_64-linux python312Packages.dm-tree | |
x86_64-darwin python311Packages.patrowl4py | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.requirements | |
aarch64-linux python312Packages.azure-mgmt-storage | |
aarch64-darwin python312Packages.routeros-api | |
aarch64-linux emacsPackages.hiccup-cli | |
aarch64-darwin python311Packages.bnunicodenormalizer | |
x86_64-linux emacsPackages.sly | |
x86_64-darwin haven-cli | |
x86_64-linux python312Packages.fortiosapi | |
aarch64-linux vimPlugins.vim-pony | |
x86_64-darwin python312Packages.roombapy | |
x86_64-darwin cargo-tauri | |
aarch64-darwin vimPlugins.deoplete-jedi | |
x86_64-linux telegraf | |
aarch64-darwin python312Packages.opencv4 | |
aarch64-darwin emacsPackages.dayone | |
aarch64-darwin cksfv | |
aarch64-darwin emacsPackages.elisp-depend | |
x86_64-darwin python311Packages.types-python-dateutil | |
x86_64-linux python312Packages.pytest-tornado | |
x86_64-linux python311Packages.mailchimp | |
aarch64-darwin libdeltachat | |
aarch64-darwin python312Packages.twisted | |
aarch64-linux python311Packages.cdcs | |
aarch64-darwin emacsPackages.cue-mode | |
aarch64-darwin timelimit | |
x86_64-darwin xh | |
aarch64-darwin emacsPackages.yagist | |
aarch64-linux python312Packages.mccabe | |
x86_64-darwin emacsPackages.draft-mode | |
aarch64-darwin emacsPackages.narrowed-page-navigation | |
aarch64-linux python311Packages.mypy-boto3-appmesh | |
x86_64-linux haskellPackages.sexp-grammar | |
aarch64-darwin haskellPackages.kansas-comet | |
aarch64-linux qt6Packages.qtvirtualkeyboard | |
aarch64-linux python312Packages.skytemple-eventserver | |
x86_64-darwin pyrosimple | |
aarch64-linux python312Packages.embedding-reader | |
aarch64-darwin vimPlugins.winshift-nvim | |
aarch64-darwin python312Packages.unify | |
aarch64-linux ubootRock64v2 | |
x86_64-darwin haskellPackages.blas-comfort-array | |
x86_64-linux numbat | |
x86_64-darwin python311Packages.types-aiobotocore-migration-hub-refactor-spaces | |
x86_64-linux haskellPackages.general-games | |
x86_64-darwin xorg.fontxfree86type1 | |
aarch64-darwin python311Packages.xmlsec | |
aarch64-darwin python312Packages.pretend | |
aarch64-linux haskell.compiler.native-bignum.ghc928 | |
x86_64-linux python312Packages.env-canada | |
aarch64-linux python311Packages.twinkly-client | |
x86_64-linux vimPlugins.delimitMate | |
aarch64-linux rocmPackages_6.rocsolver | |
x86_64-linux rubyPackages_3_1.cairo-gobject | |
aarch64-linux python312Packages.pytest-httpx | |
aarch64-darwin perl536Packages.LinguaStemRu | |
x86_64-linux python311Packages.pipdeptree | |
aarch64-darwin postgresql12JitPackages.plr | |
aarch64-linux xss-lock | |
x86_64-linux linuxPackages_xanmod_latest.mwprocapture | |
x86_64-linux linuxKernel.packages.linux_zen.rtl8192eu | |
x86_64-linux emacsPackages.fillcode | |
aarch64-linux emacsPackages.nnhackernews | |
aarch64-darwin python311Packages.unicodedata2 | |
aarch64-linux python312Packages.pyhepmc | |
x86_64-linux haskellPackages.timestamp | |
aarch64-linux haskellPackages.superdoc | |
x86_64-linux linuxPackages_lqx.mxu11x0 | |
aarch64-linux soapysdr | |
aarch64-darwin translatepy | |
x86_64-linux haskellPackages.base64-bytes | |
aarch64-linux llvmPackages_14.libcxxClang | |
x86_64-darwin perl538Packages.BotTraining | |
aarch64-linux python312Packages.fritzconnection | |
x86_64-linux rubyPackages.gpgme | |
aarch64-darwin hunspellDicts.nb_NO | |
aarch64-darwin emacsPackages.lice | |
x86_64-linux haskell.compiler.native-bignum.ghc902 | |
aarch64-darwin haskellPackages.linear-base | |
x86_64-linux python311Packages.docker | |
x86_64-linux texliveBasic | |
aarch64-linux haskellPackages.show-please | |
aarch64-darwin libsForQt5.threadweaver | |
x86_64-linux home-assistant-component-tests.ssdp | |
x86_64-darwin python312Packages.pybars3 | |
x86_64-darwin haskellPackages.wai-middleware-json-errors | |
aarch64-linux libsForQt5.alligator | |
aarch64-linux rerun | |
x86_64-linux agdaPackages.functional-linear-algebra | |
x86_64-linux libsForQt5.incidenceeditor | |
aarch64-darwin python311Packages.xarray-einstats | |
x86_64-linux python312Packages.wcwidth | |
x86_64-linux php81Extensions.phalcon | |
x86_64-linux haskellPackages.amazonka-rekognition | |
aarch64-darwin openimageio | |
x86_64-linux postgresql12Packages.pg_rational | |
x86_64-darwin python312Packages.djangorestframework-camel-case | |
aarch64-darwin rawtherapee | |
x86_64-linux haskellPackages.minmax | |
aarch64-darwin python311Packages.meross-iot | |
x86_64-darwin python312Packages.moderngl-window | |
x86_64-linux haskellPackages.quickcheck-string-random | |
aarch64-darwin python312Packages.django-configurations | |
x86_64-darwin python312Packages.django-autocomplete-light | |
aarch64-linux python312Packages.modelcif | |
aarch64-linux apptainer-overriden-nixos | |
x86_64-darwin haskellPackages.DAV | |
aarch64-darwin haskellPackages.generic-monoid | |
x86_64-linux lobster | |
aarch64-linux python312Packages.napalm | |
aarch64-linux python312Packages.types-aiobotocore-kinesis-video-signaling | |
aarch64-linux python312Packages.xstatic-jquery-ui | |
x86_64-darwin emacsPackages.zone-tmux-clock | |
aarch64-darwin gperf | |
x86_64-darwin perl536Packages.ImageMagick | |
x86_64-linux iptables-nftables-compat | |
aarch64-darwin plasma5Packages.qwt | |
x86_64-darwin haskell.compiler.ghc945 | |
aarch64-linux cinnamon.cjs | |
aarch64-linux pantheon.elementary-dock | |
aarch64-darwin emacsPackages.flymake-hledger | |
x86_64-darwin goverview | |
aarch64-linux libsForQt5.kblocks | |
x86_64-linux python312Packages.openturns | |
aarch64-linux emacsPackages.grails | |
aarch64-darwin nsxiv | |
x86_64-linux vimPlugins.persisted-nvim | |
x86_64-linux linuxPackages_xanmod_latest.zfs_unstable | |
aarch64-darwin python312Packages.biliass | |
aarch64-darwin psrecord | |
x86_64-darwin python311Packages.hpp-fcl | |
aarch64-darwin python312Packages.pytest-logdog | |
x86_64-linux cups | |
x86_64-linux sidplayfp | |
aarch64-darwin perl538Packages.ModuleInstallReadmeFromPod | |
x86_64-darwin vimPlugins.coc-smartf | |
x86_64-darwin haskellPackages.gnuidn | |
aarch64-darwin kissat | |
x86_64-darwin localproxy | |
x86_64-darwin haskellPackages.hlex | |
aarch64-darwin haskellPackages.darcs-scripts | |
x86_64-linux home-assistant-component-tests.twilio | |
aarch64-linux python311Packages.mypy-boto3-elasticache | |
x86_64-linux haskellPackages.phonetic-languages-plus | |
aarch64-linux sbc | |
aarch64-linux vimPlugins.vim-openscad | |
x86_64-darwin home-assistant-custom-components.auth-header | |
aarch64-darwin perl536Packages.HTTPHeadersFast | |
x86_64-linux linuxKernel.packages.linux_6_1.kvdo | |
aarch64-darwin lua54Packages.xml2lua | |
aarch64-darwin bear | |
aarch64-darwin emacsPackages.flymake-easy | |
aarch64-linux avogadrolibs | |
aarch64-linux haskellPackages.keter | |
x86_64-linux python312Packages.crayons | |
aarch64-linux libsForQt5.libdbusmenu | |
x86_64-darwin grpcui | |
x86_64-linux log4shell-detector | |
x86_64-darwin perl538Packages.MooseXTypesPathTiny | |
x86_64-linux luigi | |
aarch64-darwin python311Packages.s2clientprotocol | |
x86_64-darwin detect-secrets | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.graphql | |
aarch64-darwin python311Packages.secretstorage | |
x86_64-linux tz | |
aarch64-linux haskellPackages.servant-openapi3 | |
aarch64-darwin emacsPackages.weechat | |
x86_64-linux vimPlugins.vim-nerdtree-syntax-highlight | |
aarch64-linux labwc-menu-generator | |
aarch64-darwin haskellPackages.yesod-page-cursor | |
aarch64-darwin llvmPackages_16.libstdcxxClang | |
x86_64-linux haskellPackages.exact-real | |
aarch64-darwin haskellPackages.simple | |
x86_64-darwin twolame | |
aarch64-linux guile-sdl | |
x86_64-darwin python311Packages.mypy-boto3-kafka | |
x86_64-darwin cargo-apk | |
x86_64-linux dbus_cplusplus | |
x86_64-darwin netcat-openbsd | |
aarch64-darwin perl538Packages.IOTieCombine | |
aarch64-darwin python312Packages.aioprometheus | |
aarch64-linux haskellPackages.synthesizer-dimensional | |
x86_64-darwin emacsPackages.org-reverse-datetree | |
aarch64-linux python311Packages.robotsuite | |
x86_64-linux python312Packages.mkdocs-autorefs | |
x86_64-linux idrisPackages.bytes | |
x86_64-linux haskellPackages.hspec-api | |
x86_64-linux libAfterImage | |
x86_64-darwin python311Packages.iaqualink | |
x86_64-linux python312Packages.f5-icontrol-rest | |
aarch64-linux python312Packages.gphoto2 | |
aarch64-linux emacsPackages.vampyricdark-theme | |
x86_64-darwin emacsPackages.highlight-indentation | |
x86_64-linux lua54Packages.luacheck | |
x86_64-linux python312Packages.pynetgear | |
aarch64-darwin python312Packages.ibm-watson | |
x86_64-linux emacsPackages.evil-args | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.wireguard | |
aarch64-linux libretro.mame | |
x86_64-linux ps3netsrv | |
x86_64-linux python311Packages.types-aiobotocore-lookoutvision | |
x86_64-darwin python311Packages.soapysdr-with-plugins | |
x86_64-darwin python312Packages.jaxtyping | |
aarch64-darwin haskellPackages.zstd | |
aarch64-darwin python311Packages.libsass | |
x86_64-linux gmtk | |
aarch64-darwin haskellPackages.data-extend-generic | |
x86_64-darwin perl538Packages.CGISimple | |
x86_64-darwin python311Packages.aiowithings | |
x86_64-linux python311Packages.progressbar | |
x86_64-darwin python311Packages.types-pytz | |
x86_64-linux plasma5Packages.qtcharts | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.libavutil | |
aarch64-linux x11docker | |
x86_64-linux qt6.qmake | |
x86_64-darwin sosreport | |
x86_64-darwin haskellPackages.fountain | |
aarch64-linux pacu | |
aarch64-linux haskellPackages.bowtie | |
aarch64-linux comical | |
aarch64-darwin rubyPackages_3_1.claide | |
x86_64-darwin kdePackages.qtlocation | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.pioasm | |
aarch64-linux python311Packages.tensorflow-probability | |
aarch64-linux emacsPackages.quickrun | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.Qt5Concurrent | |
aarch64-darwin perl538Packages.SOAPLite | |
x86_64-darwin python312Packages.pyfftw | |
aarch64-linux kafka-cmak | |
aarch64-linux macchina | |
x86_64-darwin emacsPackages.fifo-class | |
x86_64-linux xfce.xfce4-dockbarx-plugin | |
x86_64-linux azure-cli-extensions.logic | |
x86_64-darwin luaPackages.vusted | |
x86_64-linux python311Packages.zope-deprecation | |
x86_64-linux obs-studio-plugins.obs-vaapi | |
aarch64-linux plasma5Packages.booth | |
x86_64-linux python311Packages.xstatic-pygments | |
x86_64-linux suricata | |
aarch64-darwin terraform-providers.aiven | |
x86_64-darwin rubyPackages_3_1.docile | |
aarch64-linux emacsPackages.dockerfile-mode | |
x86_64-linux python311Packages.elasticsearch | |
aarch64-darwin haskellPackages.keera-hails-i18n | |
aarch64-darwin python311Packages.xknxproject | |
aarch64-darwin haskellPackages.libversion | |
x86_64-darwin haskellPackages.HsASA | |
aarch64-darwin perl538Packages.DateTimeFormatDateParse | |
aarch64-linux sway-contrib.grimshot | |
x86_64-darwin haskellPackages.mnist-idx | |
x86_64-linux rubyPackages_3_3.faraday | |
x86_64-darwin libksi | |
aarch64-darwin prow | |
aarch64-linux haskellPackages.ghcid | |
aarch64-darwin python311Packages.connect-box | |
aarch64-darwin faust2alsa | |
aarch64-darwin timeline | |
aarch64-linux emacsPackages.opener | |
aarch64-darwin python312Packages.colorama | |
x86_64-linux linuxKernel.packages.linux_5_15_hardened.rtl8821cu | |
aarch64-linux auctex | |
aarch64-darwin python311Packages.papis | |
aarch64-linux trustedqsl | |
aarch64-linux luajitPackages.readline | |
x86_64-darwin dapl-native | |
aarch64-darwin vimPlugins.sphinx-nvim | |
x86_64-linux python312Packages.here-routing | |
x86_64-linux emacsPackages.julia-shell | |
x86_64-darwin apfelgrid | |
x86_64-linux home-assistant-component-tests.airly | |
x86_64-linux python312Packages.cbor | |
x86_64-darwin vimPlugins.nvcode-color-schemes-vim | |
x86_64-darwin diff-so-fancy | |
x86_64-darwin dap | |
x86_64-darwin python311Packages.sqlobject | |
aarch64-linux qt6Packages.qtlottie | |
x86_64-linux vimPlugins.coq-vim | |
x86_64-darwin vyper | |
x86_64-linux linuxPackages_latest-libre.decklink | |
aarch64-linux emacsPackages.kaleidoscope-evil-state-flash | |
aarch64-linux emacsPackages.rtags | |
aarch64-linux haskellPackages.gi-soup | |
x86_64-linux haskellPackages.htaglib | |
aarch64-linux ldc | |
x86_64-darwin acpic | |
aarch64-darwin rubyPackages_3_1.redis-rack | |
x86_64-darwin chickenPackages_5.chickenEggs.random-mtzig | |
aarch64-linux vimPlugins.twilight-nvim | |
x86_64-darwin python312Packages.mypy-boto3-importexport | |
x86_64-darwin perl536Packages.StringRandom | |
aarch64-darwin eggnog-mapper | |
x86_64-linux plasma5Packages.kteatime | |
aarch64-linux python311Packages.ipyvue | |
x86_64-darwin python311Packages.pyfido | |
aarch64-darwin libkrb5 | |
x86_64-darwin libextractor | |
x86_64-darwin python312Packages.pyro-api | |
aarch64-darwin perl538Packages.NetIPv4Addr | |
x86_64-linux rubyPackages_3_3.sexp_processor | |
x86_64-darwin _2048-cli | |
x86_64-darwin ffmpeg_5-full | |
aarch64-darwin python311Packages.cffconvert | |
aarch64-linux evans | |
x86_64-darwin terraform-providers.hetznerdns | |
aarch64-linux rocmPackages.llvm.llvm | |
aarch64-linux linuxPackages_latest-libre.rtl88x2bu | |
aarch64-linux haskellPackages.sym | |
aarch64-linux postgresql16JitPackages.plr | |
aarch64-darwin rubyPackages_3_2.webrick | |
aarch64-darwin python311Packages.pychromecast | |
x86_64-linux python311Packages.rst2ansi | |
x86_64-darwin python312Packages.jsonpointer | |
aarch64-darwin emacsPackages.pacdiff | |
aarch64-linux lndhub-go | |
x86_64-darwin chickenPackages_5.chickenEggs.srfi-111 | |
aarch64-linux php81Extensions.inotify | |
x86_64-linux rubyPackages_3_3.camping | |
aarch64-darwin haskellPackages.gi-gdkx11 | |
x86_64-linux python311Packages.catppuccin | |
x86_64-darwin perl538Packages.DataMessagePack | |
aarch64-darwin python311Packages.mypy-boto3-voice-id | |
aarch64-linux emacsPackages.tmr | |
x86_64-linux linuxKernel.packages.linux_hardened.nvidia_x11_production_open | |
aarch64-linux home-assistant-component-tests.bayesian | |
aarch64-darwin perl536Packages.TestLeakTrace | |
x86_64-darwin haskellPackages.postgres-options | |
aarch64-linux python311Packages.androidtvremote2 | |
aarch64-darwin python311Packages.osc | |
aarch64-linux python312Packages.ripser | |
x86_64-linux python312Packages.types-aiobotocore-medialive | |
x86_64-darwin haskellPackages.rolling-queue | |
x86_64-linux haskellPackages.gpolyline | |
x86_64-darwin python311Packages.appdirs | |
aarch64-linux python311Packages.breezy | |
x86_64-darwin vimPlugins.monokai-pro-nvim | |
x86_64-linux kanagawa-gtk-theme | |
aarch64-linux ntopng | |
x86_64-linux nelua | |
aarch64-darwin python311Packages.mypy-boto3-vpc-lattice | |
x86_64-darwin gash | |
x86_64-linux haskellPackages.genvalidity-sydtest-persistent | |
aarch64-darwin emacsPackages.helm-apt | |
x86_64-darwin haskellPackages.msu | |
x86_64-darwin python312Packages.frozenlist | |
x86_64-linux xorg.libxshmfence | |
aarch64-linux linuxKernel.packages.linux_zen.veikk-linux-driver | |
aarch64-linux gnuradio3_8Packages.limesdr | |
aarch64-darwin python311Packages.spyse-python | |
x86_64-linux perl536Packages.Connector | |
aarch64-linux python311Packages.poetry-core | |
aarch64-darwin haskellPackages.tau | |
aarch64-linux haskellPackages.dbus-app-launcher | |
x86_64-linux emacsPackages.flycheck-ghcmod | |
aarch64-linux pdd | |
x86_64-darwin hunspellDicts.pl-pl | |
aarch64-linux rust-audit-info | |
x86_64-linux python312Packages.sfrbox-api | |
x86_64-darwin haskellPackages.genvalidity-criterion | |
aarch64-linux python312Packages.stim | |
x86_64-linux haskellPackages.uncaught-exception | |
x86_64-darwin netbird-ui | |
x86_64-darwin python311Packages.peco | |
x86_64-darwin hepmc3 | |
aarch64-darwin rootbar | |
x86_64-linux vimPlugins.vim-markbar | |
x86_64-darwin emacsPackages.sumibi | |
aarch64-darwin haskellPackages.rss | |
aarch64-linux xpra | |
aarch64-darwin python312Packages.gekitchen | |
x86_64-linux haskellPackages.gi-gdkx11 | |
x86_64-linux python312Packages.formencode | |
aarch64-darwin elm-github-install | |
aarch64-linux libmodule | |
aarch64-linux liberation-circuit | |
aarch64-linux python311Packages.pyscaffoldext-custom-extension | |
aarch64-darwin vimPlugins.QFEnter | |
aarch64-linux python312Packages.setuptools-declarative-requirements | |
aarch64-darwin python312Packages.invocations | |
x86_64-darwin perl538Packages.LinguaStemIt | |
x86_64-darwin linkerd | |
x86_64-darwin python311Packages.pyedimax | |
x86_64-darwin kubexit | |
x86_64-linux python311Packages.tritonclient | |
aarch64-linux hxcpp | |
aarch64-darwin libofx | |
x86_64-darwin python311Packages.simpful | |
aarch64-darwin menu-cache | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.gstlaunch | |
aarch64-linux python311Packages.pyrmvtransport | |
x86_64-linux python312Packages.subprocess-tee | |
aarch64-linux linuxPackages_lqx.decklink | |
x86_64-linux haskellPackages.amazonka-mediaconvert | |
aarch64-linux haskellPackages.Interpolation | |
x86_64-linux linuxPackages_hardened.openafs_1_8 | |
aarch64-linux miniupnpd | |
aarch64-darwin python311Packages.ratarmountcore | |
aarch64-linux haskellPackages.ptr | |
aarch64-darwin nickel | |
x86_64-linux emacsPackages.ivy-dired-history | |
x86_64-linux haskellPackages.strictify | |
aarch64-linux shod | |
x86_64-linux haskellPackages.servant-auth | |
x86_64-linux emacsPackages.windsize | |
aarch64-darwin python312Packages.gtts-token | |
aarch64-darwin libjaylink | |
x86_64-darwin bindle | |
x86_64-linux python311Packages.faraday-agent-parameters-types | |
x86_64-linux python311Packages.gpustat | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.phpdoc | |
x86_64-darwin perl536Packages.HTTPLite | |
x86_64-linux npins | |
x86_64-darwin haskellPackages.xxhash-ffi | |
aarch64-darwin perl536Packages.DBIxClass | |
x86_64-darwin perl538Packages.SpreadsheetCSV | |
x86_64-linux xorg.x11perf | |
x86_64-darwin perl536Packages.TestWarn | |
x86_64-linux kbdd | |
x86_64-linux python311Packages.gerbonara | |
x86_64-linux python312Packages.google-cloud-testutils | |
aarch64-linux dbus_java | |
aarch64-linux python312Packages.django-crispy-bootstrap4 | |
aarch64-linux linuxKernel.packages.linux_4_19_hardened.gcadapter-oc-kmod | |
aarch64-darwin haskellPackages.pdf-toolbox-core | |
x86_64-linux emacsPackages.ssh-tunnels | |
x86_64-linux python312Packages.setuptools-dso | |
x86_64-darwin tt-rss-theme-feedly | |
x86_64-linux haskellPackages.amazonka-kinesis-video-webrtc-storage | |
aarch64-linux haskellPackages.brick-skylighting | |
x86_64-darwin rubyPackages_3_3.jekyll-feed | |
x86_64-darwin python312Packages.hug | |
x86_64-linux kdePackages.kplotting | |
x86_64-darwin python312Packages.pyskyqhub | |
x86_64-linux haskellPackages.alea | |
x86_64-darwin python312Packages.termgraph | |
x86_64-linux timeline | |
aarch64-linux emacsPackages.flymake-relint | |
aarch64-linux linuxKernel.packages.linux_5_15.opensnitch-ebpf | |
aarch64-linux rubyPackages_3_2.cocoapods-open | |
aarch64-darwin haskellPackages.wai-middleware-caching-redis | |
x86_64-darwin python312Packages.django-auditlog | |
aarch64-linux home-assistant-component-tests.geocaching | |
aarch64-linux python311Packages.ydiff | |
x86_64-linux python312Packages.requests-unixsocket | |
aarch64-darwin python312Packages.jinja2-ansible-filters | |
aarch64-darwin calculix | |
aarch64-linux darcs-to-git | |
aarch64-linux emacsPackages.counsel-dash | |
aarch64-linux gowitness | |
aarch64-darwin emacsPackages.nginx-mode | |
aarch64-darwin emacsPackages.org-drill | |
aarch64-darwin emacsPackages.esxml | |
aarch64-darwin cmtk | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.gitignore | |
aarch64-darwin python311Packages.unicorn | |
aarch64-darwin febio | |
x86_64-darwin httrack | |
aarch64-linux gpa | |
x86_64-linux python312Packages.loqedapi | |
x86_64-linux emacsPackages.tommyh-theme | |
x86_64-darwin python311Packages.libknot | |
aarch64-linux gridcoin-research | |
x86_64-linux libsForQt5.kwallet | |
aarch64-linux linuxKernel.packages.linux_5_10.netatop | |
x86_64-darwin postgresql12Packages.pg_safeupdate | |
aarch64-linux pzip | |
x86_64-linux to-html | |
aarch64-linux titanion | |
aarch64-linux gh2md | |
x86_64-linux python311Packages.pytest-playwright | |
aarch64-darwin python312Packages.bunch | |
x86_64-darwin perl538Packages.VariableMagic | |
x86_64-darwin python312Packages.types-aiobotocore-managedblockchain-query | |
aarch64-darwin python312Packages.diceware | |
aarch64-darwin kdePackages.qtwebview | |
x86_64-darwin nix-bundle | |
aarch64-linux python311Packages.pkginfo | |
aarch64-darwin nix-derivation | |
x86_64-linux checkmate | |
aarch64-linux lua53Packages.fidget-nvim | |
x86_64-darwin haskellPackages.rfc1751 | |
aarch64-darwin resgate | |
x86_64-linux wpa_supplicant_gui | |
aarch64-darwin python311Packages.zipstream | |
x86_64-linux postgresql12JitPackages.apache_datasketches | |
aarch64-darwin python312Packages.skytemple-files | |
x86_64-linux nixpkgs-lint-community | |
x86_64-linux chrysalis | |
x86_64-darwin python312Packages.bimmer-connected | |
aarch64-linux python311Packages.plum-py | |
x86_64-linux python311Packages.pyring-buffer | |
x86_64-linux emacsPackages.multi-mode | |
aarch64-linux python311Packages.pynmea2 | |
x86_64-darwin haskellPackages.preludeplus | |
aarch64-darwin python312Packages.pytricia | |
x86_64-darwin emacsPackages.vimscript-ts-mode | |
x86_64-linux kubevela | |
x86_64-darwin haskellPackages.amazonka-chime-sdk-identity | |
aarch64-linux dotnetCorePackages.sdk_8_0 | |
x86_64-darwin python311Packages.parse | |
aarch64-linux argc | |
x86_64-darwin haskellPackages.data-default-instances-bytestring | |
x86_64-linux tsm-client-withGui | |
aarch64-darwin emacsPackages.import-js | |
x86_64-linux licenseclassifier | |
aarch64-linux python311Packages.jsonschema-spec | |
x86_64-darwin python312Packages.hatasmota | |
aarch64-darwin qt5.qtwebengine | |
aarch64-darwin rubyPackages.diff-lcs | |
x86_64-darwin vimPlugins.gbprod-nord | |
aarch64-linux am2rlauncher | |
x86_64-darwin html-xml-utils | |
x86_64-darwin python312Packages.pyisemail | |
aarch64-linux coqPackages.metacoq-erasure | |
aarch64-darwin vimPlugins.lexima-vim | |
aarch64-linux enchant2 | |
x86_64-darwin python311Packages.laces | |
x86_64-linux vimPlugins.vim9-stargate | |
x86_64-darwin perl538Packages.TestLectroTest | |
x86_64-linux python311Packages.pytesseract | |
aarch64-darwin perl536Packages.CryptSSLeay | |
x86_64-darwin python312Packages.tomli-w | |
aarch64-linux drumkv1 | |
x86_64-linux emacsPackages.nothing-theme | |
x86_64-linux python311Packages.cmd2 | |
x86_64-linux nvme-cli | |
x86_64-linux clmagma | |
x86_64-darwin pass-nodmenu | |
aarch64-darwin libsForQt5.qt5.qtvirtualkeyboard | |
x86_64-darwin haskellPackages.stackage-install | |
aarch64-darwin haskellPackages.hs-openmoji-data | |
aarch64-linux v8 | |
aarch64-darwin python312Packages.cairocffi | |
aarch64-linux emacsPackages.repeat-help | |
x86_64-linux lilypond-with-fonts | |
aarch64-linux fm-go | |
aarch64-linux haskellPackages.path-text-utf8 | |
x86_64-linux python311Packages.elgato | |
x86_64-darwin perl536Packages.CallContext | |
aarch64-darwin win2xcur | |
aarch64-linux luaPackages.lua-cmsgpack | |
aarch64-linux grafana-loki | |
aarch64-linux kdePackages.ark | |
aarch64-darwin haskellPackages.yu-utils | |
x86_64-darwin nixVersions.nix_2_15 | |
x86_64-darwin python311Packages.mypy-boto3-personalize-runtime | |
aarch64-linux haskellPackages.test-framework-sandbox | |
x86_64-linux haskellPackages.sdnv | |
aarch64-linux haskellPackages.linear-tests | |
x86_64-darwin haskellPackages.profunctors | |
x86_64-linux emacsPackages.verilog-mode | |
aarch64-darwin idrisPackages.bytes | |
x86_64-darwin emacsPackages.smartrep | |
x86_64-linux haskellPackages.mutable-lens | |
x86_64-darwin emacsPackages.org-redmine | |
aarch64-linux vimPlugins.firenvim | |
x86_64-linux emacsPackages.creds | |
aarch64-linux python311Packages.tbm-utils | |
x86_64-darwin vimPlugins.grammar-guard-nvim | |
aarch64-linux python311Packages.git-revise | |
x86_64-linux python311Packages.pyopenssl | |
aarch64-darwin python312Packages.umap-learn | |
x86_64-linux adafruit-ampy | |
x86_64-darwin plasma5Packages.kapidox | |
aarch64-linux python311Packages.constantly | |
x86_64-darwin ascii | |
aarch64-linux lazarus | |
x86_64-linux python312Packages.genanki | |
aarch64-darwin apacheKafka_3_2 | |
aarch64-darwin python312Packages.zconfig | |
x86_64-darwin rubyPackages_3_1.jekyll-sitemap | |
x86_64-linux redshift-plasma-applet | |
x86_64-darwin perl536Packages.ImagePNGLibpng | |
x86_64-linux vimPlugins.coc-metals | |
x86_64-darwin vimPlugins.firenvim | |
aarch64-darwin emacsPackages.js-doc | |
aarch64-darwin haskellPackages.genvalidity-hspec-persistent | |
x86_64-darwin perl536Packages.DataUtil | |
aarch64-linux python312Packages.async-tkinter-loop | |
aarch64-linux haskellPackages.nuxeo | |
x86_64-darwin postgresql15Packages.timescaledb | |
aarch64-darwin python312Packages.ghapi | |
aarch64-linux libsForQt5.kmousetool | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.tsx | |
aarch64-linux llvmPackages_18.clangUseLLVM | |
x86_64-darwin postgresql13Packages.age | |
aarch64-linux bs-platform | |
aarch64-darwin perl538Packages.CGI | |
aarch64-darwin haskellPackages.amazonka-waf-regional | |
aarch64-darwin python312Packages.daqp | |
x86_64-darwin emacsPackages.flyspell-correct-popup | |
x86_64-darwin python311Packages.crayons | |
x86_64-darwin perl538Packages.IOSocketSSL | |
aarch64-linux vimPlugins.vim-orgmode | |
x86_64-linux jdk19_headless | |
x86_64-linux rocmPackages_5.rocsolver | |
aarch64-linux php82Extensions.uv | |
x86_64-darwin perl536Packages.DataIEEE754 | |
x86_64-linux linuxPackages_xanmod_stable.ndiswrapper | |
aarch64-linux linuxPackages_xanmod_stable.ddcci-driver | |
x86_64-linux spectrojack | |
aarch64-darwin perl536Packages.MailIMAPClient | |
aarch64-linux python311Packages.pytest-cache | |
x86_64-linux fanficfare | |
aarch64-darwin dep2nix | |
x86_64-darwin python312Packages.aetcd | |
x86_64-linux python312Packages.telegraph | |
x86_64-linux quisk | |
aarch64-linux python311Packages.gattlib | |
x86_64-darwin emacsPackages.flex-compile | |
x86_64-darwin emacsPackages.eshell-outline | |
x86_64-darwin gogui | |
x86_64-darwin minijinja | |
aarch64-linux python312Packages.correctionlib | |
x86_64-linux scenebuilder | |
aarch64-darwin python311Packages.py-sonic | |
aarch64-linux emacsPackages.toggle-window | |
aarch64-linux squid | |
aarch64-darwin kdePackages.wayland | |
aarch64-darwin xmrig-mo | |
aarch64-linux haskellPackages.lambda-calculus-interpreter | |
x86_64-darwin python311Packages.types-typed-ast | |
x86_64-linux emacsPackages.vc-backup | |
aarch64-linux python312Packages.grpcio-channelz | |
aarch64-darwin gssdp-tools | |
x86_64-linux cfitsio | |
x86_64-darwin haskellPackages.ratel-wai | |
x86_64-linux haskellPackages.sydtest-typed-process | |
aarch64-darwin terraform-providers.fastly | |
aarch64-linux python312Packages.growattserver | |
x86_64-linux python311Packages.dissect | |
x86_64-darwin vimPlugins.tender-vim | |
x86_64-darwin python311Packages.cython_0 | |
x86_64-darwin emacsPackages.modern-fringes | |
aarch64-linux emacsPackages.ox-bibtex-chinese | |
x86_64-linux bit | |
x86_64-linux python312Packages.mypy-boto3-alexaforbusiness | |
aarch64-darwin hexd | |
x86_64-linux perl538Packages.DistZillaPluginBundleTestingMania | |
x86_64-darwin python312Packages.ha-ffmpeg | |
aarch64-linux xine-ui | |
aarch64-darwin emacsPackages.sphinx-mode | |
x86_64-linux kdePackages.kcalc | |
x86_64-linux microsoft-edge-beta | |
x86_64-darwin python311Packages.pywaterkotte | |
x86_64-linux protonmail-desktop | |
aarch64-linux yosys-bluespec | |
x86_64-linux emacsPackages.mustache | |
x86_64-linux tests.cuda.cudaPackages_12_0.cuda-samples | |
aarch64-linux home-assistant-component-tests.tesla_wall_connector | |
aarch64-linux python311Packages.pixcat | |
aarch64-linux python311Packages.jenkinsapi | |
aarch64-darwin haskellPackages.unity-testresult-parser | |
x86_64-darwin haskellPackages.logsink | |
x86_64-darwin rubyPackages.cocoapods-search | |
aarch64-darwin emacsPackages.peep-dired | |
x86_64-linux lxqt.lxqt-notificationd | |
x86_64-linux linuxPackages_6_6_hardened.fwts-efi-runtime | |
x86_64-linux xtl | |
aarch64-darwin python311Packages.bluepy-devices | |
x86_64-darwin haskellPackages.delay | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.mbp2018-bridge-drv | |
aarch64-linux kdePackages.ktorrent | |
aarch64-darwin python311Packages.pyvis | |
x86_64-linux python312Packages.pyenchant | |
x86_64-linux haskellPackages.only | |
x86_64-darwin nvc | |
aarch64-darwin python311Packages.xbox-webapi | |
x86_64-linux python311Packages.guidance | |
aarch64-darwin keychain | |
x86_64-darwin python312Packages.pyrevolve | |
x86_64-linux vkbasalt-cli | |
aarch64-linux haskellPackages.imagesize-conduit | |
x86_64-darwin haskellPackages.mattermost-api-qc | |
x86_64-linux python312Packages.grandalf | |
x86_64-linux cutter | |
x86_64-darwin luaPackages.luasql-sqlite3 | |
x86_64-linux opcr-policy | |
x86_64-linux lbreakouthd | |
x86_64-darwin rates | |
x86_64-linux haskellPackages.sdl2-cairo | |
x86_64-darwin luajitPackages.luadbi-sqlite3 | |
aarch64-darwin haskellPackages.amazonka-neptune | |
x86_64-linux haskellPackages.hasktorch-types-thc | |
aarch64-darwin emacsPackages.electric-case | |
aarch64-linux haskellPackages.recaptcha | |
x86_64-darwin emacsPackages.org-radiobutton | |
aarch64-darwin python312Packages.hologram | |
aarch64-darwin python312Packages.spyse-python | |
x86_64-darwin sonota | |
x86_64-linux php81Packages.php-codesniffer | |
x86_64-darwin python311Packages.mypy-boto3-backup | |
aarch64-linux postgresqlPackages.pg_ed25519 | |
x86_64-darwin python312Packages.serverfiles | |
x86_64-linux lean | |
aarch64-linux python311Packages.pydash | |
aarch64-linux python312Packages.aws-encryption-sdk | |
x86_64-linux haskellPackages.timestats | |
aarch64-linux python312Packages.pystemmer | |
x86_64-linux python311Packages.libsixel | |
x86_64-linux libsForQt5.konsole | |
aarch64-linux journaldriver | |
aarch64-darwin python312Packages.amberelectric | |
aarch64-linux emacsPackages.window-jump | |
x86_64-linux vimPlugins.vim-highlightedyank | |
x86_64-linux vimPlugins.numb-nvim | |
aarch64-linux python311Packages.tables | |
x86_64-linux emacsPackages.ace-pinyin | |
x86_64-linux python311Packages.trectools | |
aarch64-linux python312Packages.asgineer | |
x86_64-linux sbclPackages.hu_dot_dwim_dot_common | |
aarch64-linux python311Packages.dataclass-wizard | |
aarch64-linux linuxKernel.packages.linux_lqx.dpdk | |
aarch64-darwin python311Packages.datamodeldict | |
aarch64-linux haskellPackages.unionmount | |
aarch64-darwin emacsPackages.emacsc | |
aarch64-linux iosevka-comfy.comfy-wide-motion-duo | |
aarch64-darwin haskellPackages.spherical | |
x86_64-linux wlogout | |
aarch64-linux haskellPackages.alsa-pcm | |
x86_64-darwin gomplate | |
x86_64-linux haskellPackages.amazonka-quicksight | |
x86_64-linux home-assistant-component-tests.axis | |
aarch64-darwin python312Packages.python-frontmatter | |
x86_64-linux linuxPackages_latest.rtl88xxau-aircrack | |
aarch64-darwin python312Packages.socid-extractor | |
aarch64-linux emacsPackages.flymake-cursor | |
x86_64-linux rblake2sum | |
x86_64-darwin circle-flags | |
x86_64-darwin vimPlugins.neotest-dart | |
aarch64-linux rubyPackages_3_2.mini_portile2 | |
x86_64-darwin emacsPackages.typing | |
aarch64-linux libmicrodns | |
x86_64-darwin haskellPackages.envy | |
aarch64-linux emacsPackages.vdf-mode | |
x86_64-linux linuxKernel.packages.linux_xanmod_latest.can-isotp | |
x86_64-darwin python311Packages.paginate | |
x86_64-darwin python311Packages.parsel | |
aarch64-darwin python312Packages.elasticsearch-dsl | |
x86_64-darwin apacheHttpdPackages.mod_ocsp | |
aarch64-linux haskellPackages.realworldhaskell | |
x86_64-darwin emacsPackages.unidecode | |
x86_64-darwin perl536Packages.MooseXLazyRequire | |
x86_64-darwin python312Packages.euclid3 | |
x86_64-darwin emacsPackages.gnosis | |
aarch64-linux haskellPackages.ieee754-parser | |
x86_64-darwin haskellPackages.MemoTrie | |
x86_64-darwin python311Packages.pydrive2 | |
x86_64-linux linuxPackages_5_15_hardened.rr-zen_workaround | |
aarch64-darwin postgresqlJitPackages.tsearch_extras | |
x86_64-linux postgresql13Packages.age | |
x86_64-darwin azure-cli-extensions.amg | |
x86_64-darwin perl536Packages.NetSSLeay | |
x86_64-linux haskellPackages.pa-error-tree | |
x86_64-linux home-assistant-component-tests.alarm_control_panel | |
x86_64-linux python311Packages.python-ipmi | |
x86_64-darwin python312Packages.incremental | |
aarch64-linux python312Packages.sqlalchemy | |
aarch64-darwin haskellPackages.xbattbar | |
aarch64-linux python311Packages.libiio | |
x86_64-darwin pkgdiff | |
x86_64-linux httpx | |
aarch64-linux emacsPackages.list-unicode-display | |
x86_64-darwin linux-wifi-hotspot | |
aarch64-darwin azure-cli-extensions.datadog | |
x86_64-darwin xorg.fontbh100dpi | |
x86_64-darwin aspellDicts.gu | |
aarch64-linux rubyPackages.atk | |
x86_64-linux linuxPackages_zen.nvidia_x11_beta | |
aarch64-darwin emacsPackages.doom-modeline-now-playing | |
aarch64-linux azure-cli-extensions.azure-firewall | |
x86_64-linux cudaPackages_11.fabricmanager | |
aarch64-linux haskellPackages.batch-rename | |
x86_64-darwin bvi | |
aarch64-darwin perl536Packages.Filter | |
aarch64-linux emacsPackages.repology | |
x86_64-darwin perl536Packages.ClassMix | |
x86_64-linux python311Packages.pytest-qt | |
aarch64-linux emacsPackages.sunrise-commander | |
x86_64-darwin emacsPackages.mermaid-docker-mode | |
x86_64-darwin python312Packages.msrest | |
aarch64-linux system-config-printer | |
aarch64-linux ubootOrangePi5 | |
x86_64-darwin python311Packages.cligj | |
x86_64-linux gnomeExtensions.sur-clock | |
aarch64-darwin bililiverecorder | |
aarch64-darwin python311Packages.gntp | |
aarch64-linux haskellPackages.X11-xft | |
x86_64-darwin haskellPackages.port-utils | |
aarch64-darwin emacsPackages.unicode-math-input | |
aarch64-linux linuxKernel.packages.linux_6_1.oci-seccomp-bpf-hook | |
x86_64-linux python311Packages.poetry-dynamic-versioning | |
x86_64-darwin updatecli | |
x86_64-linux mrrescue | |
x86_64-linux haskellPackages.uu-tc-error-error | |
x86_64-linux haskellPackages.singleton-bool | |
x86_64-linux python311Packages.hkavr | |
aarch64-darwin python312Packages.home-assistant-chip-clusters | |
x86_64-linux vimPlugins.nvim-surround | |
x86_64-linux do-agent | |
x86_64-darwin haskellPackages.case-conversion | |
x86_64-darwin hue-cli | |
aarch64-linux vimPlugins.ncm2-jedi | |
x86_64-linux lua54Packages.luaposix | |
x86_64-linux tests.pkg-config.defaultPkgConfigPackages.Qt5QmlModels | |
aarch64-linux twelf | |
x86_64-darwin git-brunch | |
aarch64-linux python311Packages.mypy-boto3-lookoutvision | |
x86_64-linux linuxPackages_hardened.lkrg | |
x86_64-darwin chickenPackages_5.chickenEggs.simple-loops | |
aarch64-linux linuxKernel.packages.linux_latest_libre.akvcam | |
aarch64-linux kdePackages.kompare | |
aarch64-linux ocrmypdf | |
aarch64-darwin libcanberra_kde | |
x86_64-darwin python311Packages.lc7001 | |
x86_64-darwin shared-mime-info | |
aarch64-linux haskellPackages.conformance-gen | |
aarch64-linux llvmPackages.llvm-manpages | |
aarch64-linux vimPlugins.guess-indent-nvim | |
x86_64-darwin python312Packages.click-configfile | |
aarch64-linux haskellPackages.funbot-ext-events | |
x86_64-darwin http3-ytproxy | |
x86_64-darwin perl538Packages.FileBaseDir | |
aarch64-linux python311Packages.pysptk | |
x86_64-linux cudaPackages_11.cuda_demo_suite | |
x86_64-linux haskellPackages.OpenGL | |
aarch64-linux bjumblr | |
x86_64-darwin python311Packages.typecode | |
x86_64-linux python312Packages.python-google-nest | |
x86_64-linux nixos-icons | |
x86_64-linux prometheus-bind-exporter | |
aarch64-linux haskellPackages.PSQueue | |
x86_64-linux rubyPackages_3_2.highline | |
x86_64-darwin haskellPackages.simple-cabal | |
x86_64-linux python311Packages.cleo | |
x86_64-darwin python311Packages.ramlfications | |
aarch64-linux gnome-graphs | |
aarch64-linux emacsPackages.ox-twbs | |
x86_64-linux gnomeExtensions.panel-date-format | |
aarch64-linux python312Packages.cachey | |
aarch64-linux python312Packages.ancp-bids | |
aarch64-darwin python312Packages.barectf | |
aarch64-darwin python311Packages.pagelabels | |
aarch64-linux linuxPackages_6_6_hardened.lenovo-legion-module | |
x86_64-linux vimPlugins.haskell-tools-nvim | |
aarch64-linux python311Packages.telfhash | |
aarch64-darwin python312Packages.pysdcp | |
x86_64-linux python312Packages.sphinxcontrib-devhelp | |
x86_64-linux sopwith | |
x86_64-linux haskellPackages.path-tree | |
x86_64-darwin boost183 | |
aarch64-linux python311Packages.strategies | |
x86_64-linux apacheHttpdPackages.mod_ca | |
x86_64-linux python311Packages.tidylib | |
x86_64-darwin emacsPackages.affe | |
aarch64-linux emacsPackages.wttrin | |
aarch64-darwin foonathan-memory | |
x86_64-linux haskellPackages.spool | |
x86_64-linux tig | |
x86_64-darwin vimPlugins.renamer-nvim | |
aarch64-linux haskellPackages.txt2rtf | |
aarch64-linux haskellPackages.amazonka-iot-analytics | |
x86_64-darwin centrifugo | |
aarch64-darwin haskellPackages.one-liner | |
x86_64-linux python311Packages.angrcli | |
x86_64-linux i3bar-river | |
x86_64-linux python312Packages.oauth | |
x86_64-linux python311Packages.mkdocs-material | |
aarch64-linux elfcat | |
aarch64-darwin perl538Packages.EncodeIMAPUTF7 | |
x86_64-linux python311Packages.mygpoclient | |
aarch64-darwin python311Packages.type-infer | |
aarch64-linux clang_13 | |
x86_64-darwin postgresql16Packages.pgsodium | |
x86_64-linux haskellPackages.alsa-mixer | |
x86_64-linux haskellPackages.derive-storable-plugin | |
aarch64-linux vmime | |
x86_64-darwin codemov | |
aarch64-linux jflex | |
x86_64-darwin perl536Packages.CodeTidyAllPluginPerlAlignMooseAttributes | |
x86_64-linux python311Packages.google-cloud-bigtable | |
x86_64-darwin darwin.mDNSResponder | |
aarch64-linux haskellPackages.Safe | |
x86_64-darwin lua52Packages.lush-nvim | |
aarch64-linux luaPackages.luadbi-postgresql | |
aarch64-linux plasma5Packages.kmahjongg | |
aarch64-linux haskellPackages.amazonka-stepfunctions | |
x86_64-darwin haskellPackages.ngx-export | |
x86_64-darwin python311Packages.python-heatclient | |
x86_64-linux python311Packages.edlib | |
x86_64-darwin python312Packages.quantum-gateway | |
x86_64-linux rubyPackages_3_2.activerecord | |
x86_64-darwin chickenPackages_5.chickenEggs.pathname-expand | |
x86_64-linux lua52Packages.lua-rtoml | |
x86_64-darwin python311Packages.jsonschema-specifications | |
x86_64-linux python311Packages.altgraph | |
x86_64-darwin python311Packages.thorlabspm100 | |
x86_64-linux pixi | |
aarch64-linux postgresql13JitPackages.pg_hll | |
x86_64-darwin emacsPackages.heaven-and-hell | |
x86_64-darwin libsForQt5.quazip | |
x86_64-linux tcptrack | |
aarch64-darwin postgresql15Packages.tsearch_extras | |
aarch64-linux python312Packages.gensim | |
x86_64-darwin vimPlugins.gleam-vim | |
x86_64-linux home-assistant-component-tests.device_sun_light_trigger | |
aarch64-darwin haskell.compiler.native-bignum.ghc947 | |
aarch64-darwin haskellPackages.extend-record-data-th | |
aarch64-darwin python312Packages.hdf5plugin | |
aarch64-darwin emacsPackages.parse-csv | |
x86_64-linux python311Packages.types-aiobotocore-health | |
aarch64-darwin texi2mdoc | |
x86_64-linux netdiscover | |
aarch64-darwin jo | |
x86_64-linux python311Packages.arrayqueues | |
x86_64-linux python311Packages.urwid | |
x86_64-darwin haskellPackages.servant-foreign | |
x86_64-linux python312Packages.python-tado | |
aarch64-darwin haskellPackages.simdutf | |
aarch64-linux zed | |
aarch64-linux emacsPackages.buffer-sets | |
aarch64-darwin metaBuildEnv | |
x86_64-linux haskellPackages.thrist | |
aarch64-linux emacsPackages.guess-language | |
x86_64-darwin indent | |
x86_64-linux haskellPackages.flag-dhall-instance | |
aarch64-linux emacsPackages.miniedit | |
aarch64-linux haskellPackages.hostname | |
aarch64-linux htmlhint | |
x86_64-linux lomiri.qtmir | |
aarch64-darwin antlr4_8 | |
aarch64-darwin go-junit-report | |
x86_64-linux zarchive | |
aarch64-darwin turtle-build | |
x86_64-darwin emacsPackages.emacsql-psql | |
x86_64-linux liferea | |
aarch64-darwin python311Packages.sqlalchemy | |
x86_64-linux python312Packages.pyosohotwaterapi | |
x86_64-linux haskellPackages.bm | |
aarch64-darwin haskellPackages.monadplus | |
x86_64-linux python311Packages.pytorch-lightning | |
aarch64-darwin haskellPackages.any-pat | |
x86_64-linux linuxPackages_6_6_hardened.system76-scheduler | |
x86_64-linux apacheHttpdPackages.mod_pkcs12 | |
aarch64-linux python312Packages.shtab | |
aarch64-darwin python311Packages.cached-ipaddress | |
aarch64-darwin python312Packages.types-aiobotocore-vpc-lattice | |
x86_64-darwin duti | |
aarch64-linux postgresql13Packages.pg_uuidv7 | |
aarch64-linux python312Packages.moreorless | |
x86_64-darwin chickenPackages_5.chickenEggs.lsp-server | |
aarch64-darwin emacsPackages.octopress | |
aarch64-linux plasma5Packages.plasma-bigscreen | |
x86_64-linux python312Packages.rasterio | |
aarch64-darwin netlify-cli | |
x86_64-linux home-assistant-component-tests.map | |
aarch64-darwin rubyPackages.sorbet-runtime | |
aarch64-linux postgresql16JitPackages.h3-pg | |
aarch64-darwin perl536Packages.XMLFilterXInclude | |
x86_64-linux python311Packages.svglib | |
x86_64-darwin python312Packages.rfc3987 | |
x86_64-linux emacsPackages.centered-cursor-mode | |
aarch64-darwin python312Packages.types-aiobotocore-devicefarm | |
aarch64-linux libsForQt5.arianna | |
aarch64-linux haskellPackages.jsonl-conduit | |
aarch64-darwin python311Packages.protobuf | |
x86_64-linux python312Packages.bch | |
x86_64-linux linuxPackages_latest.system76-acpi | |
aarch64-linux bluetuith | |
x86_64-linux emacsPackages.icomplete-vertical | |
aarch64-linux tup | |
x86_64-darwin haskellPackages.turni | |
x86_64-darwin sigrok-cli | |
x86_64-linux python312Packages.google-cloud-compute | |
x86_64-darwin python311Packages.easygui | |
x86_64-darwin dsdcc | |
aarch64-darwin python312Packages.b2sdk | |
aarch64-darwin python312Packages.google-cloud-runtimeconfig | |
x86_64-darwin postgresql12Packages.pg_libversion | |
x86_64-linux ding | |
x86_64-darwin emacsPackages.naquadah-theme | |
aarch64-linux linuxPackages_latest-libre.drbd | |
aarch64-linux haskellPackages.newtyper | |
x86_64-darwin teensy-cmake-macros | |
x86_64-linux emacsPackages.button-lock | |
aarch64-darwin haskellPackages.error-or-utils | |
x86_64-darwin lua52Packages.luadbi-mysql | |
aarch64-darwin lua54Packages.busted | |
aarch64-darwin perl538Packages.CryptScryptKDF | |
aarch64-linux python312Packages.zeroconf | |
aarch64-linux linuxPackages_5_10_hardened.bcc | |
aarch64-linux python311Packages.xrootd | |
aarch64-darwin rubyPackages_3_2.xctasks | |
x86_64-linux linuxKernel.kernels.linux_5_15_hardened | |
x86_64-linux tigerbeetle | |
aarch64-linux libretro.gpsp | |
x86_64-darwin emacsPackages.markless | |
x86_64-linux haskellPackages.morpheus-graphql-tests | |
aarch64-darwin python311Packages.seaborn | |
x86_64-linux python312Packages.univers | |
x86_64-darwin rubyPackages_3_1.ffi-rzmq-core | |
aarch64-darwin bettercap | |
x86_64-darwin emacsPackages.consult-eglot-embark | |
aarch64-darwin perl538Packages.TestAssertions | |
x86_64-linux rubyPackages.rugged | |
aarch64-darwin theLoungePlugins.themes.purplenight | |
x86_64-darwin hred | |
aarch64-darwin haskellPackages.bits-extra | |
x86_64-linux curlpp | |
aarch64-linux idrisPackages.trees | |
aarch64-darwin python312Packages.goalzero | |
aarch64-linux postgresqlJitPackages.pg_auto_failover | |
aarch64-darwin haskellPackages.edit-lenses-demo | |
aarch64-linux vimPlugins.compe-zsh | |
aarch64-darwin nixpkgs-pytools | |
aarch64-darwin aragorn | |
aarch64-darwin python311Packages.python-ly | |
x86_64-darwin leveldb | |
x86_64-linux python312Packages.google-nest-sdm | |
aarch64-darwin rubyPackages.reline | |
aarch64-darwin ant | |
x86_64-darwin haskellPackages.partial | |
aarch64-linux azure-cli-extensions.nginx | |
aarch64-linux idrisPackages.wl-pprint | |
x86_64-darwin python311Packages.pytest-flake8 | |
aarch64-darwin python312Packages.pyiqvia | |
aarch64-linux mstflint | |
x86_64-linux python312Packages.pyrdfa3 | |
aarch64-darwin emacsPackages.opensub | |
x86_64-darwin haskellPackages.groom | |
x86_64-darwin python312Packages.jaydebeapi | |
aarch64-linux gtkmm3 | |
x86_64-linux emacsPackages.org-wunderlist | |
x86_64-linux whistle | |
x86_64-darwin haskellPackages.Numbers | |
x86_64-darwin goldendict | |
aarch64-darwin python311Packages.re-assert | |
x86_64-darwin kubeone | |
x86_64-darwin vimPlugins.vim-easytags | |
x86_64-linux xiphos | |
x86_64-linux rubyPackages_3_3.marcel | |
aarch64-darwin python312Packages.types-aiobotocore-elb | |
x86_64-darwin emacsPackages.sql-trino | |
aarch64-linux home-assistant-component-tests.oncue | |
aarch64-darwin python312Packages.alabaster | |
x86_64-linux python311Packages.toolz | |
aarch64-linux k0sctl | |
aarch64-darwin perl538Packages.DataStructureUtil | |
x86_64-darwin emacsPackages.omnibox | |
aarch64-linux mfoc-hardnested | |
x86_64-darwin emacsPackages.plsense | |
aarch64-linux python311Packages.capstone_4 | |
aarch64-darwin python311Packages.types-aiobotocore-s3control | |
aarch64-linux haskellPackages.numericpeano | |
x86_64-darwin coqPackages.reglang | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.ledger | |
x86_64-linux coqPackages.CoLoR | |
aarch64-linux do-agent | |
aarch64-linux ipam | |
aarch64-linux haskellPackages.amazonka-pricing | |
x86_64-darwin python311Packages.pyocd | |
aarch64-linux vimPlugins.true-zen-nvim | |
aarch64-linux emacsPackages.occur-context-resize | |
x86_64-darwin emacsPackages.symbolword-mode | |
x86_64-linux linuxPackages_4_19_hardened.usbip | |
aarch64-linux asciidoc | |
aarch64-linux perl538Packages.DistZillaPluginTestDistManifest | |
aarch64-linux haskellPackages.emoji | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.swift | |
aarch64-linux emacsPackages.memoize | |
x86_64-linux python311Packages.django-crispy-bootstrap4 | |
aarch64-linux python311Packages.poolsense | |
x86_64-linux pdns | |
aarch64-darwin guile-ssh | |
x86_64-darwin python312Packages.bite-parser | |
x86_64-linux tydra | |
x86_64-darwin mdhtml | |
x86_64-darwin python311Packages.todoist-api-python | |
x86_64-linux cen64 | |
aarch64-linux libsForQt5.kidletime | |
x86_64-linux haskellPackages.mysql-simple | |
aarch64-darwin uclient | |
aarch64-linux python311Packages.ewmh | |
x86_64-darwin python311Packages.djangorestframework-dataclasses | |
aarch64-linux djgpp | |
aarch64-darwin haskellPackages.lucid | |
aarch64-linux vc_0_7 | |
aarch64-darwin emacsPackages.btc-ticker | |
x86_64-linux python312Packages.pycsdr | |
x86_64-linux pandoc-secnos | |
x86_64-linux python312Packages.yamlordereddictloader | |
x86_64-darwin perl538Packages.LocaleTextDomainOO | |
x86_64-linux python311Packages.dbfread | |
x86_64-linux haskellPackages.spine | |
aarch64-darwin haskellPackages.kind-generics | |
x86_64-darwin exercism | |
aarch64-linux emacsPackages.org-recur | |
aarch64-darwin perl536Packages.NetLDAPServer | |
aarch64-linux postgresql16JitPackages.pgvecto-rs | |
x86_64-linux deepin.deepin-picker | |
x86_64-linux python312Packages.cachier | |
x86_64-linux home-assistant-component-tests.airq | |
aarch64-linux pantheon.switchboard-plug-pantheon-shell | |
aarch64-linux python312Packages.smpplib | |
aarch64-linux glide-media-player | |
x86_64-darwin python312Packages.blis | |
aarch64-darwin postgresql16JitPackages.pg_auto_failover | |
aarch64-linux halfempty | |
x86_64-darwin python312Packages.mypy-boto3-application-autoscaling | |
x86_64-linux timidity | |
x86_64-linux haskellPackages.pretty-hex | |
x86_64-darwin emacsPackages.eldoc-stan | |
x86_64-linux dolphin-emu-primehack | |
x86_64-linux victoriametrics | |
aarch64-linux linuxPackages_zen.cpupower | |
x86_64-linux haskellPackages.bounded-queue | |
aarch64-darwin python311Packages.testbook | |
x86_64-linux vimPlugins.cmp-omni | |
x86_64-linux python312Packages.pyupdate | |
aarch64-darwin haskellPackages.oo-prototypes | |
aarch64-darwin perl536Packages.namespaceclean | |
aarch64-darwin emacsPackages.osx-pseudo-daemon | |
x86_64-linux osl | |
aarch64-linux rubyPackages_3_2.actionmailbox | |
aarch64-darwin libsForQt5.kmediaplayer | |
aarch64-darwin perl538Packages.TestMockTimeHiRes | |
aarch64-darwin python311Packages.paypal-checkout-serversdk | |
aarch64-linux vcpkg-tool | |
x86_64-darwin xmagnify | |
x86_64-darwin log4cplus | |
aarch64-linux meshcentral | |
x86_64-linux linuxKernel.packages.linux_xanmod.ndiswrapper | |
x86_64-darwin emacsPackages.whizzml-mode | |
aarch64-linux vimPlugins.asynctasks-vim | |
aarch64-linux hotspot | |
x86_64-linux python312Packages.pythonegardia | |
x86_64-linux emacsPackages.thingopt | |
aarch64-linux emacsPackages.rbt | |
x86_64-darwin python311Packages.mypy-boto3-sts | |
x86_64-darwin perl538Packages.TestMockObject | |
x86_64-darwin python312Packages.mypy-boto3-glue | |
x86_64-linux python312Packages.mypy-boto3-pinpoint-email | |
aarch64-linux rubyPackages_3_1.ruby-lxc | |
x86_64-linux python311Packages.apipkg | |
x86_64-darwin python312Packages.minexr | |
x86_64-darwin vimPlugins.command-t | |
aarch64-darwin libjpeg_original | |
x86_64-darwin crimson | |
aarch64-linux haskellPackages.th-reify-compat | |
x86_64-darwin python311Packages.bitbox02 | |
aarch64-linux python312Packages.mypy-boto3-iot-data | |
aarch64-linux haskellPackages.say-my-name | |
aarch64-linux haskellPackages.html-truncate | |
x86_64-linux emacsPackages.tco | |
aarch64-linux emacsPackages.speech-tagger | |
x86_64-darwin haskellPackages.matrix-market-attoparsec | |
x86_64-darwin emacsPackages.sotclojure | |
aarch64-darwin jags | |
aarch64-darwin pypy310 | |
x86_64-darwin gcstar | |
aarch64-darwin haskellPackages.jack | |
aarch64-linux python311Packages.mypy-boto3-resource-explorer-2 | |
aarch64-linux python311Packages.pylatex | |
x86_64-darwin haskellPackages.minmax | |
x86_64-darwin python312Packages.poetry-core | |
x86_64-darwin github-release | |
aarch64-darwin emacsPackages.taxy-magit-section | |
aarch64-linux linuxPackages_6_6_hardened.r8125 | |
aarch64-linux navilu-font | |
x86_64-darwin algol68g | |
x86_64-linux home-assistant-component-tests.sense | |
x86_64-darwin glanceclient | |
aarch64-linux python312Packages.mahotas | |
aarch64-linux haskellPackages.libnotify | |
aarch64-linux kdePackages.print-manager | |
x86_64-darwin python312Packages.mdformat-mkdocs | |
aarch64-linux i3-layout-manager | |
aarch64-darwin icu71 | |
aarch64-darwin scalp | |
x86_64-linux python312Packages.dissect-fat | |
x86_64-linux python312Packages.pyscss | |
aarch64-darwin emacsPackages.wolfram-mode | |
aarch64-darwin vimPlugins.csv-vim | |
x86_64-darwin haskellPackages.quickcheck-groups | |
x86_64-linux fx-cast-bridge | |
x86_64-darwin python312Packages.r2pipe | |
x86_64-darwin haskellPackages.amazonka-iam | |
aarch64-linux emacsPackages.gnome-screencast | |
aarch64-linux python312Packages.fastentrypoints | |
aarch64-linux organicmaps | |
x86_64-darwin kreative-square-fonts | |
aarch64-darwin gnumake | |
aarch64-linux lua54Packages.luarepl | |
x86_64-darwin python312Packages.recurring-ical-events | |
aarch64-darwin rubyPackages_3_2.rspec-expectations | |
x86_64-linux linuxPackages_6_6_hardened.mwprocapture | |
aarch64-darwin rubyPackages.http | |
aarch64-linux libsForQt5.palapeli | |
aarch64-linux emacsPackages.clj-decompiler | |
aarch64-linux emacsPackages.adwaita-dark-theme | |
aarch64-linux mercury | |
x86_64-darwin python311Packages.exif | |
aarch64-linux emacsPackages.erc-hl-nicks | |
aarch64-darwin python311Packages.pykalman | |
aarch64-darwin python312Packages.unstructured-api-tools | |
x86_64-darwin pscale | |
aarch64-darwin rubyPackages_3_1.mini_magick | |
aarch64-linux metricbeat7 | |
x86_64-darwin ioc-scan | |
x86_64-linux haskellPackages.wai-middleware-static-embedded | |
x86_64-darwin emacsPackages.md-readme | |
aarch64-linux python312Packages.glances-api | |
aarch64-darwin python311Packages.piccata | |
x86_64-darwin tree-sitter | |
x86_64-linux libsForQt5.herqq | |
x86_64-linux gitlab-runner | |
aarch64-linux python312Packages.zephyr-python-api | |
aarch64-linux python311Packages.pyglet | |
x86_64-darwin python312Packages.emv | |
x86_64-darwin python312Packages.django-modeltranslation | |
aarch64-darwin luaPackages.commons-nvim | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.libsodium | |
aarch64-linux python312Packages.automat | |
aarch64-linux systemd-journal2gelf | |
x86_64-linux glycin-loaders | |
x86_64-linux lua52Packages.lmpfrlib | |
aarch64-darwin haskellPackages.ttc | |
aarch64-darwin perl538Packages.NumberWithError | |
x86_64-linux haskellPackages.fpe | |
x86_64-linux emacsPackages.kubernetes-evil | |
aarch64-darwin terraform-providers.rabbitmq | |
x86_64-darwin emacsPackages.evil-smartparens | |
x86_64-linux opensm | |
aarch64-darwin emacsPackages.hledger-mode | |
x86_64-linux optifinePackages.optifine_1_12 | |
aarch64-linux emacsPackages.gist | |
aarch64-darwin python312Packages.defang | |
x86_64-darwin rubyPackages.cocoapods-art | |
x86_64-darwin plasma5Packages.threadweaver | |
x86_64-linux gotrue | |
x86_64-darwin python311Packages.protego | |
aarch64-darwin perl538Packages.TestNumberDelta | |
aarch64-linux linuxKernel.packages.linux_zen.zfs | |
aarch64-linux emacsPackages.cyphejor | |
aarch64-darwin python312Packages.types-aiobotocore-qldb-session | |
x86_64-darwin python312Packages.pyexcel-io | |
aarch64-linux haskellPackages.bindings-portaudio | |
x86_64-darwin python312Packages.gpytorch | |
aarch64-darwin perl538Packages.CryptCBC | |
aarch64-linux python311Packages.onigurumacffi | |
x86_64-darwin haskellPackages.regex-base | |
aarch64-darwin witness | |
aarch64-darwin openjfx | |
x86_64-linux python311Packages.azure-mgmt-recoveryservicesbackup | |
aarch64-darwin python312Packages.bleak-esphome | |
x86_64-linux python312Packages.types-dataclasses | |
aarch64-linux mujmap | |
x86_64-darwin emacsPackages.markdown-preview-mode | |
aarch64-darwin darwin.Csu | |
aarch64-darwin emacsPackages.elpa-mirror | |
x86_64-darwin emacsPackages.oldlace-theme | |
x86_64-darwin flutterPackages.v3_13 | |
x86_64-linux python312Packages.mnemonic | |
x86_64-darwin python311Packages.types-aiobotocore-appconfig | |
x86_64-linux mfcl2740dwcupswrapper | |
x86_64-linux obs-studio-plugins.looking-glass-obs | |
aarch64-linux lua54Packages.lrexlib-pcre | |
x86_64-linux linuxKernel.packages.linux_hardened.ena | |
x86_64-linux haskellPackages.equational-reasoning | |
aarch64-darwin emacsPackages.impatient-showdown | |
x86_64-darwin python312Packages.rebulk | |
aarch64-linux python312Packages.jsonpickle | |
x86_64-linux python312Packages.lima | |
aarch64-linux maker-panel | |
x86_64-darwin python311Packages.incomfort-client | |
aarch64-darwin delta | |
x86_64-darwin chickenPackages_5.chickenEggs.simple-md5 | |
x86_64-darwin emacsPackages.kanagawa-theme | |
aarch64-linux emacsPackages.zk | |
aarch64-darwin libxslt | |
aarch64-darwin python311Packages.apsw | |
x86_64-darwin python311Packages.pcapy-ng | |
aarch64-darwin haskellPackages.s3-signer | |
aarch64-darwin python311Packages.nfcpy | |
aarch64-linux python311Packages.pytest-md-report | |
aarch64-linux dtrx | |
x86_64-linux python312Packages.mongoquery | |
aarch64-darwin python312Packages.tensorflow-build | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.acpi_call | |
aarch64-darwin emacsPackages.sr-speedbar | |
aarch64-darwin emacsPackages.twilight-anti-bright-theme | |
aarch64-darwin haskell.compiler.ghc96 | |
aarch64-darwin imageworsener | |
aarch64-darwin await | |
aarch64-linux emacsPackages.ebf | |
x86_64-linux linuxPackages_xanmod_latest.nvidia_x11_vulkan_beta_open | |
x86_64-darwin perl538Packages.CatalystViewJSON | |
aarch64-linux emacsPackages.cloc | |
x86_64-linux python312Packages.iopath | |
aarch64-darwin haskellPackages.validity-aeson | |
aarch64-linux python312Packages.pymailgunner | |
aarch64-linux python312Packages.aioairq | |
aarch64-linux python312Packages.shortuuid | |
x86_64-linux python312Packages.dingz | |
aarch64-linux agenda | |
x86_64-darwin emacsPackages.tabbar-ruler | |
x86_64-linux haskellPackages.wai-enforce-https | |
aarch64-darwin python311Packages.cryptoparser | |
aarch64-darwin blockbench | |
aarch64-linux python312Packages.findpython | |
aarch64-darwin python311Packages.exif | |
aarch64-darwin emacsPackages.capf-autosuggest | |
x86_64-darwin emacsPackages.biblio-core | |
x86_64-darwin perl538Packages.DistZillaPluginBundleTestingMania | |
x86_64-darwin haskellPackages.SHA | |
aarch64-linux quast | |
x86_64-darwin python311Packages.mapbox | |
aarch64-linux elmerfem | |
x86_64-linux nwg-dock | |
x86_64-darwin python312Packages.pysmart | |
aarch64-linux emacsPackages.cmake-font-lock | |
aarch64-linux python311Packages.types-aiobotocore-ssm-contacts | |
x86_64-darwin python312Packages.pyasn1-modules | |
aarch64-darwin readability-extractor | |
x86_64-darwin python311Packages.chroma-hnswlib | |
x86_64-linux python311Packages.jupytext | |
aarch64-linux python311Packages.eiswarnung | |
x86_64-darwin emacsPackages.lexic | |
aarch64-darwin emacsPackages.make-it-so | |
x86_64-linux solanum | |
x86_64-linux jenkins | |
aarch64-linux haskellPackages.advent-of-code-api | |
aarch64-linux rubyPackages_3_3.sinatra | |
x86_64-linux linuxPackages_lqx.jool | |
x86_64-linux python311Packages.html-tag-names | |
x86_64-darwin fsautocomplete | |
aarch64-linux linuxKernel.packages.linux_5_4.gcadapter-oc-kmod | |
x86_64-linux endless-sky | |
x86_64-linux python312Packages.piper-phonemize | |
aarch64-darwin haskellPackages.ZipperAG | |
x86_64-linux emacsPackages.kaolin-themes | |
x86_64-linux gnomeExtensions.focus-follows-workspace | |
aarch64-linux python311Packages.ots-python | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.poe_filter | |
x86_64-darwin lesspipe | |
x86_64-darwin python311Packages.stups-zign | |
aarch64-linux python312Packages.types-aiobotocore-cloudtrail | |
x86_64-linux kdePackages.kldap | |
x86_64-darwin clevercsv | |
x86_64-darwin jedit | |
x86_64-linux haskellPackages.names | |
x86_64-linux try | |
aarch64-linux python312Packages.arpeggio | |
x86_64-darwin emacsPackages.zk-index | |
aarch64-darwin python311Packages.sphinx-basic-ng | |
aarch64-darwin haskellPackages.async-extras | |
x86_64-linux littlegptracker | |
x86_64-linux python312Packages.uharfbuzz | |
x86_64-linux python311Packages.types-aiobotocore-xray | |
x86_64-darwin rubyPackages_3_1.prism | |
aarch64-darwin emacsPackages.magit-stats | |
x86_64-darwin emacsPackages.auto-correct | |
x86_64-linux emacsPackages.sotlisp | |
aarch64-darwin python311Packages.alexapy | |
aarch64-linux python311Packages.mypy-boto3-robomaker | |
x86_64-linux profont | |
x86_64-darwin haskellPackages.vp-tree | |
x86_64-linux gcovr | |
aarch64-linux emacsPackages.whois | |
x86_64-darwin perl536Packages.RoleTiny | |
x86_64-darwin emacsPackages.ag | |
aarch64-darwin chickenPackages_5.chickenEggs.rbf | |
aarch64-darwin perl538Packages.TypeTinyXS | |
aarch64-linux plasma5Packages.qzxing | |
x86_64-linux tldr | |
x86_64-linux vimPlugins.vim-hdevtools | |
aarch64-linux coturn | |
aarch64-darwin perl538Packages.SysCPU | |
x86_64-darwin haskellPackages.yesod-default | |
aarch64-darwin python311Packages.mwclient | |
x86_64-linux python312Packages.autograd | |
aarch64-darwin python311Packages.scmrepo | |
aarch64-darwin helix | |
x86_64-linux tile38 | |
x86_64-darwin php81Packages.psalm | |
x86_64-linux cudaPackages_10.cutensor_1_3 | |
aarch64-darwin haskellPackages.turkish-deasciifier | |
x86_64-darwin emacsPackages.ob-nix | |
x86_64-darwin emacsPackages.ivy-file-preview | |
aarch64-linux python312Packages.pyrisco | |
aarch64-darwin perl538Packages.PlackTestExternalServer | |
x86_64-darwin python312Packages.pip-tools | |
x86_64-linux docopts | |
x86_64-linux nixVersions.nix_2_3 | |
aarch64-linux python311Packages.libfive | |
aarch64-linux qt5.qtconnectivity | |
aarch64-darwin postgresql13JitPackages.cstore_fdw | |
x86_64-darwin haskellPackages.spooky | |
aarch64-darwin sqld | |
aarch64-linux python311Packages.habanero | |
aarch64-darwin perl538Packages.Error | |
x86_64-linux lua54Packages.nvim-nio | |
aarch64-linux yank | |
x86_64-linux emacsPackages.go-playground | |
aarch64-linux emacsPackages.company-quickhelp-terminal | |
x86_64-linux cosmic-greeter | |
aarch64-darwin haskellPackages.n-ary-functor | |
x86_64-linux python311Packages.google-cloud-audit-log | |
aarch64-linux python312Packages.bumps | |
aarch64-darwin rubyPackages_3_3.gdk3 | |
x86_64-linux postgresql12Packages.plpgsql_check | |
aarch64-darwin rubyPackages_3_1.pcaprub | |
aarch64-darwin haskellPackages.data-default-instances-old-locale | |
x86_64-linux home-assistant-component-tests.isy994 | |
x86_64-linux haskellPackages.predicate | |
aarch64-darwin emacsPackages.sops | |
aarch64-linux rubyPackages.gdk_pixbuf2 | |
x86_64-darwin statix | |
x86_64-linux tonelib-zoom | |
aarch64-linux lightum | |
aarch64-linux haskellPackages.std | |
x86_64-darwin perl536Packages.CLDRNumber | |
aarch64-darwin perl538Packages.GnuPG | |
aarch64-linux emacsPackages.evil-textobj-syntax | |
aarch64-darwin python311Packages.characteristic | |
x86_64-darwin python312Packages.py | |
x86_64-darwin python311Packages.jupyter-server-fileid | |
aarch64-linux cudaPackagesGoogle.cuda_nvml_dev | |
x86_64-darwin emacsPackages.sequential-command | |
aarch64-darwin python312Packages.sphinxcontrib-seqdiag | |
x86_64-darwin python311Packages.scooby | |
x86_64-darwin emacsPackages.yaml | |
x86_64-darwin grip-search | |
x86_64-linux home-assistant-component-tests.yamaha_musiccast | |
aarch64-linux cargo-watch | |
aarch64-linux aardvark-dns | |
x86_64-darwin luaPackages.luv | |
x86_64-linux vimPlugins.markdown-preview-nvim | |
aarch64-linux python311Packages.georss-client | |
aarch64-darwin netsurf.libnsgif | |
aarch64-darwin perl538Packages.TestMetricsAny | |
aarch64-linux glib-networking | |
x86_64-linux haskellPackages.magma | |
aarch64-darwin webalizer | |
x86_64-darwin chickenPackages_5.chickenEggs.advice | |
x86_64-linux haskellPackages.markov-chain | |
x86_64-darwin python311Packages.types-aiobotocore-autoscaling | |
x86_64-darwin buf | |
aarch64-darwin python312Packages.mypy-boto3-iotdeviceadvisor | |
aarch64-darwin python312Packages.pymupdf | |
x86_64-linux haskellPackages.amazonka-ecr-public | |
x86_64-darwin python312Packages.gast | |
x86_64-linux libretro.ppsspp | |
x86_64-linux wasm3 | |
aarch64-linux python311Packages.azure-mgmt-botservice | |
x86_64-darwin python312Packages.b2sdk | |
x86_64-darwin cpp-ipfs-http-client | |
aarch64-linux python311Packages.allure-python-commons-test | |
x86_64-linux cinnamon.nemo-emblems | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.nvidia_x11_vulkan_beta_open | |
x86_64-linux haskellPackages.genvalidity-persistent | |
aarch64-darwin carlito | |
x86_64-darwin python311Packages.jsonpointer | |
x86_64-darwin zulu | |
x86_64-darwin augustus | |
x86_64-darwin suitesparse_4_4 | |
x86_64-darwin python311Packages.msal | |
x86_64-darwin unnethack | |
aarch64-linux openmodelica.omplot | |
aarch64-darwin python311Packages.broadlink | |
aarch64-darwin vimPlugins.nvim-treesitter-parsers.xml | |
aarch64-darwin texliveInfraOnly | |
aarch64-darwin python312Packages.hcloud | |
x86_64-linux gnomeExtensions.color-picker | |
x86_64-darwin rubyPackages_3_2.dip | |
x86_64-darwin python311Packages.adext | |
aarch64-darwin python312Packages.sgp4 | |
x86_64-linux python312Packages.tkinter | |
x86_64-darwin emacsPackages.centaur-tabs | |
x86_64-linux python311Packages.notifications-python-client | |
x86_64-darwin python312Packages.pyfantom | |
aarch64-linux rocmPackages.hipsolver | |
aarch64-darwin gfold | |
aarch64-darwin rubyPackages_3_3.ruby-keychain | |
aarch64-darwin teleport_14 | |
x86_64-linux haskellPackages.kind-apply | |
x86_64-linux linuxKernel.packages.linux_5_4.openafs | |
aarch64-darwin python312Packages.types-aiobotocore-managedblockchain | |
x86_64-darwin python311Packages.django-crispy-forms | |
aarch64-darwin perl538Packages.TimeLocal | |
aarch64-darwin python311Packages.tinycss | |
aarch64-darwin libsForQt5.kcoreaddons | |
aarch64-linux python311Packages.types-aiobotocore-clouddirectory | |
x86_64-darwin youtube-music | |
x86_64-linux minecraftServers.vanilla-1-5 | |
aarch64-linux haskellPackages.flo | |
aarch64-linux haskellPackages.shelltestrunner | |
aarch64-darwin python312Packages.djangorestframework | |
x86_64-linux vimPlugins.zoomwintab-vim | |
aarch64-darwin dosbox-staging | |
x86_64-darwin python311Packages.xformers | |
aarch64-linux go-md2man | |
aarch64-darwin haskellPackages.unidecode | |
x86_64-darwin netdiscover | |
x86_64-darwin perl538Packages.XSParseKeyword | |
x86_64-linux linuxKernel.packages.linux_6_1_hardened.mwprocapture | |
aarch64-linux linuxKernel.packages.linux_libre.nvidia_x11 | |
aarch64-darwin zncModules.clientaway | |
x86_64-darwin dotnet-aspnetcore_6 | |
x86_64-linux python311Packages.mypy-boto3-stepfunctions | |
aarch64-linux ibus-engines.kkc | |
aarch64-darwin lua53Packages.digestif | |
x86_64-darwin python311Packages.llama-index-readers-s3 | |
x86_64-linux kdePackages.kpublictransport | |
aarch64-darwin linkerd_stable | |
x86_64-linux hexo-cli | |
aarch64-darwin python311Packages.loopy | |
aarch64-darwin haskellPackages.yesod-auth-hashdb | |
aarch64-linux linuxKernel.packages.linux_4_19.decklink | |
x86_64-darwin python311Packages.steamship | |
aarch64-linux terraform-providers.lxd | |
x86_64-darwin python312Packages.accessible-pygments | |
aarch64-linux haskellPackages.leankit-api | |
aarch64-darwin python311Packages.pdm-backend | |
x86_64-linux python311Packages.gssapi | |
aarch64-darwin dra-cla | |
x86_64-darwin python311Packages.simplehound | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.json5 | |
aarch64-linux uarmsolver | |
x86_64-linux vimPlugins.otter-nvim | |
x86_64-linux krill | |
aarch64-darwin haskellPackages.dynamic | |
aarch64-linux python311Packages.jsonargparse | |
x86_64-linux python311Packages.pysnow | |
x86_64-linux podofo | |
aarch64-linux python311Packages.pyparser | |
aarch64-darwin emacsPackages.lxc-tramp | |
x86_64-darwin python312Packages.speedtest-cli | |
aarch64-darwin python311Packages.google-cloud-webrisk | |
x86_64-linux haskellPackages.typst | |
x86_64-darwin librest_1_0 | |
x86_64-darwin emacsPackages.helm-robe | |
x86_64-linux rubyPackages_3_1.ruby-libvirt | |
aarch64-darwin scons | |
x86_64-darwin perl536Packages.mimeConstruct | |
x86_64-darwin kubernetes-helm | |
x86_64-darwin python311Packages.gudhi | |
aarch64-darwin murex | |
x86_64-linux emacsPackages.srfi | |
x86_64-linux linuxKernel.packages.linux_5_10.openafs_1_8 | |
x86_64-darwin kerf | |
aarch64-darwin rubyPackages_3_3.jekyll-mentions | |
aarch64-linux haskellPackages.vty | |
x86_64-darwin hr | |
aarch64-darwin python312Packages.networkx | |
x86_64-linux spark_3_4 | |
aarch64-linux vimPlugins.telescope-vim-bookmarks-nvim | |
aarch64-linux home-assistant-component-tests.tomorrowio | |
x86_64-linux python311Packages.jsons | |
x86_64-linux postgresql16Packages.pg_squeeze | |
aarch64-darwin jdom | |
x86_64-darwin python311Packages.axisregistry | |
aarch64-linux schismtracker | |
x86_64-linux python311Packages.google-cloud-translate | |
aarch64-darwin perl538Packages.MooseXTypesURI | |
aarch64-darwin python311Packages.azure-mgmt-loganalytics | |
aarch64-linux python312Packages.mkdocs-autorefs | |
aarch64-darwin emacsPackages.vertigo | |
x86_64-darwin python312Packages.pysecuritas | |
aarch64-linux python311Packages.mypy-boto3-support-app | |
x86_64-darwin python311Packages.python-pipedrive | |
x86_64-darwin libvirt-glib | |
x86_64-linux python312Packages.diskcache | |
x86_64-darwin trivy | |
aarch64-linux linuxKernel.packages.linux_latest_libre.mba6x_bl | |
x86_64-darwin python311Packages.twitter-common-lang | |
x86_64-darwin haskellPackages.amazonka-timestream-query | |
aarch64-linux python311Packages.azure-mgmt-signalr | |
aarch64-linux python311Packages.case | |
aarch64-linux python311Packages.pycron | |
x86_64-darwin haskellPackages.barbies | |
aarch64-linux libsForQt5.plasma-thunderbolt | |
aarch64-darwin ladspaPlugins | |
aarch64-darwin chickenPackages_5.chickenEggs.sha2 | |
aarch64-darwin emacsPackages.emoji-fontset | |
aarch64-linux caffeine-ng | |
aarch64-darwin python312Packages.patch | |
aarch64-linux haskellPackages.arrows | |
aarch64-darwin haskellPackages.componentm-devel | |
aarch64-darwin python312Packages.pyproject-api | |
x86_64-linux linuxPackages_5_10_hardened.systemtap | |
x86_64-linux varnish | |
x86_64-linux python312Packages.algebraic-data-types | |
x86_64-linux cudatext-qt | |
x86_64-linux python311Packages.srpenergy | |
x86_64-linux odin | |
aarch64-darwin python312Packages.yoda | |
aarch64-linux cairo | |
aarch64-darwin feroxbuster | |
x86_64-linux haskellPackages.acme-pointful-numbers | |
aarch64-darwin python312Packages.tldextract | |
x86_64-darwin perl538Packages.Future | |
x86_64-darwin emacsPackages.ob-bitfield | |
aarch64-linux csvdiff | |
aarch64-linux usbrip | |
x86_64-linux haskellPackages.http-api-data-ip | |
x86_64-darwin dotnet-sdk | |
aarch64-darwin iferr | |
aarch64-darwin haskellPackages.csound-expression-typed | |
x86_64-linux emacsPackages.motion-mode | |
x86_64-linux python311Packages.pydocumentdb | |
x86_64-darwin python311Packages.docker | |
aarch64-darwin luaPackages.luabitop | |
aarch64-linux highs | |
x86_64-darwin python312Packages.slicerator | |
aarch64-linux extism-cli | |
x86_64-linux vimPlugins.lean-nvim | |
aarch64-darwin python312Packages.beanstalkc | |
x86_64-linux emacsPackages.boxquote | |
x86_64-darwin emacsPackages.markdown-soma | |
x86_64-linux emacsPackages.mag-menu | |
aarch64-linux linuxKernel.kernels.linux_5_10 | |
aarch64-linux python311Packages.mdformat-footnote | |
aarch64-darwin kodiPackages.pvr-hdhomerun | |
aarch64-darwin perl538Packages.CompressRawLzma | |
x86_64-linux python311Packages.ratarmountcore | |
aarch64-linux python312Packages.craft-archives | |
aarch64-darwin python312Packages.pytelegrambotapi | |
aarch64-darwin terraform-providers.porkbun | |
aarch64-linux xlockmore | |
x86_64-darwin tmux-cssh | |
aarch64-darwin emacsPackages.ftable | |
aarch64-darwin emacsPackages.achievements | |
x86_64-linux haskellPackages.protocol-radius-test | |
x86_64-linux haskellPackages.monad-finally | |
aarch64-darwin teleport | |
x86_64-darwin hscolour | |
x86_64-darwin josm | |
x86_64-darwin libsForQt5.libdbusmenu | |
aarch64-linux libre-graph-api-cpp-qt-client | |
aarch64-linux open-stage-control | |
aarch64-linux perl536Packages.DistZillaPluginTestSynopsis | |
x86_64-linux python311Packages.argcomplete | |
aarch64-darwin python312Packages.libtmux | |
aarch64-darwin emacsPackages.xterm-color | |
x86_64-darwin kdePackages.qtmqtt | |
aarch64-darwin grandperspective | |
x86_64-linux haskellPackages.clock | |
aarch64-linux tomcat_connectors | |
aarch64-darwin python311Packages.drawille | |
aarch64-linux python312Packages.jdatetime | |
x86_64-darwin python311Packages.appthreat-vulnerability-db | |
aarch64-linux qt6Packages.qttranslations | |
x86_64-darwin darwin.hfs | |
x86_64-darwin ezstream | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.proto | |
x86_64-linux codux | |
aarch64-linux xorg.fontbhlucidatypewriter100dpi | |
aarch64-darwin normcap | |
x86_64-linux digikam | |
aarch64-linux linuxKernel.packages.linux_6_6.lttng-modules | |
x86_64-darwin haskellPackages.yu-auth | |
aarch64-linux python311Packages.dissect-evidence | |
x86_64-linux rubyPackages.github-pages | |
aarch64-darwin libcello | |
x86_64-darwin emacsPackages.expand-region | |
aarch64-darwin python311Packages.flatten-dict | |
aarch64-linux python312Packages.wsdiscovery | |
aarch64-linux honggfuzz | |
x86_64-linux easyloggingpp | |
aarch64-darwin haskellPackages.parsec-utils | |
x86_64-linux emacsPackages.dirtree-prosjekt | |
aarch64-darwin python312Packages.django-encrypted-model-fields | |
aarch64-darwin luajitPackages.middleclass | |
aarch64-darwin python312Packages.tidyexc | |
x86_64-darwin pstoedit | |
x86_64-darwin python311Packages.cvelib | |
x86_64-linux vimPlugins.material-vim | |
aarch64-linux linuxPackages_latest-libre.dddvb | |
x86_64-linux gnomeExtensions.enhunce-activities | |
x86_64-darwin python311Packages.sqlitedict | |
aarch64-darwin python312Packages.baycomp | |
aarch64-linux rubyPackages.taglib-ruby | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.lapack | |
x86_64-linux allegro5 | |
x86_64-darwin perl538Packages.NetDBus | |
x86_64-linux linuxPackages_latest-libre.nvidia_x11_beta_open | |
aarch64-linux lua51Packages.dkjson | |
x86_64-linux haskellPackages.slope-field | |
x86_64-darwin fantomas | |
x86_64-darwin python311Packages.amaranth-boards | |
x86_64-linux python311Packages.iwlib | |
x86_64-darwin python311Packages.cyclonedx-python-lib | |
aarch64-darwin emacsPackages.symbol-navigation-hydra | |
aarch64-linux python311Packages.ebcdic | |
aarch64-darwin python311Packages.pytest-ansible | |
aarch64-darwin python311Packages.enzyme | |
x86_64-linux wlprop | |
x86_64-linux perl536Packages.DistZillaPluginMojibakeTests | |
x86_64-darwin haskellPackages.csound-expression | |
aarch64-darwin haskellPackages.general-games | |
x86_64-darwin proximity-sort | |
aarch64-darwin haskellPackages.type-aligned | |
aarch64-darwin vimPlugins.dropbar-nvim | |
x86_64-linux linuxKernel.packages.linux_lqx.lkrg | |
aarch64-darwin rubyPackages.actionpack | |
aarch64-linux galer | |
aarch64-darwin python311Packages.ipytablewidgets | |
x86_64-linux fstar | |
aarch64-darwin opam-publish | |
x86_64-darwin perl536Packages.CarpAlways | |
x86_64-linux python311Packages.vacuum-map-parser-roborock | |
x86_64-darwin openslide | |
aarch64-linux rocmPackages_6.rpp | |
x86_64-linux rubyPackages_3_3.minitest | |
aarch64-darwin apacheHttpd_2_4 | |
aarch64-linux aioblescan | |
x86_64-linux unciv | |
aarch64-linux emacsPackages.ts | |
aarch64-darwin python311Packages.types-aiobotocore-snowball | |
x86_64-linux haskellPackages.sandwich-hedgehog | |
aarch64-linux python312Packages.dynalite-devices | |
x86_64-linux tests.haskell.cabalSdist.helloFromCabalSdist | |
x86_64-linux python312Packages.tubeup | |
x86_64-darwin haskellPackages.concurrent-sa | |
x86_64-linux haskellPackages.nonempty-vector | |
aarch64-linux haskellPackages.hackage-plot | |
aarch64-darwin emacsPackages.highlight-blocks | |
aarch64-linux python312Packages.expandvars | |
x86_64-linux lua54Packages.nfd | |
aarch64-linux python312Packages.aliyun-python-sdk-kms | |
aarch64-linux python311Packages.types-aiobotocore-scheduler | |
x86_64-linux super-slicer | |
aarch64-darwin emacsPackages.gather | |
x86_64-darwin hledger-web | |
aarch64-darwin python312Packages.pyaml | |
x86_64-linux museeks | |
x86_64-linux brev-cli | |
aarch64-darwin emacsPackages.ahk-mode | |
x86_64-linux python312Packages.robotframework | |
aarch64-darwin haskellPackages.rampart | |
x86_64-linux vimPlugins.nvim-cokeline | |
aarch64-linux neko | |
aarch64-darwin perl538Packages.LogAnyAdapterLog4perl | |
x86_64-linux haskellPackages.either | |
aarch64-darwin sysz | |
x86_64-darwin python312Packages.clarabel | |
x86_64-darwin haskellPackages.PSQueue | |
aarch64-linux haskellPackages.shake-literate | |
aarch64-darwin nix-info | |
aarch64-darwin emacsPackages.kaesar-pbkdf2 | |
x86_64-darwin python311Packages.mergedeep | |
aarch64-darwin python311Packages.palace | |
aarch64-darwin perl538Packages.AnyEventFastPing | |
aarch64-linux python311Packages.fnvhash | |
x86_64-linux simgrid | |
aarch64-darwin emacsPackages.f | |
x86_64-darwin lua51Packages.rapidjson | |
x86_64-linux theLoungePlugins.themes.seraphimrp | |
x86_64-linux lua53Packages.luarepl | |
x86_64-darwin python312Packages.vulture | |
x86_64-darwin emacsPackages.docker | |
x86_64-darwin lua52Packages.basexx | |
aarch64-darwin python311Packages.sanic-testing | |
x86_64-linux haskellPackages.unliftio-core | |
x86_64-linux python311Packages.pycodestyle | |
aarch64-darwin elasticsearchPlugins.search-guard | |
aarch64-linux haskellPackages.lists | |
aarch64-linux python312Packages.types-aiobotocore-elasticache | |
aarch64-darwin haskellPackages.hopenssl | |
aarch64-linux python311Packages.reptor | |
aarch64-darwin python312Packages.bluetooth-sensor-state-data | |
aarch64-darwin python311Packages.netcdf4 | |
x86_64-darwin python312Packages.material-color-utilities | |
x86_64-linux tkdiff | |
x86_64-darwin netproxrc | |
aarch64-linux atomic-swap | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.zenpower | |
x86_64-darwin perl536Packages.Mojolicious | |
aarch64-darwin python312Packages.azure-mgmt-devtestlabs | |
x86_64-linux python311Packages.mypy-boto3-qldb | |
x86_64-darwin python312Packages.aiolifx | |
x86_64-darwin vimPlugins.vim-javascript | |
aarch64-linux python311Packages.mypy-boto3-swf | |
x86_64-linux python312Packages.jinja2-time | |
aarch64-linux libsForQt5.knotes | |
aarch64-darwin cudd | |
aarch64-darwin haskellPackages.http-client-openssl | |
aarch64-linux plasma5Packages.klines | |
x86_64-darwin python312Packages.runs | |
x86_64-linux gupnp_1_6 | |
x86_64-darwin faust2firefox | |
x86_64-linux lambdabot | |
aarch64-linux python312Packages.mkdocstrings | |
x86_64-darwin python312Packages.wheel | |
aarch64-darwin sweet | |
x86_64-linux python312Packages.flask-common | |
x86_64-linux linuxKernel.packages.linux_hardened.hid-tmff2 | |
x86_64-linux whitesur-kde | |
x86_64-darwin defaultbrowser | |
x86_64-darwin python311Packages.pydruid | |
aarch64-linux sgt-puzzles | |
x86_64-linux python311Packages.multi-key-dict | |
aarch64-linux haskellPackages.envy | |
aarch64-darwin python312Packages.bash-kernel | |
x86_64-darwin scrcpy | |
x86_64-darwin haskellPackages.nixos-types | |
aarch64-linux linuxPackages.ena | |
x86_64-linux qsstv | |
x86_64-darwin python312Packages.pycomposefile | |
x86_64-darwin poedit | |
aarch64-darwin emacsPackages.julia-vterm | |
aarch64-linux linuxKernel.packages.linux_6_8.hyperv-daemons | |
aarch64-linux lmstudio | |
aarch64-darwin haskellPackages.sequenceTools | |
x86_64-linux vimPlugins.vim-textobj-function | |
x86_64-linux linuxKernel.packages.linux_4_19_hardened.rtl8821ce | |
x86_64-linux emacsPackages.hidepw | |
aarch64-darwin python312Packages.cftime | |
aarch64-linux rubyPackages_3_2.syntax_tree-rbs | |
x86_64-linux czmq | |
aarch64-darwin haskellPackages.uniform-algebras | |
x86_64-linux haskellPackages.bookhound | |
x86_64-linux qt6.qtshadertools | |
aarch64-linux python312Packages.milc | |
x86_64-darwin rubyPackages_3_1.magic | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.kdl | |
x86_64-darwin haskellPackages.xml-optics | |
aarch64-darwin lua51Packages.std-normalize | |
x86_64-darwin ispike | |
aarch64-darwin emacsPackages.xtest | |
x86_64-linux openocd | |
x86_64-linux haskellPackages.cairo-appbase | |
aarch64-darwin haskellPackages.dhall-openapi | |
aarch64-darwin lua53Packages.lpeglabel | |
aarch64-linux emacsPackages.scihub | |
aarch64-darwin python312Packages.azure-mgmt-authorization | |
aarch64-darwin python312Packages.papis-python-rofi | |
x86_64-linux python311Packages.python-axolotl-curve25519 | |
aarch64-linux emacsPackages.dash-docs | |
x86_64-linux haskellPackages.resourcet | |
aarch64-linux python312Packages.seaserv | |
x86_64-linux emacsPackages.bookmark-frecency | |
x86_64-linux python311Packages.python-bidi | |
x86_64-linux flycast | |
aarch64-darwin chickenPackages_5.chickenEggs.cmark | |
x86_64-linux python312Packages.fleep | |
x86_64-linux python311Packages.python-constraint | |
x86_64-linux haskellPackages.netlib-carray | |
aarch64-darwin emacsPackages.vcomplete | |
x86_64-darwin creep | |
x86_64-linux emacsPackages.weak-ref | |
aarch64-darwin python311Packages.pymitv | |
x86_64-darwin qhull | |
x86_64-linux eclipses.eclipse-committers | |
x86_64-linux parallel-disk-usage | |
aarch64-darwin perl538Packages.GetoptLong | |
aarch64-darwin python311Packages.kiwisolver | |
x86_64-linux haskellPackages.alsa-pcm | |
aarch64-linux emacsPackages.empos | |
x86_64-linux emacsPackages.leaf-keywords | |
aarch64-darwin lua52Packages.mpack | |
x86_64-linux emacsPackages.omni-quotes | |
x86_64-linux plasma5Packages.kdesignerplugin | |
x86_64-darwin terraform-providers.rabbitmq | |
x86_64-darwin python311Packages.pfzy | |
x86_64-darwin mtxclient | |
x86_64-linux coqPackages.math-classes | |
aarch64-darwin esshader | |
x86_64-darwin python311Packages.google-cloud-datacatalog | |
x86_64-darwin emacsPackages.caroline-theme | |
aarch64-linux azure-cli-extensions.managedccfs | |
aarch64-linux python312Packages.mypy-boto3-sagemaker-featurestore-runtime | |
x86_64-darwin python311Packages.gcsa | |
x86_64-darwin emacsPackages.helm-pages | |
x86_64-darwin perl536Packages.EmailValid | |
aarch64-darwin smack | |
x86_64-linux discourse | |
aarch64-linux cantor | |
x86_64-linux fac | |
aarch64-linux curaengine | |
x86_64-darwin haskellPackages.nat | |
aarch64-darwin dcmtk | |
x86_64-darwin golink | |
x86_64-linux haskellPackages.exception-transformers | |
aarch64-darwin perl538Packages.TextCSVEncoded | |
x86_64-darwin python312Packages.ripe-atlas-cousteau | |
aarch64-darwin python311Packages.clickhouse-driver | |
x86_64-linux emacsPackages.ack-menu | |
aarch64-linux gl117 | |
x86_64-linux python312Packages.devolo-home-control-api | |
aarch64-linux libsForQt5.qt5.qtwebsockets | |
aarch64-linux python312Packages.texsoup | |
x86_64-darwin python311Packages.eth-utils | |
x86_64-linux autorandr | |
aarch64-linux home-assistant-component-tests.image_upload | |
x86_64-linux haskellPackages.swish | |
aarch64-darwin cbor-diag | |
aarch64-linux python311Packages.edlib | |
x86_64-linux python311Packages.bme280spi | |
aarch64-darwin libnatpmp | |
x86_64-darwin cdi2iso | |
aarch64-darwin emacsPackages.sweetgreen | |
x86_64-linux goconvey | |
aarch64-linux python312Packages.ltpycld2 | |
x86_64-linux bullet | |
aarch64-darwin emacsPackages.evil-indent-textobject | |
aarch64-linux emacsPackages.ac-slime | |
aarch64-linux liblangtag | |
aarch64-darwin pxattr | |
x86_64-darwin untrunc-anthwlock | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-130 | |
x86_64-linux lugaru | |
aarch64-darwin shaperglot | |
x86_64-linux emacsPackages.engine-mode | |
aarch64-darwin perl536Packages.HTTPDAV | |
x86_64-linux python311Packages.pystache | |
aarch64-darwin python312Packages.python-owasp-zap-v2-4 | |
x86_64-linux python312Packages.timelib | |
aarch64-darwin emacsPackages.units-mode | |
aarch64-linux linuxKernel.packages.linux_zen.rtl8821cu | |
x86_64-darwin python311Packages.brother-ql | |
aarch64-linux usrsctp | |
aarch64-darwin samba4Full | |
aarch64-linux python311Packages.zxing-cpp | |
aarch64-darwin emacsPackages.mini-frame | |
x86_64-darwin python311Packages.psautohint | |
x86_64-linux linuxKernel.packages.linux_5_4.rtl8189fs | |
x86_64-linux python312Packages.django-simple-history | |
aarch64-linux emacsPackages.lavenderless-theme | |
x86_64-linux linuxKernel.packages.linux_xanmod_stable.gasket | |
x86_64-darwin python312Packages.mypy-boto3-opsworks | |
aarch64-linux python312Packages.setuptools-odoo | |
x86_64-darwin haskellPackages.hakyll-favicon | |
x86_64-linux vimPlugins.wiki-vim | |
x86_64-darwin python311Packages.chispa | |
aarch64-darwin perl538Packages.EmailAbstract | |
x86_64-darwin tinygo | |
x86_64-linux goocanvas3 | |
x86_64-linux pandoc-fignos | |
aarch64-linux python312Packages.sasmodels | |
aarch64-linux geocode-glib | |
aarch64-linux haskellPackages.simple-prompt | |
aarch64-linux python312Packages.lazr-uri | |
x86_64-linux haskellPackages.xmlbf-xeno | |
aarch64-darwin mlterm-wayland | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.ddcci-driver | |
aarch64-linux emacsPackages.ednc | |
aarch64-darwin emacsPackages.magit-section | |
x86_64-linux python311Packages.docstring-to-markdown | |
x86_64-linux perl536Packages.DistZillaPluginTestMinimumVersion | |
aarch64-linux timew-sync-server | |
x86_64-linux linuxKernel.packages.linux_xanmod.nvidia_x11_production | |
aarch64-linux protobuf_21 | |
x86_64-linux git-quick-stats | |
x86_64-linux libretro.mame2000 | |
aarch64-linux linuxPackages_xanmod_latest.mba6x_bl | |
x86_64-linux python312Packages.mypy-boto3-iotthingsgraph | |
x86_64-linux fluffychat | |
x86_64-linux qt6Packages.waylib | |
aarch64-darwin haskellPackages.wigner-symbols | |
x86_64-linux emacsPackages.org-pivotal | |
x86_64-darwin python311Packages.cattrs | |
x86_64-darwin python312Packages.types-aiobotocore-rolesanywhere | |
aarch64-darwin soundtouch | |
aarch64-linux python312Packages.wiffi | |
aarch64-linux theLoungePlugins.themes.common | |
x86_64-darwin haskellPackages.draw-poker | |
aarch64-darwin rubyPackages.forwardable-extended | |
aarch64-darwin creds | |
aarch64-darwin haskellPackages.pkcs1 | |
aarch64-darwin rubyPackages_3_3.xcodeproj | |
aarch64-darwin emacsPackages.ipcalc | |
x86_64-linux python312Packages.rtfunicode | |
x86_64-darwin haskellPackages.gitit | |
x86_64-darwin zsh-vi-mode | |
x86_64-darwin emacsPackages.indent-info | |
aarch64-darwin emacsPackages.monitor | |
x86_64-linux python311Packages.pytest-datafiles | |
x86_64-darwin sharness | |
x86_64-linux python312Packages.user-agents | |
x86_64-darwin python311Packages.numericalunits | |
x86_64-darwin aspellDicts.mk | |
x86_64-darwin python312Packages.connection-pool | |
x86_64-darwin python312Packages.imap-tools | |
x86_64-linux python312Packages.hyperion-py | |
x86_64-darwin emacsPackages.dired-narrow | |
aarch64-darwin luajitPackages.funnyfiles-nvim | |
aarch64-linux python312Packages.restructuredtext-lint | |
x86_64-linux gnomeExtensions.translate-indicator | |
x86_64-darwin audiowaveform | |
aarch64-darwin emacsPackages.ert-modeline | |
aarch64-darwin np | |
aarch64-darwin emacsPackages.helm-circe | |
x86_64-linux python312Packages.pykdl | |
x86_64-darwin llvmPackages_13.clang-unwrapped | |
aarch64-linux qtads | |
aarch64-linux emacsPackages.overcast-theme | |
x86_64-darwin charles | |
aarch64-darwin python312Packages.dask-glm | |
aarch64-linux wireplumber | |
aarch64-darwin python311Packages.filterpy | |
x86_64-linux semeru-bin-8 | |
x86_64-darwin lua51Packages.nvim-cmp | |
x86_64-linux python312Packages.preprocess-cancellation | |
x86_64-darwin haskellPackages.hjsmin | |
aarch64-linux python311Packages.bacpypes | |
aarch64-linux home-assistant-component-tests.sabnzbd | |
x86_64-darwin garnet | |
x86_64-darwin bat-extras.batgrep | |
aarch64-darwin perl538Packages.ListCompare | |
aarch64-darwin python312Packages.types-aiobotocore-kms | |
x86_64-darwin python311Packages.azure-servicebus | |
aarch64-linux linuxKernel.packages.linux_6_1.cpupower | |
aarch64-darwin create-cycle-app | |
x86_64-linux haskellPackages.addLicenseInfo | |
x86_64-darwin cksfv | |
x86_64-linux haskellPackages.mbox-utility | |
aarch64-darwin mpc-cli | |
aarch64-linux python311Packages.sopel | |
aarch64-darwin emacsPackages.filladapt | |
aarch64-darwin emacsPackages.ob-redis | |
aarch64-darwin python312Packages.dpath | |
aarch64-darwin haskellPackages.stm-sbchan | |
aarch64-linux qt5.qtquickcontrols | |
x86_64-linux haskellPackages.time-units-types | |
aarch64-linux qt6.qtdoc | |
x86_64-linux python311Packages.ortools | |
aarch64-darwin python312Packages.losant-rest | |
x86_64-darwin postgresqlJitPackages.pg_net | |
aarch64-linux docker-machine-kvm2 | |
x86_64-linux linuxPackages.nvidia_x11_beta | |
x86_64-darwin perl536Packages.TestNoWarnings | |
aarch64-linux python312Packages.cachier | |
aarch64-linux darkstat | |
x86_64-linux vimPlugins.vim-sexp | |
x86_64-linux lua52Packages.lua-utils-nvim | |
aarch64-darwin python312Packages.types-aiobotocore-ce | |
x86_64-darwin perl536Packages.UnicodeCaseFold | |
aarch64-darwin python311Packages.mapbox | |
aarch64-darwin emacsPackages.which-key | |
x86_64-linux python312Packages.imap-tools | |
aarch64-linux python311Packages.pygtrie | |
aarch64-darwin python312Packages.tomli-w | |
aarch64-linux home-assistant-component-tests.vicare | |
x86_64-darwin chickenPackages_5.chickenEggs.pigeon-hole | |
x86_64-darwin minizip | |
x86_64-linux haskellPackages.shh | |
aarch64-linux python311Packages.mypy-boto3-codestar-notifications | |
x86_64-linux gnome-online-accounts | |
x86_64-linux lua54Packages.std-_debug | |
x86_64-darwin oxlint | |
aarch64-linux kdePackages.kmahjongg | |
x86_64-linux python312Packages.bc-jsonpath-ng | |
x86_64-darwin prismlauncher-qt5 | |
aarch64-linux python312Packages.pex | |
aarch64-darwin conglomerate | |
aarch64-darwin perl536Packages.EmailDateFormat | |
x86_64-darwin python312Packages.cachey | |
aarch64-linux python311Packages.pyemvue | |
x86_64-darwin sing-geoip | |
x86_64-linux gitlab-workhorse | |
aarch64-darwin perl536Packages.CSSDOM | |
x86_64-darwin emacsPackages.backline | |
x86_64-darwin python311Packages.msoffcrypto-tool | |
x86_64-linux python311Packages.pyqwikswitch | |
x86_64-darwin rubyPackages_3_3.faraday | |
x86_64-darwin haskellPackages.logical-constraints | |
aarch64-linux python312Packages.pyemd | |
x86_64-linux fox | |
aarch64-linux haskellPackages.data-or | |
aarch64-linux rxvt-unicode-unwrapped | |
aarch64-linux linuxPackages_xanmod_latest.rtl88x2bu | |
aarch64-darwin python312Packages.scrapy | |
aarch64-darwin perl538Packages.RegexpCommonnetCIDR | |
x86_64-linux php81Extensions.rdkafka | |
x86_64-linux python312Packages.localstack-ext | |
aarch64-linux moon | |
x86_64-darwin emacsPackages.password-store | |
aarch64-linux sympow | |
aarch64-darwin perl536Packages.BioBigFile | |
x86_64-linux pax-utils | |
x86_64-darwin rubyPackages.jekyll-theme-architect | |
x86_64-darwin deterministic-uname | |
x86_64-darwin python311Packages.f5-icontrol-rest | |
x86_64-linux vimPlugins.vim-astro | |
aarch64-linux libgxps | |
aarch64-darwin emacsPackages.org-seek | |
x86_64-linux python311Packages.requests-ratelimiter | |
aarch64-darwin rubyPackages_3_1.actionmailer | |
x86_64-linux gxemul | |
x86_64-linux haskellPackages.attoparsec-conduit | |
aarch64-linux emacsPackages.anki-connect | |
aarch64-linux emacsPackages.flycheck-bashate | |
aarch64-linux haskellPackages.rating-systems | |
aarch64-linux kotlin-language-server | |
x86_64-linux maiko | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.purescript | |
x86_64-linux haskellPackages.ghc-tcplugins-extra | |
aarch64-linux alfis | |
x86_64-darwin inormalize | |
aarch64-darwin plasma5Packages.kcalendarcore | |
aarch64-darwin python312Packages.pyworld | |
aarch64-linux snet | |
aarch64-darwin knot-dns | |
x86_64-darwin vimPlugins.nvim-treesitter-parsers.v | |
x86_64-darwin haskellPackages.the-snip | |
aarch64-darwin coqPackages.graph-theory | |
x86_64-darwin perl536Packages.LinguaStemFr | |
x86_64-darwin perl538Packages.ParseDebControl | |
x86_64-linux python311Packages.flake8-blind-except | |
aarch64-darwin objconv | |
x86_64-linux linuxPackages_5_10_hardened.batman_adv | |
x86_64-darwin streamlink | |
aarch64-linux rubyPackages_3_3.typhoeus | |
x86_64-linux easycrypt | |
x86_64-darwin python312Packages.jinja2-ansible-filters | |
x86_64-linux chickenPackages_5.chickenEggs.nanomsg | |
aarch64-linux linuxPackages.framework-laptop-kmod | |
aarch64-linux emacsPackages.cycle-resize | |
x86_64-linux python311Packages.types-docutils | |
aarch64-linux pixz | |
aarch64-darwin kubelogin | |
aarch64-darwin python311Packages.pyqt3d | |
x86_64-darwin haskellPackages.hjpath | |
x86_64-darwin python312Packages.libmr | |
aarch64-linux python312Packages.manifestoo | |
aarch64-linux haskellPackages.localization | |
x86_64-darwin libsbsms | |
x86_64-linux python311Packages.reqif | |
aarch64-darwin python312Packages.pulsar-client | |
x86_64-darwin python312Packages.youtube-search | |
x86_64-linux python312Packages.pykwb | |
aarch64-linux python311Packages.docopt | |
x86_64-linux haskellPackages.church-pair | |
x86_64-linux notmuch-bower | |
x86_64-linux haskellPackages.casa-types | |
x86_64-darwin python311Packages.python-snappy | |
x86_64-linux python312Packages.sigstore-rekor-types | |
x86_64-darwin emacsPackages.ace-isearch | |
x86_64-darwin haskellPackages.unique-logic-tf | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-116 | |
x86_64-darwin mindforger | |
aarch64-linux vimPlugins.ron-vim | |
aarch64-darwin python311Packages.pulumi-aws | |
aarch64-darwin python311Packages.bc-jsonpath-ng | |
aarch64-darwin emacsPackages.debian-el | |
x86_64-linux vimPlugins.vis | |
aarch64-linux emacsPackages.gnus-desktop-notify | |
aarch64-linux python312Packages.aws-adfs | |
aarch64-linux linuxPackages_latest.mxu11x0 | |
aarch64-darwin emacsPackages.ob-http | |
aarch64-linux home-assistant-component-tests.cloudflare | |
x86_64-darwin emacsPackages.pulseaudio-control | |
x86_64-linux haskellPackages.hakyll-images | |
x86_64-linux linuxPackages-libre.bbswitch | |
aarch64-darwin plasma5Packages.quazip | |
aarch64-darwin perl538Packages.GeoIP2 | |
x86_64-linux python312Packages.vispy | |
x86_64-linux emacsPackages.nov | |
aarch64-darwin python311Packages.bluemaestro-ble | |
x86_64-darwin haskellPackages.approximate | |
x86_64-darwin grilo | |
aarch64-darwin python311Packages.raincloudy | |
x86_64-linux python312Packages.mypy-boto3-forecast | |
x86_64-linux haskellPackages.titlecase | |
aarch64-linux apacheHttpdPackages_2_4.mod_ocsp | |
x86_64-darwin python311Packages.online-judge-tools | |
aarch64-linux python312Packages.obspy | |
aarch64-darwin python312Packages.z3-solver | |
aarch64-darwin perl538Packages.TemplateTiny | |
aarch64-linux python311Packages.aiodiscover | |
aarch64-linux haskellPackages.histogram-fill-binary | |
x86_64-darwin python311Packages.dugong | |
x86_64-linux haskellPackages.bindings-libffi | |
aarch64-darwin stfl | |
aarch64-darwin xorg.xf86videoapm | |
aarch64-linux postgresql12Packages.apache_datasketches | |
aarch64-linux postgresql15Packages.pg_ivm | |
aarch64-linux python311Packages.aioelectricitymaps | |
x86_64-darwin fldigi | |
x86_64-darwin perl538Packages.Starman | |
aarch64-darwin python312Packages.mypy-boto3-application-insights | |
aarch64-darwin python311Packages.sphinx-pytest | |
x86_64-linux emacsPackages.humanoid-themes | |
x86_64-linux haskellPackages.amazonka-codeartifact | |
x86_64-darwin python312Packages.sqlite-migrate | |
aarch64-darwin emacsPackages.afterglow | |
x86_64-linux rakkess | |
x86_64-linux python311Packages.uranium | |
aarch64-linux python312Packages.faraday-plugins | |
aarch64-linux python312Packages.pytest-metadata | |
x86_64-linux haskellPackages.githash | |
x86_64-linux python312Packages.clint | |
aarch64-darwin python311Packages.classify-imports | |
aarch64-linux cyclone | |
aarch64-darwin hyphen | |
aarch64-darwin python311Packages.langid | |
aarch64-linux python311Packages.pytransportnsw | |
aarch64-linux apacheKafka | |
x86_64-darwin chickenPackages_5.chickenEggs.memory-mapped-files | |
aarch64-linux emacsPackages.fill-column-indicator | |
x86_64-darwin python311Packages.magic-wormhole-mailbox-server | |
aarch64-darwin python312Packages.aiohttp | |
x86_64-darwin emacsPackages.ob-smiles | |
aarch64-darwin vimPlugins.bigfile-nvim | |
aarch64-linux postgresql15Packages.postgis | |
x86_64-linux python311Packages.networkx | |
x86_64-linux gogs | |
aarch64-darwin rqlite | |
x86_64-linux yubihsm-shell | |
x86_64-linux python312Packages.xstatic-jquery-file-upload | |
x86_64-darwin xorg.xset | |
aarch64-darwin rubyPackages_3_2.dnsruby | |
x86_64-darwin compile-daemon | |
aarch64-linux lua52Packages.cyan | |
aarch64-darwin emacsPackages.bts | |
x86_64-linux phodav | |
x86_64-linux python312Packages.wavefile | |
x86_64-darwin python311Packages.mirakuru | |
x86_64-darwin haskellPackages.haha | |
x86_64-darwin perl538Packages.PlackMiddlewareConsoleLogger | |
x86_64-linux dotnet-aspnetcore_7 | |
x86_64-darwin emacsPackages.evil-textobj-entire | |
aarch64-linux j4-dmenu-desktop | |
x86_64-linux python312Packages.nltk | |
x86_64-linux gpt2tc | |
x86_64-linux re-flex | |
x86_64-darwin haskellPackages.current-locale | |
x86_64-darwin haskellPackages.tzdata | |
x86_64-darwin libuiohook | |
x86_64-darwin python311Packages.pyserial | |
x86_64-linux mdbook-admonish | |
x86_64-linux python312Packages.xvfbwrapper | |
x86_64-darwin haskellPackages.ghc-typelits-presburger | |
aarch64-linux emacsPackages.evil-tutor-sc | |
x86_64-linux python311Packages.adafruit-io | |
aarch64-linux haskellPackages.FenwickTree | |
aarch64-linux linuxPackages-libre.apfs | |
x86_64-darwin python312Packages.mercurial | |
x86_64-linux emacsPackages.ace-flyspell | |
aarch64-linux emacsPackages.highlight | |
x86_64-darwin hunspellDicts.fr-moderne | |
x86_64-darwin rubyPackages.idn-ruby | |
aarch64-darwin git-hound | |
aarch64-linux emacsPackages.websearch | |
aarch64-darwin haskellPackages.aern2-fun | |
aarch64-linux lua54Packages.compat53 | |
x86_64-darwin python311Packages.twitter-common-options | |
aarch64-linux linuxPackages_6_1_hardened.system76-scheduler | |
aarch64-linux haskellPackages.dfrac | |
aarch64-darwin emacsPackages.ctrlf | |
x86_64-linux python312Packages.aiofile | |
aarch64-darwin tcl-fcgi | |
x86_64-linux linuxPackages_4_19_hardened.system76-io | |
x86_64-darwin python312Packages.pykulersky | |
aarch64-darwin haskellPackages.secret-sharing | |
aarch64-linux emacsPackages.soundklaus | |
aarch64-linux linuxKernel.packages.linux_libre.rtl8812au | |
aarch64-linux python311Packages.extractcode | |
aarch64-darwin rsgain | |
aarch64-darwin numix-sx-gtk-theme | |
aarch64-linux python311Packages.mkdocs-redirects | |
aarch64-darwin perl536Packages.DevelNYTProf | |
x86_64-linux python311Packages.skytemple-icons | |
aarch64-darwin haskellPackages.token-limiter-concurrent | |
aarch64-linux home-assistant-component-tests.tilt_ble | |
aarch64-darwin tun2socks | |
x86_64-darwin vimPlugins.nvim-dap | |
aarch64-linux python312Packages.pyotgw | |
x86_64-linux python312Packages.aiohttp-retry | |
x86_64-darwin libaudec | |
x86_64-darwin theLoungePlugins.themes.dracula | |
aarch64-linux lua54Packages.fidget-nvim | |
x86_64-darwin typioca | |
aarch64-darwin emacsPackages.anybar | |
x86_64-darwin perl536Packages.FilePath | |
x86_64-linux python312Packages.cloudsmith-api | |
aarch64-darwin python311Packages.isosurfaces | |
aarch64-linux python311Packages.cachelib | |
x86_64-darwin cambrinary | |
aarch64-darwin emacsPackages.string-edit-at-point | |
x86_64-linux azure-cli-extensions.dns-resolver | |
aarch64-darwin python312Packages.typed-ast | |
aarch64-darwin haskellPackages.tao | |
aarch64-darwin haskellPackages.co-log-polysemy | |
x86_64-linux emacsPackages.caskxy | |
aarch64-linux kdePackages.qmlkonsole | |
x86_64-linux libsForQt5.qtwayland | |
aarch64-darwin powershell | |
aarch64-linux python312Packages.autarco | |
x86_64-linux zfstools | |
x86_64-darwin haskellPackages.mig-wai | |
aarch64-darwin python311Packages.clickhouse-cli | |
x86_64-darwin python312Packages.soupsieve | |
aarch64-darwin lsd2dsl | |
x86_64-linux gnomeExtensions.logo-widget | |
aarch64-darwin haskellPackages.password-types | |
aarch64-linux emacsPackages.haproxy-mode | |
aarch64-darwin python312Packages.pytest-spec | |
aarch64-darwin python312Packages.gcsfs | |
x86_64-darwin python311Packages.phonenumbers | |
aarch64-linux mangal | |
x86_64-darwin python312Packages.plexwebsocket | |
x86_64-darwin rubyPackages_3_3.colored | |
x86_64-linux haskellPackages.NameGenerator | |
aarch64-linux haskellPackages.pem | |
x86_64-linux emacsPackages.nerd-icons-ibuffer | |
x86_64-linux emacsPackages.sticky-shell | |
aarch64-linux python311Packages.jarowinkler | |
aarch64-darwin pb | |
aarch64-linux tests.hardeningFlags-clang.fortifyExplicitEnabled | |
aarch64-darwin haskellPackages.monad-par | |
x86_64-darwin pandoc-drawio-filter | |
x86_64-linux python312Packages.snakemake-storage-plugin-xrootd | |
x86_64-linux python312Packages.text-unidecode | |
x86_64-darwin nth | |
x86_64-darwin python311Packages.getmac | |
x86_64-darwin python311Packages.pydevd | |
x86_64-linux emacsPackages.orgtbl-show-header | |
aarch64-linux python312Packages.redis | |
x86_64-linux python311Packages.keba-kecontact | |
aarch64-linux python312Packages.cirq-ionq | |
x86_64-darwin rubyPackages_3_2.ast | |
x86_64-linux python312Packages.jsonschema | |
x86_64-linux haskellPackages.versions | |
x86_64-linux haskellPackages.logging | |
aarch64-linux haskellPackages.circular | |
x86_64-darwin python312Packages.polyswarm-api | |
x86_64-linux cfssl | |
aarch64-linux azure-cli-extensions.appservice-kube | |
aarch64-darwin python312Packages.tablib | |
x86_64-linux radiotray-ng | |
aarch64-darwin python312Packages.cbor2 | |
aarch64-linux emacsPackages.tr-ime | |
x86_64-linux python311Packages.aplpy | |
aarch64-darwin haskellPackages.compound-types | |
aarch64-linux emacsPackages.helm-posframe | |
aarch64-darwin python312Packages.comm | |
aarch64-linux kdePackages.ksquares | |
x86_64-linux python312Packages.types-aiobotocore-appconfigdata | |
x86_64-linux libsignon-glib | |
x86_64-linux python311Packages.bluetooth-data-tools | |
aarch64-linux python312Packages.brotli-asgi | |
aarch64-linux bloaty | |
x86_64-darwin sherpa | |
aarch64-linux haskellPackages.language-python-test | |
x86_64-linux lottieconverter | |
x86_64-linux python312Packages.okonomiyaki | |
aarch64-linux python311Packages.exif | |
aarch64-linux haskellPackages.int-cast | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.openafs_1_8 | |
aarch64-darwin perl536Packages.MooseXClone | |
x86_64-linux haskellPackages.data-default-instances-case-insensitive | |
x86_64-darwin perl538Packages.JavaScriptValueEscape | |
aarch64-darwin python312Packages.characteristic | |
aarch64-darwin haskellPackages.optional-args | |
aarch64-darwin emacsPackages.tree-sitter-ispell | |
x86_64-linux vimPlugins.promise-async | |
x86_64-linux python312Packages.igraph | |
x86_64-linux python311Packages.mypy-boto3-cloudhsmv2 | |
aarch64-linux qemu_xen-light | |
x86_64-linux rubyPackages_3_3.markaby | |
aarch64-darwin python312Packages.inscriptis | |
x86_64-linux sbclPackages.minheap | |
x86_64-darwin manilaclient | |
aarch64-linux mate.mate-power-manager | |
aarch64-linux python311Packages.aiohttp-basicauth | |
x86_64-linux python311Packages.pylibjpeg-libjpeg | |
x86_64-linux emacsPackages.cyanometric-theme | |
aarch64-linux python312Packages.questionary | |
aarch64-darwin emacsPackages.org-gtd | |
x86_64-darwin emacsPackages.hippo-themes | |
aarch64-darwin python311Packages.foxdot | |
aarch64-darwin haskellPackages.hex-text | |
x86_64-darwin python312Packages.pyvesync | |
x86_64-darwin python311Packages.jsonformatter | |
x86_64-darwin gofumpt | |
aarch64-darwin perl536Packages.LinguaStem | |
x86_64-darwin python311Packages.types-requests | |
x86_64-darwin flatito | |
aarch64-darwin python312Packages.vultr | |
x86_64-linux haskellPackages.amazonka-route53 | |
x86_64-darwin libsForQt5.parachute | |
aarch64-darwin python311Packages.rising | |
aarch64-darwin perl538Packages.HTMLFormatTextWithLinksAndTables | |
aarch64-linux emacsPackages.ivy-hydra | |
x86_64-darwin insert-dylib | |
aarch64-linux linuxPackages_4_19_hardened.rtl8189es | |
aarch64-linux ataripp | |
aarch64-linux haskellPackages.cassava-th | |
x86_64-darwin rpmextract | |
aarch64-darwin python312Packages.crownstone-core | |
x86_64-linux bump | |
x86_64-darwin python311Packages.tvdb-api | |
x86_64-darwin python311Packages.mypy-boto3-worklink | |
x86_64-linux python311Packages.logster | |
x86_64-darwin perl536Packages.CGIExpand | |
aarch64-darwin llvmPackages.clangNoLibcxx | |
x86_64-linux luaPackages.gitsigns-nvim | |
x86_64-linux python312Packages.pytest-expect | |
aarch64-darwin haskellPackages.throttled | |
x86_64-linux python312Packages.mypy-boto3-ssm | |
aarch64-linux llvmPackages_13.libllvm | |
x86_64-darwin emacsPackages.helm-atoms | |
aarch64-darwin python312Packages.aioshelly | |
x86_64-darwin systemc | |
x86_64-linux python312Packages.pyvirtualdisplay | |
x86_64-linux rkdeveloptool | |
aarch64-linux haskellPackages.hmemdb | |
aarch64-darwin uwsgi | |
aarch64-linux haskellPackages.data-reify | |
aarch64-linux python312Packages.types-aiobotocore-sns | |
aarch64-darwin hexo-cli | |
x86_64-linux mathlibtools | |
aarch64-darwin haskellPackages.api-opentheory-unicode | |
aarch64-darwin python311Packages.yasi | |
x86_64-linux linuxKernel.packages.linux_4_19.facetimehd | |
x86_64-linux python311Packages.statmake | |
aarch64-linux vimPlugins.grapple-nvim | |
aarch64-darwin python312Packages.three-merge | |
x86_64-linux vimPlugins.lsp-colors-nvim | |
aarch64-darwin vimPlugins.skim-vim | |
aarch64-linux luaPackages.lua-resty-openssl | |
x86_64-darwin natscli | |
x86_64-darwin python312Packages.zope-i18nmessageid | |
x86_64-linux python311Packages.django-logentry-admin | |
aarch64-linux haskellPackages.monadbi | |
x86_64-linux linuxPackages.digimend | |
x86_64-darwin bingrep | |
x86_64-linux gama | |
x86_64-darwin haskellPackages.ad-delcont | |
x86_64-darwin emacsPackages.chocolate-theme | |
x86_64-linux emacsPackages.org-sliced-images | |
aarch64-darwin libsForQt5.qtwebsockets | |
x86_64-darwin perl536Packages.XMLSAXExpat | |
x86_64-linux python312Packages.pymeteireann | |
x86_64-darwin haskellPackages.board-games | |
aarch64-darwin haskellPackages.LDAP | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.gasket | |
aarch64-darwin yor | |
aarch64-linux livecaptions | |
x86_64-linux python312Packages.deprecated | |
aarch64-darwin xxgdb | |
x86_64-linux emacsPackages.crontab-mode | |
x86_64-darwin emacsPackages.smmry | |
x86_64-linux linuxPackages_xanmod_stable.mstflint_access | |
x86_64-linux knxd | |
aarch64-darwin haskellPackages.amazonka-codestar-connections | |
x86_64-darwin perl538Packages.PerlIOgzip | |
x86_64-darwin emacsPackages.autothemer | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.dpdk | |
x86_64-linux emacsPackages.web-completion-data | |
x86_64-linux octavePackages.octclip | |
aarch64-darwin python312Packages.pins | |
x86_64-darwin ali | |
aarch64-darwin haskellPackages.path-pieces | |
x86_64-linux python312Packages.foundationdb71 | |
aarch64-darwin haskellPackages.network-types-icmp | |
x86_64-darwin libretro.citra | |
x86_64-linux argocd | |
aarch64-linux python311Packages.uplc | |
aarch64-linux wxhexeditor | |
x86_64-darwin qt5.qtwebchannel | |
x86_64-darwin procps | |
aarch64-linux haskellPackages.tldr | |
aarch64-darwin emacsPackages.ox-timeline | |
x86_64-linux libsForQt5.palapeli | |
x86_64-linux python311Packages.grad-cam | |
x86_64-darwin perl536Packages.PerlDestructLevel | |
x86_64-linux ptex | |
aarch64-darwin haskellPackages.dot2graphml | |
x86_64-linux python312Packages.mbstrdecoder | |
x86_64-linux zile | |
aarch64-darwin perl538Packages.FutureAsyncAwait | |
aarch64-linux openmodelica.omsimulator | |
aarch64-linux python312Packages.laces | |
aarch64-darwin python312Packages.pyfma | |
aarch64-linux lua53Packages.fifo | |
aarch64-darwin zwave-js-server | |
x86_64-linux rubyPackages.execjs | |
aarch64-linux emacsPackages.rego-mode | |
aarch64-darwin haskellPackages.pandoc-server | |
x86_64-linux php83Extensions.mysqlnd | |
aarch64-linux rubyPackages_3_3.rugged | |
x86_64-linux llvmPackages.mlir | |
x86_64-darwin fftwMpi | |
x86_64-linux python311Packages.duct-py | |
x86_64-darwin python312Packages.habanero | |
x86_64-darwin tailscale-nginx-auth | |
x86_64-darwin perl538Packages.EncodePunycode | |
aarch64-linux syncthing-discovery | |
x86_64-linux lua52Packages.tl | |
x86_64-darwin perl536Packages.NetPing | |
aarch64-darwin libretro.parallel-n64 | |
x86_64-darwin python311Packages.idasen | |
aarch64-linux evilwm | |
x86_64-darwin unixODBCDrivers.msodbcsql18 | |
x86_64-linux python311Packages.icecream | |
x86_64-darwin python311Packages.pymarshal | |
x86_64-darwin chickenPackages_5.chickenEggs.espeak | |
x86_64-darwin python312Packages.types-aiobotocore-backup-gateway | |
aarch64-darwin python312Packages.google-cloud-dlp | |
aarch64-linux haskellPackages.shakespeare-i18n | |
x86_64-darwin bb | |
x86_64-darwin python311Packages.xkcdpass | |
x86_64-linux haskellPackages.mtl-compat | |
aarch64-darwin python311Packages.spake2 | |
aarch64-linux optifinePackages.optifine_1_13_1 | |
x86_64-darwin python311Packages.oset | |
aarch64-darwin bindfs | |
aarch64-linux python311Packages.pa-ringbuffer | |
aarch64-darwin python311Packages.pyvisa | |
x86_64-linux haskellPackages.placeholders | |
x86_64-linux shellz | |
x86_64-linux spirv-headers | |
aarch64-linux linuxPackages_xanmod_latest.ch9344 | |
x86_64-darwin python311Packages.crc16 | |
x86_64-linux python312Packages.lc7001 | |
x86_64-darwin rubyPackages_3_3.cocoapods-try | |
x86_64-darwin rubyPackages.cocoapods | |
aarch64-darwin python312Packages.fontbakery | |
aarch64-linux python312Packages.secure | |
x86_64-darwin python311Packages.python-binance | |
x86_64-linux python312Packages.pulsar | |
x86_64-linux python312Packages.seqeval | |
aarch64-linux terraform-providers.signalfx | |
aarch64-linux emacsPackages.svnwrapper | |
x86_64-darwin perl538Packages.CairoGObject | |
aarch64-darwin python312Packages.mapbox-earcut | |
x86_64-darwin python311Packages.immutabledict | |
x86_64-linux apache-directory-studio | |
x86_64-darwin haskellPackages.chain-codes | |
x86_64-linux python311Packages.aiohappyeyeballs | |
aarch64-darwin haskellPackages.postgresql-schema | |
aarch64-darwin lua51Packages.lua-protobuf | |
aarch64-linux python312Packages.epion | |
x86_64-linux rubyPackages_3_2.connection_pool | |
x86_64-darwin emacsPackages.flymake-diagnostic-at-point | |
aarch64-darwin maestral | |
aarch64-darwin tesseract5 | |
x86_64-linux home-assistant-component-tests.vlc_telnet | |
aarch64-linux haskellPackages.hw-ip | |
aarch64-linux linuxKernel.packages.linux_5_10_hardened.mxu11x0 | |
x86_64-darwin wcalc | |
aarch64-linux python311Packages.tendo | |
x86_64-linux haskellPackages.hmatrix-nlopt | |
x86_64-linux yuicompressor | |
x86_64-linux haskellPackages.frecently | |
aarch64-darwin hoppscotch | |
x86_64-linux uhexen2 | |
aarch64-darwin haskellPackages.co-log-concurrent | |
aarch64-linux emacsPackages.tide | |
x86_64-linux vimPlugins.jupytext-nvim | |
x86_64-linux temurin-bin-18 | |
x86_64-linux python311Packages.siuba | |
x86_64-darwin git-pw | |
x86_64-linux rubyPackages_3_3.activestorage | |
aarch64-darwin emacsPackages.icsql | |
x86_64-darwin haskellPackages.kmeans | |
aarch64-darwin python312Packages.gehomesdk | |
x86_64-darwin rubyPackages.jekyll-titles-from-headings | |
x86_64-linux cudaPackages_10.cudnn_7_6 | |
x86_64-darwin source-sans-pro | |
x86_64-linux python311Packages.binary | |
x86_64-linux python311Packages.pysolcast | |
aarch64-darwin haskellPackages.row-types-aeson | |
aarch64-darwin chickenPackages_5.chickenEggs.srfi-63 | |
aarch64-darwin haskellPackages.chronos-bench | |
x86_64-darwin python311Packages.types-aiobotocore-robomaker | |
aarch64-darwin python312Packages.retrying | |
x86_64-linux plasma5Packages.qt5.qtsensors | |
x86_64-linux abcl | |
x86_64-linux gnomeExtensions.eruption-profile-switcher | |
aarch64-darwin python312Packages.sunpy | |
x86_64-linux qt5.qtimageformats | |
aarch64-linux emacsPackages.flycheck-popup-tip | |
aarch64-darwin haskellPackages.say-my-name | |
aarch64-darwin emacsPackages.lush-theme | |
aarch64-darwin grafana-dash-n-grab | |
aarch64-linux python311Packages.mecab-python3 | |
aarch64-linux haskellPackages.distributive | |
x86_64-darwin python312Packages.python | |
x86_64-linux janet | |
aarch64-linux emacsPackages.helm-bitbucket | |
x86_64-linux python311Packages.pytest-raisin | |
x86_64-darwin python312Packages.serpy | |
x86_64-linux python312Packages.scikit-fmm | |
aarch64-linux twine | |
aarch64-linux python312Packages.interface-meta | |
aarch64-linux cudaPackages_11.saxpy | |
aarch64-linux emacsPackages.embark-consult | |
aarch64-linux python312Packages.azure-mgmt-commerce | |
x86_64-linux emacsPackages.avy-zap | |
aarch64-darwin python311Packages.mercurial | |
aarch64-linux python311Packages.webthing-ws | |
x86_64-linux renode-unstable | |
x86_64-linux python311Packages.mypy | |
aarch64-linux mollysocket | |
aarch64-linux python312Packages.amaranth-boards | |
aarch64-linux envypn-font | |
x86_64-darwin vimPlugins.vim-visual-increment | |
x86_64-darwin python312Packages.systembridgeconnector | |
x86_64-darwin libimagequant | |
x86_64-linux haskellPackages.command-qq | |
x86_64-linux nix-prefetch | |
x86_64-linux python311Packages.pims | |
aarch64-darwin perl536Packages.ExtUtilsTypemapsDefault | |
x86_64-linux emacsPackages.wisi | |
aarch64-darwin python311Packages.branca | |
aarch64-linux python311Packages.md2gemini | |
x86_64-linux rubyPackages_3_3.rails | |
aarch64-linux luaPackages.luautf8 | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.lttng-modules | |
x86_64-linux haskellPackages.hie-bios | |
aarch64-darwin hunspellDicts.es-pe | |
aarch64-darwin python312Packages.types-aiobotocore-opensearch | |
x86_64-linux gnomeExtensions.lock-screen | |
aarch64-linux python311Packages.finvizfinance | |
aarch64-darwin emacsPackages.zygospore | |
x86_64-linux eureka-editor | |
aarch64-linux python311Packages.halohome | |
aarch64-darwin shiori | |
x86_64-linux gnome.gnome-clocks | |
aarch64-darwin python311Packages.mypy-boto3-redshift | |
x86_64-linux ssldump | |
x86_64-darwin svix-server | |
aarch64-linux python312Packages.azure-mgmt-security | |
x86_64-linux azure-cli-extensions.qumulo | |
aarch64-darwin mpvScripts.sponsorblock | |
x86_64-darwin perl538Packages.Moose | |
aarch64-linux antares | |
aarch64-linux python312Packages.mypy-boto3-docdb-elastic | |
aarch64-linux python311Packages.yangson | |
aarch64-darwin perl536Packages.CryptCAST5_PP | |
aarch64-darwin python312Packages.dissect-hypervisor | |
aarch64-linux emacsPackages.poke-mode | |
aarch64-linux python312Packages.types-aiobotocore-workmail | |
aarch64-darwin chickenPackages_5.chickenEggs.lmdb | |
aarch64-darwin packetbeat | |
x86_64-darwin haskellPackages.shakespeare | |
x86_64-darwin emacsPackages.mac-pseudo-daemon | |
aarch64-linux haskellPackages.base64-string | |
x86_64-darwin emacsPackages.flycheck-clang-tidy | |
aarch64-linux goa | |
aarch64-darwin planarity | |
x86_64-linux sqldeveloper | |
aarch64-darwin chickenPackages_5.chickenEggs.patch | |
x86_64-linux intelmetool | |
x86_64-linux okapi | |
aarch64-linux python311Packages.xknxproject | |
aarch64-darwin python312Packages.dnslib | |
aarch64-darwin emacsPackages.ob-php | |
aarch64-darwin emacsPackages.helm-chronos | |
aarch64-linux lua51Packages.luadbi-sqlite3 | |
aarch64-darwin haskellPackages.tasty-autocollect | |
aarch64-linux python312Packages.jsonfield | |
aarch64-linux libsForQt5.libkexiv2 | |
x86_64-linux emacsPackages.pretty-mode | |
x86_64-darwin python311Packages.bleak-esphome | |
x86_64-darwin haskellPackages.amazonka-cur | |
aarch64-darwin emacsPackages.shenshou | |
x86_64-linux python312Packages.ratelimit | |
aarch64-linux llvmPackages_16.clang-manpages | |
x86_64-linux emacsPackages.flymake-python-pyflakes | |
x86_64-darwin haskellPackages.tophat | |
aarch64-linux emacsPackages.sharper | |
x86_64-linux gost | |
aarch64-darwin terraform-providers.migadu | |
x86_64-darwin marisa | |
x86_64-darwin emacsPackages.neato-graph-bar | |
x86_64-darwin haskellPackages.refined-containers | |
aarch64-linux python311Packages.sphinx-jupyterbook-latex | |
x86_64-linux envio | |
aarch64-linux plasma5Packages.qtsystems | |
x86_64-darwin emacsPackages.schrute | |
x86_64-linux emacsPackages.slim-mode | |
x86_64-darwin perl536Packages.ClonePP | |
x86_64-linux python312Packages.protonup-ng | |
x86_64-linux rocmPackages_5.miopen | |
x86_64-darwin python312Packages.maxminddb | |
x86_64-darwin libdicom | |
x86_64-linux marathonctl | |
aarch64-darwin perl536Packages.DBIxDBSchema | |
x86_64-darwin rubyPackages_3_2.erubi | |
x86_64-darwin gramps | |
aarch64-darwin haskellPackages.aeson-quick | |
aarch64-darwin python312Packages.hcs-utils | |
aarch64-linux yazi-unwrapped | |
aarch64-linux nsis | |
x86_64-darwin python311Packages.httpx | |
x86_64-linux python311Packages.transmission-rpc | |
x86_64-linux linuxPackages_5_15_hardened.system76 | |
x86_64-darwin haskellPackages.termbox-tea | |
aarch64-linux haskellPackages.keycode | |
aarch64-linux equilux-theme | |
aarch64-linux haskellPackages.hs-captcha | |
aarch64-darwin libmodbus | |
aarch64-linux python311Packages.django-haystack | |
x86_64-linux emacsPackages.dokuwiki-mode | |
aarch64-darwin emacsPackages.notmuch-maildir | |
aarch64-linux emacsPackages.elpa-clone | |
x86_64-darwin teams | |
aarch64-linux emacsPackages.grass-mode | |
aarch64-linux python312Packages.pytest-qt | |
aarch64-linux emacsPackages.devil | |
x86_64-linux haskellPackages.writer-cps-transformers | |
x86_64-darwin python311Packages.hikari | |
aarch64-linux gimpPlugins.gmic | |
x86_64-darwin libsolv | |
aarch64-darwin emacsPackages.org-real | |
x86_64-darwin haskellPackages.smtp-mail | |
aarch64-darwin haskellPackages.hschema | |
x86_64-darwin afuse | |
aarch64-darwin python312Packages.base36 | |
aarch64-linux python312Packages.pyenphase | |
aarch64-darwin python312Packages.pygments-better-html | |
x86_64-linux linuxKernel.packages.linux_latest_libre.tbs | |
aarch64-darwin perl536Packages.TextBrew | |
x86_64-darwin python312Packages.azure-mgmt-iothubprovisioningservices | |
x86_64-darwin adbfs-rootless | |
aarch64-linux python311Packages.asyncio-mqtt | |
x86_64-darwin vimPlugins.neotest-plenary | |
x86_64-linux haskellPackages.ztar | |
aarch64-linux emacsPackages.helm-wikipedia | |
aarch64-linux python312Packages.habanero | |
aarch64-linux rubyPackages_3_2.drb | |
aarch64-linux siji | |
x86_64-darwin zeal-qt6 | |
aarch64-darwin python312Packages.types-retry | |
aarch64-darwin haskellPackages.update-repos | |
x86_64-linux rubyPackages_3_1.glib2 | |
x86_64-linux bootstrap-studio | |
x86_64-linux haskellPackages.dirichlet | |
x86_64-darwin emacsPackages.torus | |
aarch64-linux haskellPackages.split-channel | |
aarch64-darwin teleport_15 | |
x86_64-linux goocanvasmm2 | |
x86_64-darwin ttyper | |
aarch64-darwin banking | |
aarch64-linux haskellPackages.strict-concurrency | |
x86_64-linux python311Packages.types-aiobotocore-internetmonitor | |
aarch64-linux space-cadet-pinball | |
x86_64-linux proggyfonts | |
aarch64-linux python311Packages.pip-requirements-parser | |
aarch64-linux emacsPackages.pocket-reader | |
aarch64-linux emacsPackages.org2web | |
x86_64-linux gnomeExtensions.burn-my-windows | |
aarch64-linux wayfirePlugins.wf-shell | |
x86_64-darwin perl538Packages.EmailOutlookMessage | |
x86_64-darwin croaring | |
x86_64-darwin python311Packages.clize | |
aarch64-darwin files-cli | |
aarch64-linux python311Packages.radio-beam | |
x86_64-linux python311Packages.justbackoff | |
aarch64-linux afuse | |
x86_64-darwin python312Packages.restview | |
x86_64-darwin automake | |
aarch64-darwin termplay | |
aarch64-darwin python311Packages.getmac | |
aarch64-darwin python312Packages.laspy | |
x86_64-linux emacsPackages.gold-mode | |
x86_64-linux libiio | |
aarch64-darwin python311Packages.opentimestamps | |
aarch64-linux lesbar | |
x86_64-darwin python312Packages.readability-lxml | |
x86_64-linux linuxPackages_5_4_hardened.v86d | |
aarch64-darwin jiq | |
x86_64-linux libpromhttp | |
aarch64-darwin python312Packages.pyscaffoldext-cookiecutter | |
aarch64-darwin python311Packages.dasbus | |
aarch64-darwin python312Packages.click-option-group | |
aarch64-linux xfce.ristretto | |
aarch64-linux haskellPackages.microlens-ghc | |
aarch64-darwin perl536Packages.TestExpect | |
aarch64-linux spade | |
x86_64-darwin gnatPackages.gnatcoll-gmp | |
aarch64-linux haskellPackages.HTF | |
aarch64-darwin brickd | |
x86_64-linux invoice2data | |
aarch64-darwin rubyPackages_3_3.cocoapods-downloader | |
aarch64-linux python311Packages.mdformat-nix-alejandra | |
x86_64-linux python311Packages.pyudev | |
aarch64-darwin argbash | |
x86_64-linux python312Packages.character-encoding-utils | |
aarch64-linux python311Packages.jinja2-pluralize | |
x86_64-linux emacsPackages.mozc-cand-posframe | |
x86_64-darwin python311Packages.sqlalchemy-views | |
x86_64-linux home-assistant-component-tests.homeassistant | |
x86_64-linux ffado | |
x86_64-linux python311Packages.tissue | |
x86_64-linux highs | |
x86_64-linux rubyPackages_3_3.cocoapods-clean | |
x86_64-linux plasma5Packages.kwrited | |
aarch64-linux emacsPackages.persp-fr | |
x86_64-linux haskellPackages.cmaes | |
x86_64-linux plasma5Packages.kapptemplate | |
aarch64-darwin perl536Packages.ReadonlyX | |
x86_64-linux php82Extensions.pdo_pgsql | |
aarch64-darwin python312Packages.pytest-tap | |
x86_64-linux emacsPackages.supergenpass | |
x86_64-linux pdf-sign | |
x86_64-linux python311Packages.canals | |
x86_64-linux emacsPackages.pov-mode | |
x86_64-linux python312Packages.google-cloud-translate | |
aarch64-linux vimPlugins.vim-fzf-coauthorship | |
aarch64-darwin emacsPackages.marshal | |
aarch64-linux haskellPackages.amazonka-gamelift | |
aarch64-linux aws-env | |
aarch64-linux python311Packages.pysendfile | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.virtualboxGuestAdditions | |
aarch64-darwin haskellPackages.commonmark-pandoc | |
aarch64-darwin liboil | |
x86_64-darwin python312Packages.types-aiobotocore-lookoutequipment | |
aarch64-darwin python312Packages.types-aiobotocore-apprunner | |
aarch64-linux python312Packages.types-aiobotocore-opensearchserverless | |
aarch64-linux python312Packages.gdcm | |
x86_64-linux teensy-cmake-macros | |
x86_64-darwin emacsPackages.captain | |
x86_64-darwin haskellPackages.pretty-display | |
aarch64-darwin python312Packages.test-tube | |
x86_64-darwin lzfse | |
x86_64-linux auto-multiple-choice | |
x86_64-linux python312Packages.mypy-boto3-glue | |
aarch64-linux linuxKernel.packages.linux_xanmod_latest.mbp2018-bridge-drv | |
aarch64-darwin inputplug | |
aarch64-darwin python311Packages.bundlewrap | |
x86_64-linux python311Packages.stups-pierone | |
x86_64-linux python312Packages.hydra-core | |
x86_64-darwin python312Packages.google-cloud-runtimeconfig | |
aarch64-linux saxon | |
aarch64-darwin cz-cli | |
x86_64-linux python311Packages.pyosmium | |
aarch64-darwin python311Packages.django-leaflet | |
x86_64-linux oauth2l | |
aarch64-darwin vimpager-latest | |
aarch64-linux python311Packages.llama-index-graph-stores-neo4j | |
aarch64-darwin httpie | |
aarch64-linux haskellPackages.gtk-traymanager | |
x86_64-linux linuxKernel.packages.linux_6_1.gasket | |
x86_64-darwin vdirsyncer | |
x86_64-linux haskellPackages.ghc-timers | |
aarch64-darwin haskellPackages.amazonka-iotfleetwise | |
aarch64-darwin haskellPackages.bootstrap-types | |
x86_64-linux emacsPackages.drone | |
x86_64-darwin haskell.compiler.ghc90 | |
x86_64-linux mpd-small | |
aarch64-darwin haskellPackages.pandoc-link-context | |
x86_64-darwin perl538Packages.ArchiveLibarchiveExtract | |
aarch64-darwin vimPlugins.is-vim | |
aarch64-darwin python312Packages.pep517 | |
aarch64-linux python312Packages.pysoundfile | |
x86_64-darwin haskellPackages.pretty-html | |
x86_64-darwin emacsPackages.gh-md | |
x86_64-linux gotestwaf | |
x86_64-linux python312Packages.simplekml | |
aarch64-darwin perl536Packages.FileNFSLock | |
x86_64-darwin python312Packages.fontbakery | |
aarch64-linux python312Packages.iso8601 | |
x86_64-darwin emacsPackages.helm-jstack | |
aarch64-darwin haskellPackages.mcaeson | |
x86_64-darwin haskellPackages.oidc-client | |
aarch64-darwin python312Packages.pocket | |
x86_64-linux optifinePackages.optifine_1_9_4 | |
aarch64-linux hmcl | |
x86_64-darwin python311Packages.confection | |
x86_64-linux gnomeExtensions.default-workspace | |
x86_64-darwin emacsPackages.helm-perspeen | |
x86_64-darwin gamin | |
x86_64-linux python312Packages.gensim | |
aarch64-darwin haskellPackages.cursor-fuzzy-time | |
x86_64-darwin postgresql12Packages.pg_embedding | |
x86_64-darwin python311Packages.flake8-polyfill | |
x86_64-darwin chickenPackages_5.chickenEggs.qt-light | |
x86_64-darwin python312Packages.libversion | |
x86_64-darwin python311Packages.opencv4 | |
x86_64-darwin vimPlugins.debugprint-nvim | |
x86_64-darwin tk-8_6 | |
x86_64-linux bpp-phyl | |
aarch64-linux vimPlugins.vim-llvm | |
x86_64-linux magma-cuda | |
x86_64-linux python311Packages.sphinx-markdown-tables | |
x86_64-linux home-assistant-component-tests.plant | |
x86_64-linux kicadAddons.kikit-library | |
aarch64-linux netcdffortran | |
aarch64-darwin python311Packages.llama-index-graph-stores-neo4j | |
aarch64-darwin rubyPackages.sinatra | |
x86_64-linux emacsPackages.side-notes | |
x86_64-darwin millet | |
x86_64-darwin haskellPackages.text-builder-dev | |
aarch64-darwin brial | |
aarch64-linux python312Packages.mypy-boto3-lookoutvision | |
aarch64-darwin chickenPackages_5.chickenEggs.endian-blob | |
x86_64-darwin ltc-tools | |
x86_64-linux solfege | |
aarch64-darwin haskellPackages.handa-opengl | |
x86_64-linux libsForQt5.granatier | |
aarch64-darwin python312Packages.jmespath | |
x86_64-darwin emacsPackages.hippie-namespace | |
aarch64-linux python311Packages.smbus2 | |
aarch64-linux perl536Packages.CryptSSLeay | |
x86_64-darwin librdf_raptor2 | |
aarch64-darwin python312Packages.nianet | |
aarch64-linux python311Packages.pyarrow | |
aarch64-darwin perl538Packages.asa | |
aarch64-linux python311Packages.asn1 | |
aarch64-linux emacsPackages.texfrag | |
x86_64-darwin haskellPackages.uniformBase | |
aarch64-linux diskrsync | |
x86_64-linux emacsPackages.flycheck-eglot | |
x86_64-darwin haskellPackages.data-default-instances-unordered-containers | |
x86_64-linux python312Packages.beautiful-date | |
aarch64-darwin xorg.xev | |
aarch64-darwin geolite-legacy | |
x86_64-linux haskellPackages.tls-session-manager | |
x86_64-linux yazi | |
aarch64-linux emacsPackages.notmuch-indicator | |
x86_64-linux emacsPackages.typewriter-roll-mode | |
aarch64-linux haskellPackages.regex-compat | |
aarch64-linux haskellPackages.Shu-thing | |
x86_64-linux python311Packages.sdkmanager | |
x86_64-linux python312Packages.pytimeparse | |
x86_64-linux python311Packages.cachetools | |
aarch64-darwin postgresql14JitPackages.age | |
x86_64-linux linuxKernel.packages.linux_xanmod.bcc | |
aarch64-linux libfprint-2-tod1-goodix-550a | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.MagickWand | |
aarch64-darwin haskellPackages.amazonka-ssm | |
aarch64-linux travis | |
x86_64-linux nixd | |
x86_64-linux vimPlugins.telescope-dap-nvim | |
x86_64-linux llvmPackages_18.libstdcxxClang | |
x86_64-darwin fundoc | |
x86_64-linux linuxKernel.packages.linux_5_4_hardened.can-isotp | |
aarch64-linux haskellPackages.hmatrix-quadprogpp | |
x86_64-darwin perl538Packages.HashUtilFieldHashCompat | |
aarch64-linux haskellPackages.mtlparse | |
x86_64-linux linuxPackages_5_15_hardened.decklink | |
x86_64-linux emacsPackages.fix-word | |
x86_64-linux itch | |
aarch64-linux python312Packages.ago | |
x86_64-darwin chickenPackages_5.chickenEggs.salt | |
aarch64-darwin python311Packages.digi-xbee | |
aarch64-linux haskellPackages.yesod-auth-hashdb | |
aarch64-linux apt | |
x86_64-linux haskellPackages.oalg-base | |
aarch64-darwin python312Packages.uonet-request-signer-hebe | |
x86_64-linux haskellPackages.risc386 | |
x86_64-linux syncthingtray | |
aarch64-darwin emacsPackages.treebundel | |
x86_64-linux aws-c-common | |
aarch64-linux python312Packages.daphne | |
aarch64-darwin rubyPackages_3_1.dep-selector-libgecode | |
x86_64-darwin python311Packages.greeclimate | |
x86_64-darwin haskellPackages.cobot | |
x86_64-darwin ark-pixel-font | |
aarch64-linux qt6Packages.accounts-qt | |
aarch64-linux linuxKernel.packages.linux_xanmod.drbd | |
aarch64-darwin rubyPackages_3_3.rpam2 | |
aarch64-darwin emacsPackages.timu-spacegrey-theme | |
x86_64-darwin circleci-cli | |
aarch64-darwin python311Packages.jupyterhub | |
aarch64-darwin rapidsvn | |
x86_64-linux vimPlugins.whitespace-nvim | |
aarch64-darwin emacsPackages.koopa-mode | |
x86_64-darwin python311Packages.bork | |
aarch64-linux home-assistant-component-tests.loqed | |
aarch64-linux python311Packages.pyimpfuzzy | |
x86_64-darwin python312Packages.requests-ratelimiter | |
x86_64-linux haskellPackages.amazonka-cloudwatch-events | |
aarch64-darwin python311Packages.mypy-boto3-textract | |
x86_64-darwin arrow-cpp | |
aarch64-linux nix-build-uncached | |
aarch64-darwin python311Packages.pyttsx3 | |
aarch64-linux webmesh | |
aarch64-darwin perl538Packages.GD | |
x86_64-darwin python312Packages.qingping-ble | |
x86_64-darwin bob | |
x86_64-linux python311Packages.equinox | |
aarch64-linux evtx | |
aarch64-linux python311Packages.dissect-thumbcache | |
x86_64-linux haskellPackages.rot13 | |
aarch64-linux linuxPackages_lqx.ena | |
x86_64-linux python311Packages.fqdn | |
x86_64-linux python312Packages.pytest-httpserver | |
x86_64-linux sbclPackages.dexador | |
x86_64-linux haskellPackages.amazonka-cloudhsm | |
x86_64-linux subgit | |
x86_64-linux emacsPackages.better-defaults | |
x86_64-linux python312Packages.yt-dlp-light | |
aarch64-linux termite | |
aarch64-darwin python312Packages.veryprettytable | |
aarch64-linux emacsPackages.smart-mode-line-powerline-theme | |
x86_64-darwin coq_8_11 | |
aarch64-linux lighthouse-steamvr | |
x86_64-linux python312Packages.unifi-discovery | |
x86_64-darwin jenkins-job-builder | |
aarch64-darwin rubyPackages_3_3.curb | |
aarch64-linux git-credential-1password | |
aarch64-linux peaclock | |
x86_64-linux python312Packages.unifiled | |
x86_64-linux pgbouncer | |
x86_64-darwin dynamic-colors | |
aarch64-darwin spacenav-cube-example | |
x86_64-linux python311Packages.tensorboard-plugin-profile | |
aarch64-linux rocmPackages_5.half | |
x86_64-darwin tests.pkg-config.defaultPkgConfigPackages.SoapySDR | |
aarch64-darwin emacsPackages.prettier | |
aarch64-linux linuxKernel.packages.linux_6_6_hardened.mxu11x0 | |
x86_64-darwin probe-rs | |
aarch64-darwin python311Packages.flask-pymongo | |
aarch64-linux emacsPackages.jiralib2 | |
x86_64-linux octoprint | |
x86_64-linux python311Packages.xdg | |
x86_64-darwin python312Packages.azure-mgmt-dns | |
aarch64-linux python312Packages.types-aiobotocore-cloudsearch | |
x86_64-darwin haskellPackages.autodocodec-swagger2 | |
aarch64-darwin libffi | |
x86_64-darwin emacsPackages.tramp-term | |
x86_64-darwin haskellPackages.shortcircuit | |
aarch64-darwin rubyPackages_3_3.psych | |
x86_64-darwin python312Packages.aardwolf | |
aarch64-darwin kitty-themes | |
x86_64-darwin qt6Packages.qtwebview | |
aarch64-linux zita-at1 | |
x86_64-linux libsForQt5.qt5.qtwebview | |
aarch64-linux python312Packages.bluetooth-auto-recovery | |
aarch64-linux datadog-agent | |
aarch64-darwin emacsPackages.json-snatcher | |
aarch64-linux python311Packages.gawd | |
aarch64-linux kdePackages.ksvg | |
aarch64-darwin solo2-cli | |
aarch64-darwin emacsPackages.poly-ansible | |
x86_64-linux unrpa | |
x86_64-linux emacsPackages.auto-pause | |
x86_64-linux postgresql16Packages.pg_embedding | |
x86_64-darwin python312Packages.oletools | |
aarch64-darwin xorg.fontscreencyrillic | |
aarch64-linux emacsPackages.poly-R | |
aarch64-darwin python312Packages.llama-index-indices-managed-llama-cloud | |
x86_64-linux python311Packages.sphinxcontrib-applehelp | |
aarch64-darwin haskellPackages.grafdhall | |
x86_64-linux python311Packages.click-threading | |
aarch64-darwin sshuttle | |
x86_64-linux emacsPackages.smartrep | |
x86_64-darwin haskellPackages.pcre-less | |
x86_64-linux punes-qt6 | |
x86_64-darwin elastic | |
aarch64-linux vimPlugins.vim-argumentative | |
x86_64-linux python311Packages.pydmd | |
x86_64-linux linuxPackages_4_19_hardened.dddvb | |
aarch64-darwin gnupg1 | |
aarch64-linux haskellPackages.stringbuilder | |
aarch64-linux waypoint | |
aarch64-darwin haskellPackages.weighted-search | |
x86_64-linux libsForQt5.kdev-python | |
aarch64-darwin python312Packages.types-aiobotocore-databrew | |
x86_64-linux reiserfsprogs | |
x86_64-darwin icu71 | |
aarch64-darwin emacsPackages.jg-quicknav | |
aarch64-linux blockbench | |
x86_64-darwin python312Packages.prometheus-flask-exporter | |
x86_64-darwin perl538Packages.Perlosnames | |
x86_64-linux vimPlugins.fzfWrapper | |
x86_64-darwin pcmanfm-qt | |
x86_64-darwin emacsPackages.direnv | |
aarch64-darwin haskellPackages.network-info | |
x86_64-darwin perl536Packages.TestAggregate | |
x86_64-linux python311Packages.pytraccar | |
x86_64-linux fprintd | |
aarch64-darwin python312Packages.imagecorruptions | |
aarch64-darwin vimPlugins.kmonad-vim | |
aarch64-linux colloid-kde | |
x86_64-linux emacsPackages.bibslurp | |
x86_64-linux audacious-plugins | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.can-isotp | |
aarch64-linux haskellPackages.language-python | |
aarch64-darwin python311Packages.certipy-ad | |
aarch64-darwin python312Packages.m2crypto | |
aarch64-darwin haskellPackages.th-nowq | |
x86_64-linux vimPlugins.fidget-nvim | |
x86_64-darwin python311Packages.py3status | |
x86_64-darwin python312Packages.google-cloud-compute | |
x86_64-linux python312Packages.peco | |
x86_64-darwin emacsPackages.home-end | |
aarch64-darwin emacsPackages.gnomenm | |
aarch64-linux python311Packages.matplotlib-inline | |
x86_64-darwin python312Packages.p1monitor | |
aarch64-linux plasma5Packages.station | |
x86_64-linux python312Packages.circuit-webhook | |
x86_64-darwin python312Packages.foobot-async | |
aarch64-darwin perl538Packages.ArchiveTar | |
x86_64-linux emacsPackages.tok-theme | |
aarch64-darwin python311Packages.mplleaflet | |
aarch64-darwin emacsPackages.pipenv | |
aarch64-darwin haskellPackages.comfort-glpk | |
aarch64-darwin python312Packages.certbot | |
x86_64-linux libsForQt5.plasma-applet-caffeine-plus | |
x86_64-linux python311Packages.rebulk | |
aarch64-linux roc-toolkit | |
aarch64-linux vimPlugins.hover-nvim | |
x86_64-darwin emacsPackages.lambdapi-mode | |
x86_64-darwin python312Packages.keepalive | |
x86_64-linux rubyPackages_3_2.net-http-persistent | |
x86_64-darwin lua54Packages.rocks-git-nvim | |
aarch64-linux python312Packages.sphinx-hoverxref | |
x86_64-linux haskellPackages.SHA2 | |
x86_64-linux postgresql16JitPackages.citus | |
x86_64-darwin python311Packages.aiopinboard | |
x86_64-darwin python311Packages.openidc-client | |
x86_64-linux cudaPackages_11.tensorrt_8_6 | |
x86_64-darwin gnome.gnome-clocks | |
x86_64-darwin python312Packages.scikit-build | |
x86_64-linux artyFX | |
x86_64-darwin haskellPackages.aivika-experiment | |
x86_64-darwin emacsPackages.hyperlist-mode | |
x86_64-linux emacsPackages.consult-flyspell | |
aarch64-darwin haskellPackages.md5 | |
aarch64-darwin dnsperf | |
x86_64-linux python312Packages.mypy-boto3-outposts | |
x86_64-darwin gitstatus | |
x86_64-darwin emacsPackages.dpkg-dev-el | |
aarch64-linux emacsPackages.doct | |
aarch64-linux luajitPackages.luarocks-nix | |
x86_64-darwin libsForQt5.bismuth | |
x86_64-darwin python312Packages.dissect-executable | |
aarch64-linux emacsPackages.kele | |
aarch64-linux dependabot-cli | |
x86_64-linux garage_1_0_0 | |
aarch64-darwin exiv2 | |
x86_64-linux python311Packages.mypy-boto3-kinesisvideo | |
x86_64-darwin rime-cli | |
aarch64-darwin rubyPackages_3_2.method_source | |
aarch64-darwin vimPlugins.lsp_extensions-nvim | |
aarch64-darwin kaf | |
aarch64-linux python311Packages.click-threading | |
x86_64-darwin python312Packages.pycocotools | |
aarch64-linux emacsPackages.jsdoc | |
x86_64-linux perl538Packages.ImageSane | |
x86_64-linux linuxKernel.packages.linux_libre.perf | |
aarch64-linux vimPlugins.delimitMate | |
x86_64-darwin wordpress | |
x86_64-darwin darwin.discrete-scroll | |
aarch64-darwin python312Packages.aioruckus | |
aarch64-linux brise | |
x86_64-darwin chickenPackages_5.chickenEggs.salmonella-feeds | |
aarch64-linux linuxKernel.packages.linux_5_15.cryptodev | |
aarch64-linux python311Packages.korean-lunar-calendar | |
x86_64-darwin python311Packages.python-cinderclient | |
aarch64-linux haskellPackages.gitit | |
aarch64-linux emacsPackages.helm-mu | |
x86_64-darwin perl538Packages.HTTPAcceptLanguage | |
aarch64-darwin python311Packages.protego | |
x86_64-linux kdePackages.print-manager | |
x86_64-darwin libretro.gambatte | |
aarch64-linux lua53Packages.std-_debug | |
x86_64-darwin gdown | |
x86_64-linux python312Packages.imaplib2 | |
x86_64-linux perkeep | |
x86_64-darwin haskellPackages.ZipperAG | |
x86_64-linux python311Packages.css-html-js-minify | |
aarch64-linux haskellPackages.monoid-subclasses | |
x86_64-linux emacsPackages.tea-time | |
aarch64-linux emacsPackages.realgud-trepan-ni | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.authzed | |
x86_64-linux lime | |
x86_64-darwin python312Packages.safe-pysha3 | |
aarch64-linux rewrk | |
x86_64-darwin nats-streaming-server | |
aarch64-darwin emacsPackages.gvpr-mode | |
x86_64-linux python312Packages.pep8 | |
x86_64-linux python312Packages.mypy-boto3-databrew | |
x86_64-linux python311Packages.eth-abi | |
aarch64-linux python312Packages.pysigma-backend-elasticsearch | |
x86_64-linux python312Packages.zope-testbrowser | |
x86_64-linux perl538Packages.ArchiveLibarchive | |
x86_64-darwin python311Packages.log-symbols | |
x86_64-linux python311Packages.strict-rfc3339 | |
x86_64-darwin qremotecontrol-server | |
x86_64-linux emacsPackages.flymake-lua | |
x86_64-darwin python312Packages.aiofiles | |
aarch64-darwin python311Packages.asteroid-filterbanks | |
x86_64-darwin shellz | |
aarch64-darwin arti | |
aarch64-darwin haskellPackages.hw-parser | |
aarch64-darwin nvfetcher | |
aarch64-darwin python312Packages.lrcalc-python | |
x86_64-linux cargo-careful | |
aarch64-darwin perl538Packages.TestPodNo404s | |
aarch64-linux python311Packages.justext | |
aarch64-darwin hhpc | |
aarch64-linux python312Packages.urwid-readline | |
x86_64-linux cudaPackages_11.libcusolver | |
aarch64-linux rubyPackages_3_2.cocoapods-keys | |
aarch64-linux haskellPackages.descrilo | |
aarch64-darwin emacsPackages.elisp-benchmarks | |
aarch64-darwin lazycli | |
aarch64-darwin haskellPackages.microlens-contra | |
aarch64-darwin python311Packages.vcard | |
x86_64-linux qrcp | |
x86_64-darwin kustomize-sops | |
aarch64-darwin emacsPackages.hexo | |
aarch64-linux python312Packages.django-markup | |
aarch64-darwin coqPackages.mathcomp-bigenough | |
aarch64-darwin haskellPackages.hspray | |
aarch64-linux linuxKernel.packages.linux_libre.openrazer | |
x86_64-darwin python312Packages.types-aiobotocore-sqs | |
x86_64-darwin emacsPackages.flyspell-correct | |
aarch64-linux vimPlugins.thumbnail-vim | |
aarch64-linux emacsPackages.quasi-monochrome-theme | |
aarch64-linux home-assistant-component-tests.default_config | |
aarch64-darwin soundfont-generaluser | |
x86_64-darwin wapp | |
aarch64-linux qt6Packages.qtwebsockets | |
x86_64-darwin perl538Packages.DBDMariaDB | |
aarch64-darwin rubyPackages_3_2.ruby-progressbar | |
x86_64-linux choose | |
x86_64-linux cups-filters | |
aarch64-darwin python312Packages.cwlformat | |
aarch64-darwin php81Packages.castor | |
x86_64-linux psrecord | |
x86_64-linux cl-wordle | |
x86_64-darwin python311Packages.mdtraj | |
x86_64-linux haskellPackages.list-extras | |
x86_64-linux openroad | |
x86_64-darwin python312Packages.lzallright | |
x86_64-darwin perl538Packages.FileCountLines | |
aarch64-darwin python311Packages.orange3 | |
aarch64-linux haskellPackages.gi-poppler | |
aarch64-darwin emacsPackages.icl-mode | |
aarch64-darwin dvdauthor | |
x86_64-darwin vimPlugins.fennel-vim | |
aarch64-darwin emacsPackages.bui | |
x86_64-darwin haskellPackages.xml-indexed-cursor | |
x86_64-linux linuxKernel.packages.linux_hardened.nvidia_x11_beta_open | |
aarch64-linux haskellPackages.email-validate | |
x86_64-linux haskellPackages.SizeCompare | |
x86_64-darwin python312Packages.mypy-boto3-dataexchange | |
x86_64-darwin aspellDicts.tet | |
aarch64-darwin usort | |
aarch64-linux emacsPackages.elpa-audit | |
x86_64-darwin dpic | |
x86_64-darwin haskellPackages.gearbox | |
x86_64-darwin vault | |
x86_64-darwin vimPlugins.riv-vim | |
x86_64-linux qbittorrent-qt5 | |
aarch64-darwin python312Packages.types-aiobotocore-elasticache | |
x86_64-linux home-assistant-component-tests.androidtv | |
aarch64-linux python311Packages.async-generator | |
aarch64-darwin theLoungePlugins.themes.discordapp | |
aarch64-linux spidermonkey_102 | |
aarch64-linux python311Packages.mypy-boto3-servicecatalog | |
aarch64-darwin emacsPackages.auth-source-kwallet | |
x86_64-linux apacheHttpdPackages_2_4.mod_perl | |
aarch64-linux plasma5Packages.qtutilities | |
aarch64-darwin ranger | |
aarch64-darwin python311Packages.handout | |
x86_64-linux cudaPackages_11.cudnn_8_7 | |
x86_64-darwin lua54Packages.cjson | |
aarch64-darwin vimPlugins.vim-visualstar | |
aarch64-darwin python311Packages.tahoma-api | |
x86_64-darwin emacsPackages.org-easy-img-insert | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.fennel | |
aarch64-linux linuxPackages_xanmod_latest.ithc | |
x86_64-linux uhub | |
x86_64-linux hdaps-gl | |
x86_64-darwin perl536Packages.HTTPTinyCache | |
aarch64-linux python312Packages.types-aiobotocore-cloudsearchdomain | |
x86_64-darwin apacheHttpdPackages.mod_python | |
aarch64-linux python311Packages.mdformat-simple-breaks | |
x86_64-linux tamsyn | |
aarch64-darwin emacsPackages.org-mac-link | |
x86_64-darwin lua53Packages.cassowary | |
x86_64-linux python312Packages.pyuca | |
aarch64-darwin python311Packages.dicom-numpy | |
x86_64-darwin python311Packages.powerline-mem-segment | |
aarch64-darwin emacsPackages.helm-jira | |
aarch64-linux python311Packages.hopcroftkarp | |
aarch64-darwin python311Packages.hdfs | |
aarch64-darwin python311Packages.serverfiles | |
aarch64-darwin mythes | |
aarch64-linux emacsPackages.eldoc-eval | |
x86_64-linux emacsPackages.litecoin-ticker | |
x86_64-darwin cve | |
aarch64-linux linuxKernel.kernels.linux_xanmod_stable | |
x86_64-linux php81Extensions.relay | |
aarch64-darwin emacsPackages.ivy | |
x86_64-linux fnlfmt | |
x86_64-darwin emacsPackages.elquery | |
x86_64-linux python312Packages.azure-mgmt-botservice | |
aarch64-linux home-assistant-component-tests.plaato | |
x86_64-linux stone-phaser | |
aarch64-linux emacsPackages.syncthing | |
aarch64-darwin haskellPackages.temporal-music-notation-demo | |
x86_64-linux postgresqlPackages.pg_uuidv7 | |
x86_64-darwin zmqpp | |
x86_64-linux python312Packages.feedgenerator | |
aarch64-darwin python312Packages.objgraph | |
x86_64-darwin emacsPackages.transient-posframe | |
x86_64-linux python312Packages.ionoscloud | |
aarch64-darwin perl536Packages.MouseXTypesPathClass | |
x86_64-linux emacsPackages.smithy-mode | |
x86_64-linux sbclPackages.trivial-indent | |
x86_64-linux python312Packages.simple-di | |
x86_64-linux linuxPackages_6_6_hardened.system76-io | |
x86_64-darwin python311Packages.dbt-semantic-interfaces | |
aarch64-darwin haskellPackages.insert-ordered-containers | |
aarch64-darwin python311Packages.azure-mgmt-datalake-nspkg | |
x86_64-linux plasma5Packages.plasma-browser-integration | |
x86_64-linux azure-cli-extensions.next | |
aarch64-darwin python311Packages.ueberzug | |
x86_64-linux python311Packages.sphinxcontrib-serializinghtml | |
aarch64-darwin crd2pulumi | |
x86_64-darwin python312Packages.mac-alias | |
aarch64-linux qtpass | |
aarch64-linux python311Packages.django-cacheops | |
aarch64-darwin emacsPackages.eve-mode | |
x86_64-linux python311Packages.azure-mgmt-datalake-nspkg | |
aarch64-darwin ssh-audit | |
aarch64-linux emacsPackages.thinks | |
x86_64-linux fragments | |
aarch64-linux emacsPackages.dwim-coder-mode | |
aarch64-darwin nix-diff | |
x86_64-darwin perl536Packages.DBIxSimple | |
aarch64-linux bacon | |
x86_64-darwin emacsPackages.erc | |
x86_64-linux haskellPackages.exit-codes | |
x86_64-darwin haskellPackages.network-messagepack-rpc | |
aarch64-darwin python311Packages.lml | |
x86_64-darwin python311Packages.sphinxcontrib-fulltoc | |
x86_64-darwin anchor | |
x86_64-linux theLoungePlugins.themes.nologo | |
x86_64-linux tests.hardeningFlags-gcc.stackProtectorExplicitDisabled | |
aarch64-darwin libmcfp | |
x86_64-linux vokoscreen | |
x86_64-darwin ipam | |
aarch64-darwin nota | |
aarch64-linux t-rec | |
x86_64-darwin python312Packages.jaraco-net | |
aarch64-linux haskellPackages.little-rio | |
x86_64-linux python312Packages.slicerator | |
aarch64-linux influxdb2-server | |
aarch64-darwin rofi-mpd | |
aarch64-linux emacsPackages.hideshow-org | |
x86_64-linux gnomeExtensions.go-to-last-workspace | |
aarch64-darwin python312Packages.pinecone-client | |
aarch64-linux godef | |
aarch64-linux unixtools.more | |
x86_64-linux haskellPackages.unpacked-containers | |
aarch64-darwin emacsPackages.rase | |
aarch64-linux lcm | |
aarch64-darwin extism-cli | |
aarch64-linux vimPlugins.edgy-nvim | |
aarch64-darwin python312Packages.adafruit-io | |
aarch64-linux emacsPackages.web-beautify | |
aarch64-darwin python312Packages.pomegranate | |
aarch64-darwin rubyPackages.terminal-table | |
x86_64-linux lessc | |
x86_64-linux python312Packages.mapbox-earcut | |
x86_64-linux netbird-ui | |
aarch64-linux vimPlugins.vim-lawrencium | |
x86_64-linux emacsPackages.package-lint | |
aarch64-linux python312Packages.mypy-boto3-finspace-data | |
aarch64-linux python312Packages.ochre | |
aarch64-linux python311Packages.skein | |
aarch64-darwin opencollada | |
x86_64-darwin haskellPackages.doctest-exitcode-stdio | |
aarch64-darwin kdoctor | |
x86_64-linux perccli | |
x86_64-darwin python311Packages.txredisapi | |
aarch64-linux python311Packages.aws-xray-sdk | |
aarch64-linux pandoc-imagine | |
x86_64-linux python311Packages.environs | |
x86_64-darwin perl536Packages.SysMmap | |
x86_64-linux openjfx15 | |
x86_64-linux faiss | |
aarch64-darwin sudachi-rs | |
x86_64-darwin khard | |
x86_64-linux python311Packages.ecos | |
x86_64-linux emacsPackages.adafruit-wisdom | |
x86_64-linux python312Packages.types-aiobotocore-ssm-contacts | |
x86_64-darwin emacsPackages.flymake-lua | |
x86_64-darwin postgresql15JitPackages.tds_fdw | |
x86_64-darwin rubyPackages_3_1.jekyll-theme-tactile | |
x86_64-darwin azure-cli-extensions.nsp | |
aarch64-darwin haskellPackages.decode-utf8 | |
aarch64-darwin python311Packages.envoy-reader | |
x86_64-linux haskellPackages.abc-puzzle | |
aarch64-linux linuxKernel.packages.linux_zen.hyperv-daemons | |
x86_64-linux python312Packages.types-redis | |
x86_64-darwin kubeclarity | |
x86_64-linux ant-theme | |
x86_64-linux llvmPackages_17.clang-manpages | |
aarch64-linux emacsPackages.sequed | |
x86_64-darwin emacsPackages.ibuffer-sidebar | |
x86_64-linux python312Packages.ciscomobilityexpress | |
aarch64-darwin terraform-providers.libvirt | |
x86_64-darwin darwin.copyfile | |
aarch64-linux python311Packages.nameparser | |
aarch64-darwin dmensamenu | |
x86_64-darwin haskellPackages.digestive-functors-blaze | |
x86_64-linux netsurf.browser | |
aarch64-darwin emacsPackages.gitlab-snip-helm | |
x86_64-linux haskellPackages.threefish | |
aarch64-darwin python312Packages.bcrypt | |
x86_64-linux python312Packages.livereload | |
aarch64-linux python312Packages.greenlet | |
aarch64-darwin qownnotes | |
x86_64-linux linuxKernel.packages.linux_5_10_hardened.can-isotp | |
x86_64-linux mtr-gui | |
aarch64-linux linuxPackages-libre.pktgen | |
x86_64-linux python312Packages.mypy-boto3-iottwinmaker | |
aarch64-linux python312Packages.mypy-boto3-managedblockchain | |
aarch64-linux heimdal | |
aarch64-linux lua54Packages.luasnip | |
aarch64-linux haskellPackages.icon-fonts | |
aarch64-linux kdePackages.ktouch | |
aarch64-darwin haskellPackages.with-location | |
aarch64-darwin emacsPackages.command-queue | |
aarch64-linux home-assistant-component-tests.unifi | |
aarch64-darwin luaPackages.lua-toml | |
x86_64-linux emacsPackages.chatwork | |
x86_64-linux slippy | |
aarch64-darwin python311Packages.hlk-sw16 | |
aarch64-linux scala-update | |
aarch64-linux vnstat | |
x86_64-darwin python311Packages.cstruct | |
x86_64-linux kdiskmark | |
aarch64-linux seturgent | |
aarch64-linux emacsPackages.impatient-showdown | |
x86_64-linux fast-ssh | |
aarch64-linux haskellPackages.terraform-http-backend-pass | |
x86_64-darwin emacsPackages.countdown | |
aarch64-darwin python311Packages.anyconfig | |
aarch64-darwin secp256k1 | |
x86_64-linux zfsbackup | |
aarch64-linux python312Packages.aioskybell | |
aarch64-linux dtools | |
aarch64-linux haskellPackages.sexp-show | |
x86_64-linux emacsPackages.esqlite | |
x86_64-linux python311Packages.fastentrypoints | |
aarch64-darwin chickenPackages_5.chickenEggs.simple-exceptions | |
x86_64-darwin lua54Packages.middleclass | |
x86_64-linux emacsPackages.org-jami-bot | |
aarch64-darwin python312Packages.co2signal | |
aarch64-darwin emacsPackages.org-clock-csv | |
x86_64-linux winePackages.staging | |
aarch64-darwin haskellPackages.woot | |
x86_64-linux emacsPackages.inf-ruby | |
aarch64-linux haskellPackages.dyepack | |
aarch64-darwin python312Packages.types-aiobotocore-memorydb | |
x86_64-linux python311Packages.azure-appconfiguration | |
x86_64-linux emacsPackages.pretty-sha-path | |
x86_64-linux haskellPackages.turkish-deasciifier | |
x86_64-darwin python312Packages.mypy-boto3-mwaa | |
x86_64-linux emacsPackages.minibuffer-header | |
x86_64-linux emacsPackages.shpec-mode | |
x86_64-linux python311Packages.handout | |
x86_64-darwin dleyna-connector-dbus | |
aarch64-darwin python312Packages.mypy-boto3-groundstation | |
x86_64-darwin python312Packages.python3-saml | |
x86_64-darwin haskellPackages.pandoc | |
aarch64-darwin iina | |
x86_64-linux python311Packages.rollbar | |
x86_64-linux python312Packages.ssdpy | |
x86_64-darwin perl538Packages.TestHexDifferences | |
aarch64-linux python311Packages.universal-pathlib | |
x86_64-darwin haskellPackages.tmapchan | |
aarch64-darwin coqPackages.metacoq | |
aarch64-darwin python312Packages.debuglater | |
x86_64-darwin resvg | |
x86_64-linux stripe-cli | |
aarch64-linux python311Packages.pytest-xdist | |
aarch64-darwin ansible-builder | |
x86_64-linux emacsPackages.helm-eww | |
x86_64-darwin python312Packages.tensorboard-plugin-profile | |
x86_64-linux python312Packages.mypy-boto3-ssm-incidents | |
x86_64-darwin python312Packages.islpy | |
aarch64-darwin emacsPackages.projectile-sift | |
x86_64-darwin rubyPackages_3_1.github-pages-health-check | |
aarch64-darwin csharpier | |
x86_64-linux home-assistant-component-tests.recollect_waste | |
aarch64-linux jasp-desktop | |
aarch64-linux lemmy-ui | |
x86_64-linux python312Packages.objgraph | |
aarch64-darwin docbook-xsl-ns | |
aarch64-darwin emacsPackages.org-attach-screenshot | |
x86_64-darwin mimeo | |
aarch64-darwin n2 | |
x86_64-darwin python312Packages.types-aiobotocore-outposts | |
x86_64-darwin emacsPackages.lsp-mssql | |
aarch64-darwin gappa | |
aarch64-linux runitor | |
aarch64-linux emacsPackages.dired-launch | |
aarch64-darwin python311Packages.openvino | |
x86_64-linux shellify | |
aarch64-darwin mirrorbits | |
aarch64-linux vimPlugins.nvim-treesitter-parsers.gnuplot | |
aarch64-linux python311Packages.astropy-iers-data | |
x86_64-darwin luaPackages.luadbi-mysql | |
x86_64-darwin python311Packages.sv-ttk | |
x86_64-darwin azure-cli-extensions.cosmosdb-preview | |
x86_64-darwin terraform-providers.stackpath | |
aarch64-darwin vimPlugins.vim-textobj-multiblock | |
x86_64-darwin est-sfs | |
x86_64-darwin python312Packages.pikepdf | |
x86_64-linux python311Packages.dsl2html | |
x86_64-linux python312Packages.piccata | |
aarch64-linux emacsPackages.smartscan | |
x86_64-darwin emacsPackages.professional-theme | |
aarch64-darwin emacsPackages.flylisp | |
aarch64-darwin peaclock | |
aarch64-linux postgresql15Packages.pg_ed25519 | |
x86_64-darwin python311Packages.openturns | |
aarch64-darwin emacsPackages.company-erlang | |
x86_64-darwin python311Packages.pysvn | |
x86_64-darwin python311Packages.sphinx-copybutton | |
aarch64-darwin python312Packages.pymongo-inmemory | |
aarch64-darwin azure-cli-extensions.mobile-network | |
x86_64-linux python312Packages.pyvisa | |
aarch64-linux qsudo | |
aarch64-darwin haskellPackages.visualize-cbn | |
aarch64-darwin gobject-introspection-unwrapped | |
x86_64-darwin idrisPackages.composition | |
x86_64-darwin matrix-commander-rs | |
x86_64-linux fasttext | |
aarch64-linux wpa_supplicant_ro_ssids | |
aarch64-linux vimPlugins.vim-easygit | |
x86_64-linux emacsPackages.ujelly-theme | |
aarch64-linux python312Packages.purl | |
aarch64-linux kmetronome | |
x86_64-darwin perl538Packages.CatalystPluginSessionStoreFile | |
aarch64-linux haskellPackages.eternal | |
x86_64-linux haskellPackages.mmsyn2-array | |
x86_64-darwin vimPlugins.nvim-treesitter-refactor | |
aarch64-linux linuxPackages_latest.rtl88x2bu | |
x86_64-darwin python312Packages.types-aiobotocore-lightsail | |
x86_64-linux steam-rom-manager | |
aarch64-linux emacsPackages.ancient-one-dark-theme | |
x86_64-linux libmysqlclient_3_3 | |
x86_64-darwin python311Packages.hyperopt | |
aarch64-linux linuxPackages_6_6_hardened.ena | |
aarch64-darwin kanata-with-cmd | |
x86_64-darwin emacsPackages.creole | |
aarch64-linux diffoci | |
aarch64-linux git-annex-remote-dbx | |
x86_64-linux linuxKernel.packages.linux_6_8.mba6x_bl | |
x86_64-darwin python312Packages.apispec-webframeworks | |
aarch64-linux emacsPackages.brief | |
aarch64-linux python312Packages.pygount | |
x86_64-darwin python312Packages.ariadne | |
x86_64-darwin python311Packages.strct | |
x86_64-linux haskellPackages.rss-conduit | |
x86_64-darwin perl538Packages.TestMockHTTPTiny | |
x86_64-darwin postgresqlPackages.pgaudit | |
aarch64-darwin python311Packages.meshio | |
x86_64-darwin wmutils-libwm | |
aarch64-linux anyk | |
x86_64-linux python311Packages.supervise-api | |
x86_64-darwin python312Packages.simplegeneric | |
aarch64-linux proxmark3 | |
x86_64-darwin emacsPackages.hi2 | |
aarch64-linux haskell.compiler.ghc947 | |
aarch64-linux dotnetCorePackages.runtime_9_0 | |
x86_64-linux mole | |
x86_64-linux linuxKernel.packages.linux_xanmod.nvidia_x11 | |
aarch64-linux python311Packages.domeneshop | |
x86_64-linux haskellPackages.autoexporter | |
aarch64-darwin haskellPackages.Diff | |
aarch64-linux haskellPackages.boomerang | |
x86_64-linux ppp | |
aarch64-linux libsForQt5.kdnssd | |
x86_64-linux python311Packages.python3-gnutls | |
aarch64-darwin haskellPackages.yesod-newsfeed | |
x86_64-linux python311Packages.tensorflowWithoutCuda | |
x86_64-darwin python312Packages.http-ece | |
aarch64-linux haskellPackages.linear-base | |
x86_64-linux python311Packages.pyjsparser | |
x86_64-linux luaPackages.commons-nvim | |
x86_64-darwin python312Packages.python-i18n | |
aarch64-darwin curtail | |
x86_64-linux haskellPackages.multistate | |
x86_64-darwin python311Packages.fivem-api | |
x86_64-darwin haskellPackages.optics | |
aarch64-darwin emacsPackages.crdt | |
aarch64-darwin python311Packages.sendgrid | |
aarch64-linux emacsPackages.pycarddavel | |
x86_64-darwin anuko-time-tracker | |
x86_64-linux pure-maps | |
aarch64-darwin rubyPackages_3_1.ethon | |
x86_64-linux linuxKernel.packages.linux_libre.zenpower | |
x86_64-linux python312Packages.mypy-boto3-emr-containers | |
aarch64-darwin emacsPackages.ess-r-insert-obj | |
aarch64-linux python311Packages.types-aiobotocore-migrationhuborchestrator | |
x86_64-linux python312Packages.mysql-connector | |
x86_64-linux gpt4all | |
x86_64-linux haskellPackages.woot | |
aarch64-linux python311Packages.gassist-text | |
aarch64-darwin python312Packages.pysoundfile | |
aarch64-darwin plasma5Packages.qtnetworkauth | |
aarch64-darwin regbot | |
x86_64-linux linuxPackages_5_15_hardened.rtl8821au | |
aarch64-darwin libredirect | |
x86_64-linux idrisPackages.patricia | |
x86_64-linux python312Packages.google-cloud-dlp | |
aarch64-darwin python311Packages.pyfireservicerota | |
x86_64-linux python312Packages.ascii-magic | |
x86_64-linux at-spi2-core | |
aarch64-linux emacsPackages.double-saber | |
x86_64-linux emacsPackages.consult-eglot | |
x86_64-linux emacsPackages.lsp-tailwindcss | |
x86_64-darwin lua51Packages.penlight | |
x86_64-darwin python311Packages.deepmerge | |
x86_64-linux python312Packages.num2words | |
x86_64-linux azure-cli-extensions.healthcareapis | |
aarch64-linux swego | |
aarch64-darwin perl536Packages.CacheMemory | |
x86_64-linux haskellPackages.strict-concurrency | |
aarch64-linux python312Packages.safety-schemas | |
aarch64-linux home-assistant-component-tests.image | |
x86_64-linux rubyPackages_3_2.jekyll-theme-leap-day | |
x86_64-linux sbclPackages.cl-cffi-gtk-cairo | |
x86_64-linux python312Packages.sphinxcontrib-newsfeed | |
aarch64-linux asciicam | |
x86_64-linux linuxPackages_lqx.dpdk-kmods | |
x86_64-darwin cln | |
aarch64-linux python311Packages.usbtmc | |
aarch64-linux python312Packages.led-ble | |
aarch64-darwin python312Packages.mypy-boto3-connectparticipant | |
aarch64-darwin chickenPackages_5.chickenEggs.stack | |
x86_64-linux python311Packages.telegraph | |
aarch64-darwin vimPlugins.vim-ninja-feet | |
aarch64-darwin xvidcore | |
aarch64-darwin emacsPackages.numbers | |
x86_64-darwin updog | |
aarch64-darwin haskellPackages.amazonka-ecs | |
x86_64-linux bolt | |
aarch64-linux haskellPackages.rocksdb-haskell | |
aarch64-linux haskellPackages.rowdy-yesod | |
aarch64-darwin rubyPackages_3_1.actionview | |
x86_64-darwin haskellPackages.generic-lens-core | |
x86_64-darwin ansible-navigator | |
aarch64-darwin haskellPackages.libarchive | |
aarch64-linux tuxtype | |
aarch64-linux tests.pkg-config.defaultPkgConfigPackages.cairo-gobject | |
x86_64-darwin id3lib | |
x86_64-darwin rubyPackages_3_2.jekyll-spaceship | |
x86_64-linux python311Packages.openhomedevice | |
aarch64-linux home-assistant-component-tests.media_extractor | |
x86_64-linux emacsPackages.treemacs-projectile | |
aarch64-linux emacsPackages.secretaria | |
x86_64-linux linuxPackages_xanmod_latest.decklink | |
x86_64-darwin postgresql13JitPackages.smlar | |
x86_64-linux haskellPackages.lax | |
aarch64-linux python312Packages.seabreeze | |
x86_64-linux stack | |
x86_64-darwin packj | |
aarch64-darwin perl538Packages.grepmail | |
x86_64-darwin haskellPackages.deburr | |
x86_64-linux emacsPackages.org-re-reveal-ref | |
aarch64-linux llvmPackages_12.clangUseLLVM | |
aarch64-linux haskellPackages.gsasl | |
x86_64-darwin emacsPackages.ivy-mpdel | |
aarch64-linux emacsPackages.fontaine | |
aarch64-linux python311Packages.langchain-text-splitters | |
aarch64-linux clerk | |
aarch64-darwin python312Packages.wsgidav | |
aarch64-darwin perl538Packages.FCGIClient | |
x86_64-linux python311Packages.mediafile | |
aarch64-darwin haskellPackages.lens-witherable | |
x86_64-darwin libxlsxwriter | |
aarch64-linux linuxKernel.packages.linux_zen.bcc | |
aarch64-darwin python312Packages.numexpr | |
x86_64-darwin python311Packages.drawilleplot | |
x86_64-linux emacsPackages.map-regexp | |
x86_64-linux haskellPackages.char-decode | |
x86_64-darwin haskellPackages.diagrams-pandoc | |
aarch64-linux python312Packages.azure-mgmt-billing | |
x86_64-linux sqlpage | |
aarch64-linux haskellPackages.fallible | |
aarch64-linux sm64ex | |
aarch64-darwin python311Packages.types-aiobotocore-workmail | |
aarch64-darwin haskellPackages.setlocale | |
x86_64-linux watch | |
x86_64-linux emacsPackages.merlin-ac | |
aarch64-darwin libgccjit | |
aarch64-darwin python311Packages.oauthenticator | |
aarch64-linux luaPackages.middleclass | |
x86_64-darwin emacsPackages.url-scgi | |
aarch64-linux python311Packages.envisage | |
x86_64-linux linien-gui | |
x86_64-darwin perl536Packages.DataSection | |
x86_64-darwin worldengine-cli | |
aarch64-darwin python312Packages.param | |
aarch64-darwin haskellPackages.hp2pretty | |
aarch64-darwin python311Packages.ipwhois | |
aarch64-darwin perl538Packages.GraphViz | |
x86_64-darwin haskellPackages.data-textual | |
x86_64-darwin emacsPackages.orgit-forge | |
x86_64-linux python311Packages.reactivex | |
x86_64-darwin python312Packages.macfsevents | |
x86_64-linux python312Packages.bases | |
aarch64-darwin python312Packages.slpp | |
aarch64-linux qt6Packages.appstream-qt | |
x86_64-linux vimPlugins.i3config-vim | |
aarch64-linux luaPackages.toml | |
aarch64-linux ffmpeg_4 | |
aarch64-linux gawkextlib.mbs | |
aarch64-darwin mopidy-iris | |
x86_64-linux coqPackages.ITree | |
x86_64-linux gnomeExtensions.archlinux-updates-indicator | |
x86_64-linux pam_ussh | |
aarch64-darwin python312Packages.pick | |
aarch64-linux pdm | |
x86_64-darwin perl538Packages.Carton | |
x86_64-darwin python311Packages.mypy-boto3-pinpoint | |
x86_64-darwin python311Packages.starline | |
x86_64-linux linuxPackages_hardened.system76-scheduler | |
aarch64-linux gnome.mutter43 | |
x86_64-linux python311Packages.types-aiobotocore-lex-models | |
x86_64-darwin emacsPackages.ox-mdx-deck | |
x86_64-linux python312Packages.pygments | |
x86_64-darwin haskellPackages.xmonad-contrib | |
x86_64-linux haskellPackages.pooled-io | |
aarch64-linux python311Packages.djangorestframework-recursive | |
x86_64-linux apacheHttpdPackages.mod_auth_mellon | |
aarch64-darwin fish-irssi | |
x86_64-darwin python311Packages.rplcd | |
aarch64-linux python311Packages.pystardict | |
x86_64-linux python311Packages.typesystem | |
x86_64-linux linuxKernel.packages.linux_6_6_hardened.kvdo | |
aarch64-darwin chickenPackages_4.chickenEggs.simple-md5 | |
x86_64-darwin python312Packages.pyqwikswitch | |
x86_64-linux yubihsm-connector | |
x86_64-linux python311Packages.pasimple | |
aarch64-linux python312Packages.ecdsa | |
aarch64-darwin python312Packages.types-aiobotocore-mediapackagev2 | |
x86_64-darwin minecraftServers.vanilla-1-5 | |
aarch64-darwin ponysay | |
aarch64-linux rubyPackages_3_2.data_objects | |
x86_64-linux haskellPackages.lzma | |
aarch64-darwin python312Packages.cinemagoer | |
x86_64-darwin python312Packages.numba | |
x86_64-linux python311Packages.jinja2-ansible-filters | |
aarch64-linux eliot-tree | |
aarch64-darwin python311Packages.groestlcoin-hash | |
x86_64-darwin nix-visualize | |
aarch64-linux python312Packages.types-aiobotocore-sso-admin | |
aarch64-darwin emacsPackages.pd-remote | |
aarch64-linux emacsPackages.universal-emotions-emoticons | |
x86_64-linux kmscube | |
x86_64-darwin python311Packages.types-aiobotocore-cloudfront | |
aarch64-darwin mos | |
x86_64-darwin python311Packages.mypy-boto3-s3control | |
aarch64-darwin python311Packages.pytest-fixture-config | |
x86_64-linux rdrview | |
aarch64-darwin rubyPackages.rspec-mocks | |
aarch64-darwin vimPlugins.coc-wxml | |
x86_64-darwin haskellPackages.bindings-portaudio | |
aarch64-linux gpython | |
aarch64-darwin emacsPackages.rpm-spec-mode | |
x86_64-linux dendrite | |
x86_64-linux perl536Packages.NetDBus | |
x86_64-darwin wiredtiger | |
x86_64-linux vimPlugins.vim-oscyank | |
x86_64-darwin dnscontrol | |
x86_64-linux linuxPackages_6_1_hardened.ena | |
x86_64-darwin cat9 | |
aarch64-linux parted | |
aarch64-linux postgresql15JitPackages.pg_hint_plan | |
aarch64-linux koka | |
aarch64-darwin haskellPackages.tidal-link | |
aarch64-linux kdePackages.kdf | |
aarch64-darwin selendroid | |
x86_64-linux haskellPackages.bytes-metrics | |
aarch64-darwin python311Packages.vg | |
x86_64-linux haskellPackages.FailT | |
x86_64-darwin vimPlugins.nvim-gps | |
x86_64-darwin emacsPackages.anki-editor-view | |
x86_64-darwin emacsPackages.discover-clj-refactor | |
aarch64-darwin haskellPackages.acme-cadre | |
x86_64-linux python311Packages.ufolint | |
aarch64-linux python311Packages.shodan | |
aarch64-darwin perl536Packages.WxGLCanvas | |
aarch64-linux home-assistant-component-tests.ping | |
x86_64-darwin emacsPackages.flycheck-hl-todo | |
x86_64-linux nixops_unstablePlugins.nixops-gce | |
aarch64-darwin python312Packages.pynvml | |
x86_64-linux json2yaml | |
x86_64-linux haskellPackages.penn-treebank | |
x86_64-linux vimPlugins.Shade-nvim | |
x86_64-linux rtl-ais | |
aarch64-darwin python311Packages.environs | |
x86_64-linux comodoro | |
x86_64-darwin emacsPackages.python-environment | |
aarch64-darwin haskellPackages.foldable1-classes-compat | |
aarch64-darwin tubekit-unwrapped | |
x86_64-darwin buildkite-cli | |
x86_64-linux emacsPackages.doom | |
x86_64-linux haskellPackages.inflections | |
x86_64-linux optifinePackages.optifine_1_16_1 | |
aarch64-linux python311Packages.rstr | |
aarch64-linux kdePackages.palapeli | |
x86_64-linux re2 | |
x86_64-linux rpg-cli | |
x86_64-darwin perl536Packages.IOPrompt | |
aarch64-linux netbox_3_7 | |
x86_64-linux apptainer-overriden-nixos | |
x86_64-linux python311Packages.panflute | |
x86_64-linux python312Packages.tensorflow-estimator-bin | |
x86_64-linux emacsPackages.aas | |
x86_64-darwin postgresql16JitPackages.pg_topn | |
aarch64-linux linuxPackages_4_19_hardened.r8125 | |
x86_64-linux emacsPackages.flycheck-elm | |
x86_64-darwin haskellPackages.full-text-search | |
x86_64-darwin libmp3splt | |
x86_64-linux python312Packages.glcontext | |
aarch64-linux python311Packages.meteofrance-api | |
aarch64-linux plasma5Packages.quazip | |
aarch64-linux lua51Packages.digestif | |
aarch64-darwin emacsPackages.poly-org | |
aarch64-linux rmate-sh | |
aarch64-linux python311Packages.python-vlc | |
aarch64-linux past-time | |
aarch64-linux python311Packages.jupyterlab | |
aarch64-darwin python312Packages.h5io | |
aarch64-darwin wakapi | |
x86_64-darwin mxnet | |
x86_64-darwin haskellPackages.snap-cors | |
x86_64-linux python311Packages.django-markup | |
x86_64-linux stlink | |
x86_64-darwin haskellPackages.sat-simple | |
aarch64-darwin gnome-doc-utils | |
x86_64-darwin python311Packages.kurbopy | |
x86_64-darwin readline | |
aarch64-darwin python311Packages.pyipp | |
aarch64-linux genpass | |
x86_64-linux haskellPackages.alsa-core | |
x86_64-darwin emacsPackages.helm-org-recent-headings | |
aarch64-darwin tests.pkg-config.defaultPkgConfigPackages.ncursesw | |
x86_64-linux home-assistant-component-tests.ecobee | |
aarch64-linux pulp | |
x86_64-linux python311Packages.matplotlib-sixel | |
aarch64-linux linuxKernel.packages.linux_5_4_hardened.rtl8821ce | |
x86_64-linux emacsPackages.flymake-languagetool | |
aarch64-darwin perl536Packages.X11IdleTime | |
x86_64-linux vimPlugins.gruvbox-baby | |
x86_64-darwin notes | |
aarch64-darwin emacsPackages.path-helper | |
x86_64-darwin diffstat | |
x86_64-darwin python311Packages.intelhex | |
aarch64-linux go-cve-search | |
aarch64-darwin haskellPackages.containers-good-graph | |
x86_64-darwin fio | |
x86_64-darwin python311Packages.django-shortuuidfield | |
aarch64-linux python311Packages.unstructured | |
aarch64-linux python312Packages.random2 | |
aarch64-darwin haskellPackages.amazonka-textract | |
x86_64-darwin python312Packages.libsixel | |
x86_64-linux step-ca | |
aarch64-darwin haskellPackages.hjugement | |
aarch64-linux python311Packages.flask-caching | |
x86_64-linux haskellPackages.hslua-module-doclayout | |
aarch64-linux python311Packages.mkdocs-minify-plugin | |
aarch64-linux linuxPackages_xanmod.rtl8821au | |
aarch64-darwin vimPlugins.matchit-zip | |
aarch64-darwin powerhub | |
aarch64-linux linuxPackages_5_10_hardened.shufflecake | |
aarch64-linux haskellPackages.youtube | |
aarch64-linux libsForQt5.mapbox-gl-qml | |
aarch64-darwin haskellPackages.self-extract | |
aarch64-linux python311Packages.mypy-boto3-pipes | |
x86_64-linux audible-cli | |
aarch64-linux python311Packages.canals | |
aarch64-darwin postgresql16Packages.smlar | |
x86_64-linux monolith | |
x86_64-linux xautomation | |
x86_64-darwin chmlib | |
x86_64-linux glbinding | |
x86_64-linux python312Packages.name-that-hash | |
x86_64-linux python312Packages.mypy-boto3-route53domains | |
aarch64-darwin djhtml | |
aarch64-linux mdbook-d2 | |
aarch64-darwin python311Packages.cmsis-pack-manager | |
x86_64-darwin python312Packages.smarthab | |
x86_64-linux haskellPackages.unique-lang | |
x86_64-linux python312Packages.mkdocs-swagger-ui-tag | |
aarch64-linux google-drive-ocamlfuse | |
x86_64-linux luaPackages.nlua | |
aarch64-linux python311Packages.types-aiobotocore-sagemaker-a2i-runtime | |
x86_64-linux python312Packages.openai-triton-cuda | |
aarch64-linux rubyPackages_3_3.semian | |
aarch64-darwin fcrackzip | |
x86_64-linux python311Packages.objax | |
x86_64-darwin cfripper | |
aarch64-linux adoptopenjdk-hotspot-bin-15 | |
x86_64-linux emacsPackages.py-test | |
x86_64-linux simdutf | |
aarch64-darwin python312Packages.volvooncall | |
aarch64-darwin haskellPackages.libnotify | |
x86_64-linux python312Packages.scikit-tda | |
aarch64-linux samsung-unified-linux-driver | |
x86_64-linux swayr | |
x86_64-darwin haskellPackages.unicode-show | |
x86_64-linux rubyPackages_3_1.gdk3 | |
x86_64-linux plasma5Packages.libkmahjongg | |
x86_64-darwin emacsPackages.lxc-tramp | |
aarch64-darwin haskellPackages.Unique | |
x86_64-darwin emacsPackages.shades-of-purple-theme | |
x86_64-darwin python312Packages.eth-keys | |
x86_64-darwin haskellPackages.arx | |
x86_64-darwin luaPackages.busted | |
x86_64-darwin vimPlugins.quarto-nvim | |
aarch64-linux fuse-emulator | |
aarch64-linux lua53Packages.lmpfrlib | |
x86_64-darwin python312Packages.mypy-boto3-imagebuilder | |
aarch64-darwin haskellPackages.juandelacosa | |
x86_64-linux haskellPackages.sample-frame | |
aarch64-darwin rubyPackages_3_1.matrix | |
x86_64-darwin rubyPackages_3_3.libv8 | |
aarch64-darwin haskellPackages.barbies-layered | |
x86_64-linux haskellPackages.rating-systems | |
aarch64-darwin libsForQt5.solid | |
aarch64-darwin python311Packages.opensearch-py | |
x86_64-darwin haskellPackages.transformers-abort | |
x86_64-linux emacsPackages.backward-forward | |
aarch64-linux python312Packages.pc-ble-driver-py | |
aarch64-linux haskellPackages.haskakafka | |
x86_64-darwin python311Packages.fastcache | |
aarch64-linux python312Packages.datamodeldict | |
aarch64-darwin vimPlugins.nvim-lsp-ts-utils | |
aarch64-darwin python312Packages.pyct | |
aarch64-darwin rubyPackages.typhoeus | |
aarch64-linux haskellPackages.fixpoint | |
x86_64-linux pfetch-rs | |
x86_64-linux haskellPackages.commonmark-extensions | |
aarch64-linux flare-signal | |
aarch64-linux cataclysm-dda | |
aarch64-linux python312Packages.ydiff | |
x86_64-linux python312Packages.mypy-boto3-pricing | |
x86_64-linux talentedhack | |
x86_64-linux haskellPackages.amazonka-codepipeline | |
aarch64-darwin python311Packages.certbot-dns-cloudflare | |
x86_64-darwin emv | |
aarch64-darwin scummvm | |
x86_64-linux vimPlugins.nvim-treesitter-parsers.nim | |
aarch64-darwin haskellPackages.drunken-bishop | |
x86_64-darwin vimPlugins.SpaceCamp | |
x86_64-linux homebank | |
aarch64-linux haskellPackages.genvalidity-sydtest-aeson | |
x86_64-linux testdisk-qt | |
aarch64-darwin gopass-summon-provider | |
aarch64-darwin rlottie | |
x86_64-linux python311Packages.torchmetrics | |
aarch64-linux linuxKernel.packages.linux_6_1_hardened.system76-scheduler | |
x86_64-linux luajitPackages.cyan | |
x86_64-darwin python312Packages.pycddl | |
aarch64-linux emacsPackages.ido-gnus | |
x86_64-linux gnuradio3_8Packages.limesdr | |
x86_64-linux owntracks-recorder | |
x86_64-darwin alegreya-sans | |
x86_64-darwin python312Packages.flask-babel | |
x86_64-darwin rubyPackages_3_3.fog-json | |
x86_64-linux python311Packages.git-annex-adapter | |
x86_64-linux sortmerna | |
aarch64-darwin python312Packages.primepy | |
x86_64-darwin perl536Packages.MailTransport | |
x86_64-linux solaar | |
aarch64-darwin perl538Packages.CwdGuard | |
x86_64-darwin ldb | |
x86_64-linux python312Packages.saneyaml | |
aarch64-darwin starspace | |
x86_64-linux stell |
Sign up for free
to join this conversation on GitHub.
Already have an account?
Sign in to comment