|
#====================================================== |
|
# |
|
# Synopsys Synthesis Scripts (Design Vision dctcl mode) |
|
# |
|
#====================================================== |
|
#====================================================== |
|
# (A) Global Parameters |
|
#====================================================== |
|
set DESIGN "CNN" |
|
CNN |
|
set CYCLE 100 |
|
100 |
|
set INPUT_DLY [expr 0.5*$CYCLE] |
|
50.0 |
|
set OUTPUT_DLY [expr 0.5*$CYCLE] |
|
50.0 |
|
#====================================================== |
|
# (B) Read RTL Code |
|
#====================================================== |
|
# (B-1) analyze + elaborate |
|
set hdlin_auto_save_templates TRUE |
|
TRUE |
|
analyze -f sverilog $DESIGN\.v |
|
Running PRESTO HDLC |
|
Compiling source file ./CNN.v |
|
Presto compilation completed successfully. |
|
Loading db file '/RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_generic_core_ss1p62v125c.db' |
|
Loading db file '/RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_generic_core_ff1p98vm40c.db' |
|
Loading db file '/RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_t33_generic_io_ss1p62v125c.db' |
|
Loading db file '/RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_t33_generic_io_tt1p8v25c.db' |
|
Loading db file '/usr/cad/synopsys/synthesis/cur/libraries/syn/dw_foundation.sldb' |
|
Loading db file '/usr/cad/synopsys/synthesis/cur/libraries/syn/standard.sldb' |
|
1 |
|
elaborate $DESIGN |
|
Loading db file '/usr/cad/synopsys/synthesis/2022.03/libraries/syn/gtech.db' |
|
Loading db file '/usr/cad/synopsys/synthesis/2022.03/libraries/syn/standard.sldb' |
|
Loading link library 'fsa0m_a_generic_core_ss1p62v125c' |
|
Loading link library 'fsa0m_a_generic_core_ff1p98vm40c' |
|
Loading link library 'fsa0m_a_t33_generic_io_ss1p62v125c' |
|
Loading link library 'fsa0m_a_t33_generic_io_tt1p8v25c' |
|
Loading link library 'gtech' |
|
Running PRESTO HDLC |
|
|
|
Statistics for case statements in always block at line 71 in file |
|
'./CNN.v' |
|
=============================================== |
|
| Line | full/ parallel | |
|
=============================================== |
|
| 72 | auto/auto | |
|
=============================================== |
|
|
|
Inferred memory devices in process |
|
in routine CNN line 63 in file |
|
'./CNN.v'. |
|
=============================================================================== |
|
| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | |
|
=============================================================================== |
|
| current_state_reg | Flip-flop | 2 | Y | N | Y | N | N | N | N | |
|
=============================================================================== |
|
|
|
Inferred memory devices in process |
|
in routine CNN line 99 in file |
|
'./CNN.v'. |
|
=============================================================================== |
|
| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | |
|
=============================================================================== |
|
| counter_reg | Flip-flop | 6 | Y | N | Y | N | N | N | N | |
|
=============================================================================== |
|
|
|
Inferred memory devices in process |
|
in routine CNN line 122 in file |
|
'./CNN.v'. |
|
=============================================================================== |
|
| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | |
|
=============================================================================== |
|
| opt_reg_reg | Flip-flop | 1 | N | N | N | N | N | N | N | |
|
=============================================================================== |
|
|
|
Inferred memory devices in process |
|
in routine CNN line 129 in file |
|
'./CNN.v'. |
|
=============================================================================== |
|
| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | |
|
=============================================================================== |
|
| feature_map_reg | Flip-flop | 576 | Y | N | N | N | N | N | N | |
|
=============================================================================== |
|
|
|
Inferred memory devices in process |
|
in routine CNN line 136 in file |
|
'./CNN.v'. |
|
=============================================================================== |
|
| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | |
|
=============================================================================== |
|
| kernel_reg | Flip-flop | 144 | Y | N | N | N | N | N | N | |
|
=============================================================================== |
|
|
|
Inferred memory devices in process |
|
in routine CNN line 145 in file |
|
'./CNN.v'. |
|
=============================================================================== |
|
| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | |
|
=============================================================================== |
|
| col_reg | Flip-flop | 3 | Y | N | N | N | N | N | N | |
|
| row_reg | Flip-flop | 3 | Y | N | N | N | N | N | N | |
|
=============================================================================== |
|
|
|
Inferred memory devices in process |
|
in routine CNN line 163 in file |
|
'./CNN.v'. |
|
=============================================================================== |
|
| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | |
|
=============================================================================== |
|
| cnn_layer_reg | Flip-flop | 256 | Y | N | N | N | N | N | N | |
|
=============================================================================== |
|
|
|
Inferred memory devices in process |
|
in routine CNN line 182 in file |
|
'./CNN.v'. |
|
=============================================================================== |
|
| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | |
|
=============================================================================== |
|
| relu_layer_reg | Flip-flop | 256 | Y | N | N | N | N | N | N | |
|
=============================================================================== |
|
|
|
Inferred memory devices in process |
|
in routine CNN line 215 in file |
|
'./CNN.v'. |
|
=============================================================================== |
|
| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | |
|
=============================================================================== |
|
| out_valid_reg | Flip-flop | 1 | N | N | Y | N | N | N | N | |
|
=============================================================================== |
|
|
|
Inferred memory devices in process |
|
in routine CNN line 226 in file |
|
'./CNN.v'. |
|
=============================================================================== |
|
| Register Name | Type | Width | Bus | MB | AR | AS | SR | SS | ST | |
|
=============================================================================== |
|
| out_data_reg | Flip-flop | 16 | Y | N | Y | N | N | N | N | |
|
=============================================================================== |
|
Statistics for MUX_OPs |
|
====================================================== |
|
| block name/line | Inputs | Outputs | # sel inputs | |
|
====================================================== |
|
| CNN/230 | 4 | 16 | 2 | |
|
====================================================== |
|
Presto compilation completed successfully. (CNN) |
|
Elaborated 1 design. |
|
Current design is now 'CNN'. |
|
1 |
|
# (B-2) read_sverilog |
|
#read_sverilog $DESIGN\.v |
|
# (B-3) set current design |
|
current_design $DESIGN |
|
Current design is 'CNN'. |
|
{CNN} |
|
link |
|
|
|
Linking design 'CNN' |
|
Using the following designs and libraries: |
|
-------------------------------------------------------------------------- |
|
CNN /RAID2/COURSE/DCS/DCS150/HW02/02_SYN/CNN.db |
|
fsa0m_a_generic_core_ss1p62v125c (library) /RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_generic_core_ss1p62v125c.db |
|
fsa0m_a_generic_core_ff1p98vm40c (library) /RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_generic_core_ff1p98vm40c.db |
|
fsa0m_a_t33_generic_io_ss1p62v125c (library) /RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_t33_generic_io_ss1p62v125c.db |
|
fsa0m_a_t33_generic_io_tt1p8v25c (library) /RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_t33_generic_io_tt1p8v25c.db |
|
dw_foundation.sldb (library) /usr/cad/synopsys/synthesis/cur/libraries/syn/dw_foundation.sldb |
|
|
|
1 |
|
#====================================================== |
|
# (C) Global Setting |
|
#====================================================== |
|
set_wire_load_mode top |
|
1 |
|
set_operating_conditions -max WCCOM -min BCCOM |
|
Using operating conditions 'WCCOM' found in library 'fsa0m_a_generic_core_ss1p62v125c'. |
|
Using operating conditions 'BCCOM' found in library 'fsa0m_a_generic_core_ff1p98vm40c'. |
|
1 |
|
# set_wire_load_model -name umc18_wl10 -library slow |
|
#====================================================== |
|
# (D) Set Design Constraints |
|
#====================================================== |
|
# (D-1) Setting Clock Constraints |
|
create_clock -name clk -period $CYCLE [get_ports clk] |
|
1 |
|
set_dont_touch_network [get_clocks clk] |
|
1 |
|
set_fix_hold [get_clocks clk] |
|
1 |
|
set_clock_uncertainty 0.1 [get_clocks clk] |
|
1 |
|
# set_clock_latency -source 0 [get_clocks clk] |
|
# set_clock_latency 1 [get_clocks clk] |
|
set_input_transition 0.5 [all_inputs] |
|
1 |
|
set_clock_transition 0.1 [all_clocks] |
|
1 |
|
# (D-2) Setting in/out Constraints |
|
set_input_delay -max $INPUT_DLY -clock clk [all_inputs] ; # set_up time check |
|
1 |
|
set_input_delay -min 0 -clock clk [all_inputs] ; # hold time check |
|
1 |
|
set_output_delay -max $OUTPUT_DLY -clock clk [all_outputs] ; # set_up time check |
|
1 |
|
set_output_delay -min 0 -clock clk [all_outputs] ; # hold time check |
|
1 |
|
set_input_delay 0 -clock clk clk |
|
1 |
|
set_input_delay 0 -clock clk rst_n |
|
1 |
|
#set_max_delay $CYCLE -from [all_inputs] -to [all_outputs] |
|
# (D-3) Setting Design Environment |
|
# set_driving_cell -library umc18io3v5v_slow -lib_cell P2C -pin {Y} [get_ports clk] |
|
# set_driving_cell -library umc18io3v5v_slow -lib_cell P2C -pin {Y} [remove_from_collection [all_inputs] [get_ports clk]] |
|
# set_load [load_of "umc18io3v5v_slow/P8C/A"] [all_outputs] ; # ~= 0.038 |
|
set_load 0.05 [all_outputs] |
|
1 |
|
# (D-4) Setting DRC Constraint |
|
#set_max_delay 0 ; # Optimize delay max effort |
|
#set_max_area 0 ; # Optimize area max effort |
|
set_max_transition 3 [all_inputs] ; # U18 LUT Max Transition Value |
|
1 |
|
set_max_capacitance 0.15 [all_inputs] ; # U18 LUT Max Capacitance Value |
|
1 |
|
set_max_fanout 10 [all_inputs] |
|
1 |
|
# set_dont_use slow/JKFF* |
|
#set_dont_touch [get_cells core_reg_macro] |
|
#set hdlin_ff_always_sync_set_reset true |
|
# (D-5) Report Clock skew |
|
report_clock -skew clk |
|
Information: Checking out the license 'DesignWare'. (SEC-104) |
|
Information: Changed wire load model for 'alt1' from '(none)' to 'G5K'. (OPT-170) |
|
Information: Changed wire load model for 'apparch' from '(none)' to 'G5K'. (OPT-170) |
|
Information: Changed wire load model for 'alt1' from '(none)' to 'G5K'. (OPT-170) |
|
Information: Changed wire load model for 'apparch' from '(none)' to 'G5K'. (OPT-170) |
|
Information: Changed wire load model for 'alt1' from '(none)' to 'G5K'. (OPT-170) |
|
Information: Changed wire load model for 'apparch' from '(none)' to 'G5K'. (OPT-170) |
|
Information: Updating design information... (UID-85) |
|
Warning: Design 'CNN' contains 2 high-fanout nets. A fanout number of 1000 will be used for delay calculations involving these nets. (TIM-134) |
|
|
|
**************************************** |
|
Report : clock_skew |
|
Design : CNN |
|
Version: T-2022.03 |
|
Date : Sun Apr 14 04:41:29 2024 |
|
**************************************** |
|
|
|
Rise Fall Min Rise Min fall Uncertainty |
|
Object Delay Delay Delay Delay Plus Minus |
|
-------------------------------------------------------------------------------- |
|
clk - - - - 0.10 0.10 |
|
|
|
Max Transition Min Transition |
|
Object Rise Fall Rise Fall |
|
------------------------------------------------------- |
|
clk 0.10 0.10 0.10 0.10 |
|
1 |
|
check_timing |
|
|
|
Information: Checking generated_clocks... |
|
|
|
Information: Checking loops... |
|
|
|
Information: Checking no_input_delay... |
|
|
|
Information: Checking unconstrained_endpoints... |
|
|
|
Information: Checking pulse_clock_cell_type... |
|
|
|
Information: Checking no_driving_cell... |
|
|
|
Information: Checking partial_input_delay... |
|
1 |
|
#====================================================== |
|
# (E) Optimization |
|
#====================================================== |
|
check_design > Report/$DESIGN\.check |
|
set_fix_multiple_port_nets -all -buffer_constants [get_designs *] |
|
1 |
|
set_fix_hold [all_clocks] |
|
1 |
|
compile_ultra |
|
Information: Performing power optimization. (PWR-850) |
|
Analyzing: "/RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_t33_generic_io_ss1p62v125c.db" |
|
Analyzing: "/RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_t33_generic_io_tt1p8v25c.db" |
|
Analyzing: "/RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_generic_core_ss1p62v125c.db" |
|
Analyzing: "/RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_generic_core_ff1p98vm40c.db" |
|
Library analysis succeeded. |
|
Information: Running optimization using a maximum of 8 cores. (OPT-1500) |
|
Information: Evaluating DesignWare library utilization. (UISN-27) |
|
|
|
============================================================================ |
|
| DesignWare Building Block Library | Version | Available | |
|
============================================================================ |
|
| Basic DW Building Blocks | S-2021.06-DWBB_202106.0 | * | |
|
| Licensed DW Building Blocks | S-2021.06-DWBB_202106.0 | * | |
|
============================================================================ |
|
|
|
==================================================================================================== |
|
| Flow Information | |
|
---------------------------------------------------------------------------------------------------- |
|
| Flow | Design Compiler NXT WLM | |
|
| Comand line | compile_ultra | |
|
==================================================================================================== |
|
| Design Information | Value | |
|
==================================================================================================== |
|
| Number of Scenarios | 0 | |
|
| Leaf Cell Count | 3452 | |
|
| Number of User Hierarchies | 0 | |
|
| Sequential Cell Count | 1264 | |
|
| Macro Count | 0 | |
|
| Number of Power Domains | 0 | |
|
| Number of Path Groups | 2 | |
|
| Number of VT class | 0 | |
|
| Number of Clocks | 1 | |
|
| Number of Dont Touch cells | 432 | |
|
| Number of Dont Touch nets | 1 | |
|
| Number of size only cells | 0 | |
|
| Design with UPF Data | false | |
|
---------------------------------------------------------------------------------------------------- |
|
| Variables | Value | |
|
---------------------------------------------------------------------------------------------------- |
|
| set_fix_multiple_port_nets | -all -buffer_constants | |
|
==================================================================================================== |
|
Information: Sequential output inversion is enabled. SVF file must be used for formal verification. (OPT-1208) |
|
|
|
Information: There are 6 potential problems in your design. Please run 'check_design' for more information. (LINT-99) |
|
|
|
Simplifying Design 'CNN' |
|
|
|
Loading target library 'fsa0m_a_generic_core_ff1p98vm40c' |
|
Loading target library 'fsa0m_a_t33_generic_io_ss1p62v125c' |
|
Loading target library 'fsa0m_a_t33_generic_io_tt1p8v25c' |
|
Loaded alib file './alib-52/fsa0m_a_generic_core_ss1p62v125c.db.alib' |
|
Loaded alib file './alib-52/fsa0m_a_generic_core_ff1p98vm40c.db.alib' |
|
Loaded alib file './alib-52/fsa0m_a_t33_generic_io_ss1p62v125c.db.alib' (placeholder) |
|
Loaded alib file './alib-52/fsa0m_a_t33_generic_io_tt1p8v25c.db.alib' (placeholder) |
|
Warning: Operating condition WCCOM set on design CNN has different process, |
|
voltage and temperatures parameters than the parameters at which target library |
|
fsa0m_a_generic_core_ff1p98vm40c is characterized. Delays may be inaccurate as a result. (OPT-998) |
|
Building model 'DW01_NAND2' |
|
Information: Ungrouping 0 of 1 hierarchies before Pass 1 (OPT-775) |
|
Information: State dependent leakage is now switched from on to off. |
|
|
|
Beginning Pass 1 Mapping |
|
------------------------ |
|
Processing 'CNN' |
|
Information: Added key list 'DesignWare' to design 'CNN'. (DDB-72) |
|
Implement Synthetic for 'CNN'. |
|
|
|
Updating timing information |
|
Information: Updating design information... (UID-85) |
|
Information: The library cell 'TIE1' in the library 'fsa0m_a_generic_core_ss1p62v125c' is not characterized for internal power. (PWR-536) |
|
Information: The library cell 'TIE0' in the library 'fsa0m_a_generic_core_ss1p62v125c' is not characterized for internal power. (PWR-536) |
|
Information: The library cell 'BHD1' in the library 'fsa0m_a_generic_core_ss1p62v125c' is not characterized for internal power. (PWR-536) |
|
Information: The target library(s) contains cell(s), other than black boxes, that are not characterized for internal power. (PWR-24) |
|
|
|
Beginning Mapping Optimizations (Ultra High effort) |
|
------------------------------- |
|
Information: There is no timing violation in design CNN. Delay-based auto_ungroup will not be performed. (OPT-780) |
|
Information: The register 'col_reg[2]' is a constant and will be removed. (OPT-1206) |
|
|
|
TOTAL |
|
ELAPSED WORST NEG SETUP DESIGN LEAKAGE MIN DELAY |
|
TIME AREA SLACK COST RULE COST ENDPOINT POWER COST |
|
--------- --------- --------- --------- --------- ------------------------- --------- ----------- |
|
0:00:44 311676.9 0.00 0.0 73.9 19743174.0000 0.00 |
|
0:00:44 300265.2 0.00 0.0 1987.7 19098924.0000 0.00 |
|
|
|
Beginning Constant Register Removal |
|
----------------------------------- |
|
0:00:44 299071.5 0.00 0.0 389.5 18858118.0000 0.00 |
|
0:00:45 299052.7 0.00 0.0 389.5 18857964.0000 0.00 |
|
|
|
Beginning Global Optimizations |
|
------------------------------ |
|
Numerical Synthesis (Phase 1) |
|
Numerical Synthesis (Phase 2) |
|
Global Optimization (Phase 1) |
|
Global Optimization (Phase 2) |
|
Global Optimization (Phase 3) |
|
Global Optimization (Phase 4) |
|
Global Optimization (Phase 5) |
|
Global Optimization (Phase 6) |
|
Global Optimization (Phase 7) |
|
Global Optimization (Phase 8) |
|
Global Optimization (Phase 9) |
|
Global Optimization (Phase 10) |
|
Global Optimization (Phase 11) |
|
Global Optimization (Phase 12) |
|
Global Optimization (Phase 13) |
|
Global Optimization (Phase 14) |
|
Global Optimization (Phase 15) |
|
Global Optimization (Phase 16) |
|
Global Optimization (Phase 17) |
|
Global Optimization (Phase 18) |
|
Global Optimization (Phase 19) |
|
Global Optimization (Phase 20) |
|
Global Optimization (Phase 21) |
|
Global Optimization (Phase 22) |
|
Global Optimization (Phase 23) |
|
Global Optimization (Phase 24) |
|
Global Optimization (Phase 25) |
|
Global Optimization (Phase 26) |
|
Global Optimization (Phase 27) |
|
Global Optimization (Phase 28) |
|
Global Optimization (Phase 29) |
|
Global Optimization (Phase 30) |
|
Global Optimization (Phase 31) |
|
Global Optimization (Phase 32) |
|
|
|
Beginning Isolate Ports |
|
----------------------- |
|
|
|
Beginning Delay Optimization |
|
---------------------------- |
|
0:00:46 234441.2 0.00 0.0 80.4 11640654.0000 0.00 |
|
0:00:46 234441.2 0.00 0.0 80.4 11640654.0000 0.00 |
|
0:00:46 234441.2 0.00 0.0 80.4 11640654.0000 0.00 |
|
0:00:47 233681.9 0.00 0.0 80.4 11623105.0000 0.00 |
|
0:00:48 227860.4 0.00 0.0 6.6 11458645.0000 0.00 |
|
0:00:48 227860.4 0.00 0.0 6.6 11458645.0000 0.00 |
|
|
|
Beginning WLM Backend Optimization |
|
-------------------------------------- |
|
0:00:49 227301.1 0.00 0.0 6.6 11406694.0000 0.00 |
|
0:00:49 227301.1 0.00 0.0 6.6 11406694.0000 0.00 |
|
0:00:49 227301.1 0.00 0.0 6.6 11406694.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
|
|
|
|
Beginning Design Rule Fixing (max_transition) (max_capacitance) |
|
---------------------------- |
|
|
|
TOTAL |
|
ELAPSED WORST NEG SETUP DESIGN LEAKAGE MIN DELAY |
|
TIME AREA SLACK COST RULE COST ENDPOINT POWER COST |
|
--------- --------- --------- --------- --------- ------------------------- --------- ----------- |
|
0:00:50 227273.0 0.00 0.0 6.6 11246510.0000 0.00 |
|
Global Optimization (Phase 33) |
|
Global Optimization (Phase 34) |
|
Global Optimization (Phase 35) |
|
0:00:50 227279.2 0.00 0.0 0.0 11247010.0000 0.00 |
|
0:00:50 227279.2 0.00 0.0 0.0 11247010.0000 0.00 |
|
|
|
|
|
Beginning Leakage Power Optimization (max_leakage_power 0) |
|
------------------------------------ |
|
|
|
TOTAL |
|
ELAPSED WORST NEG SETUP DESIGN LEAKAGE MIN DELAY |
|
TIME AREA SLACK COST RULE COST ENDPOINT POWER COST |
|
--------- --------- --------- --------- --------- ------------------------- --------- ----------- |
|
0:00:50 227279.2 0.00 0.0 0.0 11247010.0000 0.00 |
|
Global Optimization (Phase 36) |
|
Global Optimization (Phase 37) |
|
Global Optimization (Phase 38) |
|
Global Optimization (Phase 39) |
|
Global Optimization (Phase 40) |
|
Global Optimization (Phase 41) |
|
Global Optimization (Phase 42) |
|
Global Optimization (Phase 43) |
|
Global Optimization (Phase 44) |
|
Global Optimization (Phase 45) |
|
Global Optimization (Phase 46) |
|
Global Optimization (Phase 47) |
|
Global Optimization (Phase 48) |
|
Global Optimization (Phase 49) |
|
0:00:50 226785.5 0.00 0.0 0.0 11188116.0000 0.00 |
|
0:00:50 226785.5 0.00 0.0 0.0 11188116.0000 0.00 |
|
0:00:50 226785.5 0.00 0.0 0.0 11188116.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
|
|
TOTAL |
|
ELAPSED WORST NEG SETUP DESIGN LEAKAGE MIN DELAY |
|
TIME AREA SLACK COST RULE COST ENDPOINT POWER COST |
|
--------- --------- --------- --------- --------- ------------------------- --------- ----------- |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:51 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:52 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:52 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:52 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:52 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:52 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
0:00:52 226785.5 0.00 0.0 0.0 11186609.0000 0.00 |
|
Loading db file '/RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_generic_core_ss1p62v125c.db' |
|
Loading db file '/RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_generic_core_ff1p98vm40c.db' |
|
Loading db file '/RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_t33_generic_io_ss1p62v125c.db' |
|
Loading db file '/RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_t33_generic_io_tt1p8v25c.db' |
|
|
|
|
|
Note: Symbol # after min delay cost means estimated hold TNS across all active scenarios |
|
|
|
|
|
Optimization Complete |
|
--------------------- |
|
Warning: Design 'CNN' contains 1 high-fanout nets. A fanout number of 1000 will be used for delay calculations involving these nets. (TIM-134) |
|
Net 'clk': 1263 load(s), 1 driver(s) |
|
Loading target library 'fsa0m_a_generic_core_ff1p98vm40c' |
|
Loading target library 'fsa0m_a_t33_generic_io_ss1p62v125c' |
|
Loading target library 'fsa0m_a_t33_generic_io_tt1p8v25c' |
|
Information: State dependent leakage is now switched from off to on. |
|
Information: Propagating switching activity (low effort zero delay simulation). (PWR-6) |
|
1 |
|
#uniquify |
|
#compile |
|
#====================================================== |
|
# (F) Output Reports |
|
#====================================================== |
|
report_design > Report/$DESIGN\.design |
|
report_resource > Report/$DESIGN\.resource |
|
report_timing -max_paths 3 > Report/$DESIGN\.timing |
|
report_area > Report/$DESIGN\.area |
|
report_power > Report/$DESIGN\.power |
|
report_clock > Report/$DESIGN\.clock |
|
report_port > Report/$DESIGN\.port |
|
report_power > Report/$DESIGN\.power |
|
#report_reference > Report/$DESIGN\.reference |
|
#====================================================== |
|
# (G) Change Naming Rule |
|
#====================================================== |
|
set bus_inference_style "%s\[%d\]" |
|
%s[%d] |
|
set bus_naming_style "%s\[%d\]" |
|
%s[%d] |
|
set hdlout_internal_busses true |
|
true |
|
change_names -hierarchy -rule verilog |
|
1 |
|
define_name_rules name_rule -allowed "a-z A-Z 0-9 _" -max_length 255 -type cell |
|
1 |
|
define_name_rules name_rule -allowed "a-z A-Z 0-9 _[]" -max_length 255 -type net |
|
1 |
|
define_name_rules name_rule -map {{"\\*cell\\*" "cell"}} |
|
1 |
|
define_name_rules name_rule -case_insensitive |
|
1 |
|
change_names -hierarchy -rules name_rule |
|
1 |
|
#====================================================== |
|
# (H) Output Results |
|
#====================================================== |
|
set verilogout_higher_designs_first true |
|
true |
|
write -format verilog -output Netlist/$DESIGN\_SYN.v -hierarchy |
|
Writing verilog file '/RAID2/COURSE/DCS/DCS150/HW02/02_SYN/Netlist/CNN_SYN.v'. |
|
1 |
|
write -format ddc -hierarchy -output $DESIGN\_SYN.ddc |
|
Writing ddc file 'CNN_SYN.ddc'. |
|
1 |
|
write_sdf -version 3.0 -context verilog -load_delay cell Netlist/$DESIGN\_SYN.sdf -significant_digits 6 |
|
Information: Writing timing information to file '/RAID2/COURSE/DCS/DCS150/HW02/02_SYN/Netlist/CNN_SYN.sdf'. (WT-3) |
|
Information: Updating design information... (UID-85) |
|
Warning: Design 'CNN' contains 1 high-fanout nets. A fanout number of 1000 will be used for delay calculations involving these nets. (TIM-134) |
|
1 |
|
write_sdc Netlist/$DESIGN\_SYN.sdc |
|
1 |
|
#====================================================== |
|
# (I) Finish and Quit |
|
#====================================================== |
|
report_area -designware -hierarchy |
|
|
|
**************************************** |
|
Report : area |
|
Design : CNN |
|
Version: T-2022.03 |
|
Date : Sun Apr 14 04:44:31 2024 |
|
**************************************** |
|
|
|
Library(s) Used: |
|
|
|
fsa0m_a_generic_core_ss1p62v125c (File: /RAID2/COURSE/BackUp/2023_Spring/iclab/iclabta01/UMC018_CBDK/CIC/SynopsysDC/db/fsa0m_a_generic_core_ss1p62v125c.db) |
|
|
|
Number of ports: 37 |
|
Number of nets: 10480 |
|
Number of cells: 9669 |
|
Number of combinational cells: 8406 |
|
Number of sequential cells: 1263 |
|
Number of macros/black boxes: 0 |
|
Number of buf/inv: 667 |
|
Number of references: 57 |
|
|
|
Combinational area: 156358.743834 |
|
Buf/Inv area: 4790.318348 |
|
Noncombinational area: 70426.742535 |
|
Macro/Black Box area: 0.000000 |
|
Net Interconnect area: undefined (Wire load has zero net area) |
|
|
|
Total cell area: 226785.486369 |
|
Total area: undefined |
|
|
|
Hierarchical area distribution |
|
------------------------------ |
|
|
|
Global cell area Local cell area |
|
-------------------- ------------------------------- |
|
Hierarchical cell Absolute Percent Combi- Noncombi- Black- |
|
Total Total national national boxes Design |
|
-------------------------------- ----------- ------- ----------- ---------- ------ --------- |
|
CNN 226785.4864 100.0 156358.7438 70426.7425 0.0000 CNN |
|
-------------------------------- ----------- ------- ----------- ---------- ------ --------- |
|
Total 156358.7438 70426.7425 0.0000 |
|
|
|
|
|
Area of detected synthetic parts |
|
-------------------------------- |
|
No DW parts to report! |
|
|
|
Estimated area of ungrouped synthetic parts |
|
------------------------------------------- |
|
Estimated Perc. of |
|
Module Implem. Count Area cell area |
|
-------------------- ------- ----- ----------- --------- |
|
DP_OP_936J1_122_9795 str 1 690.3237 0.3% |
|
DP_OP_937J1_123_5115 str 1 653.5441 0.3% |
|
DP_OP_938J1_124_6072 str 1 246.1400 0.1% |
|
DP_OP_939J1_125_3351 str 1 209.3605 0.1% |
|
DP_OP_940J1_126_2977 str 1 67386.1748 29.7% |
|
DW01_add apparch 3 618.7104 0.3% |
|
DW01_inc apparch 1 169.7517 0.1% |
|
DW01_sub apparch 1 115.6176 0.1% |
|
DW_cmp apparch 15 8068.2316 3.6% |
|
DW_mult_uns apparch 1 127.3138 0.1% |
|
-------------------- ------- ----- ----------- --------- |
|
DP_OP Subtotal: 5 69185.5432 30.5% |
|
Total: 26 78285.1683 34.5% |
|
|
|
Subtotal of datapath(DP_OP) cell area: 69185.5432 30.5% (estimated) |
|
Total synthetic cell area: 78285.1683 34.5% (estimated) |
|
|
|
1 |
|
report_timing |
|
|
|
**************************************** |
|
Report : timing |
|
-path full |
|
-delay max |
|
-max_paths 1 |
|
Design : CNN |
|
Version: T-2022.03 |
|
Date : Sun Apr 14 04:44:31 2024 |
|
**************************************** |
|
|
|
# A fanout number of 1000 was used for high fanout net computations. |
|
|
|
Operating Conditions: WCCOM Library: fsa0m_a_generic_core_ss1p62v125c |
|
Wire Load Model Mode: top |
|
|
|
Startpoint: in_valid (input port clocked by clk) |
|
Endpoint: feature_map_reg_28__0_ |
|
(rising edge-triggered flip-flop clocked by clk) |
|
Path Group: clk |
|
Path Type: max |
|
|
|
Des/Clust/Port Wire Load Model Library |
|
------------------------------------------------ |
|
CNN enG30K fsa0m_a_generic_core_ss1p62v125c |
|
|
|
Point Incr Path |
|
----------------------------------------------------------- |
|
clock clk (rise edge) 0.00 0.00 |
|
clock network delay (ideal) 0.00 0.00 |
|
input external delay 50.00 50.00 r |
|
in_valid (in) 0.00 50.00 r |
|
U6894/O (INV1S) 0.49 50.49 f |
|
U7297/O (NR2) 0.52 51.00 r |
|
U7298/O (INV1S) 0.25 51.25 f |
|
U10543/O (NR3) 1.11 52.36 r |
|
U10612/O (ND2) 1.43 53.79 f |
|
U10628/O (MOAI1S) 0.84 54.63 r |
|
feature_map_reg_28__0_/D (QDFFS) 0.00 54.63 r |
|
data arrival time 54.63 |
|
|
|
clock clk (rise edge) 100.00 100.00 |
|
clock network delay (ideal) 0.00 100.00 |
|
clock uncertainty -0.10 99.90 |
|
feature_map_reg_28__0_/CK (QDFFS) 0.00 99.90 r |
|
library setup time -0.15 99.75 |
|
data required time 99.75 |
|
----------------------------------------------------------- |
|
data required time 99.75 |
|
data arrival time -54.63 |
|
----------------------------------------------------------- |
|
slack (MET) 45.11 |
|
|
|
|
|
1 |
|
exit |
|
|
|
Memory usage for this session 456 Mbytes. |
|
Memory usage for this session including child processes 724 Mbytes. |
|
CPU usage for this session 191 seconds ( 0.05 hours ). |
|
Elapsed time for this session 191 seconds ( 0.05 hours ). |
|
|
|
Thank you... |
|
|