Skip to content

Instantly share code, notes, and snippets.

@Mooan
Last active January 3, 2018 05:55
Show Gist options
  • Save Mooan/55025b661665eb104e5caee3f71c9e49 to your computer and use it in GitHub Desktop.
Save Mooan/55025b661665eb104e5caee3f71c9e49 to your computer and use it in GitHub Desktop.
FPGAプログラミング大全をPYNQ-Z1で動かす

FPGAプログラミング大全をもとに、PYNQ-Z1のプロジェクトビルドまで流れ.

参考文献

Vivadoを起動する.

$vivado

プロジェクトを作る.

  • Create New Projectボタンを押下
  • Project Nameは適当に指定
  • RTL Project. ここでは、"Do not specify sources at this time にチェックを入れない.
  • Add Sources.
    • HDLファイルを追加する. Scan and add RTL ...にチェックを入れる.
    • 制約ファイルを追加する. *.xdc
  • Default part:
    • Default part
  • Finish.

IP Integratorの設定.

http://www.shuwasystem.co.jp/support/7980html/4753.html  に従う.

  • https://reference.digilentinc.com/reference/programmable-logic/pynq-z1/start から、Zynq presetsをダウンロードする.
  • IP Integrator -> Create Block Designをクリック.
  • Diagramビュー上で、ZYNQ7 をAdd IPする.
  • Diagramビュー上で、AddしたZYNQの箱をクリックする. -> 画面遷移.
  • Preset -> ``Apply configuration. pynq_revC.tcl` を選択.
  • PS-PL Configuration -> GP Slave AXI Interface -> S AXI GP0 interface にチェックを入れる.
  • 保存 -> OKボタン

bitファイル生成

  • Generate Bitstreamをクリック. しばらく待つ.
  • 問題なければ、完了ダイアログ.

ボードに書き込む.

  • ボードのジャンパを、QSPIをSDからUSBに設定.
  • ボードにUSBを接続.
  • Open Hardware Manegerをクリック.
  • Auto target :
  • Program Device
  • 書き込みbitファイルの確認. Programをクリック.

以上で書き込みが完了する.

@Mooan
Copy link
Author

Mooan commented May 10, 2017

screenshot from 2017-05-11 00-23-03

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment